US20060226442A1 - GaN-based high electron mobility transistor and method for making the same - Google Patents

GaN-based high electron mobility transistor and method for making the same Download PDF

Info

Publication number
US20060226442A1
US20060226442A1 US11/100,672 US10067205A US2006226442A1 US 20060226442 A1 US20060226442 A1 US 20060226442A1 US 10067205 A US10067205 A US 10067205A US 2006226442 A1 US2006226442 A1 US 2006226442A1
Authority
US
United States
Prior art keywords
heterostructure
system based
material system
transistor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/100,672
Inventor
An-Ping Zhang
James Kretchmer
Edmund Kaminsky
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lockheed Martin Corp
Original Assignee
Lockheed Martin Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lockheed Martin Corp filed Critical Lockheed Martin Corp
Priority to US11/100,672 priority Critical patent/US20060226442A1/en
Assigned to GENERAL ELECTRIC COMPANY reassignment GENERAL ELECTRIC COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAMINSKY, EDMUND BENJAMIN, JR., ZHANG, AN-PING, KRETCHMER, JAMES WILLIAM
Assigned to LOCKHEED MARTIN CORPORATION reassignment LOCKHEED MARTIN CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GENERAL ELECTRIC COMPANY
Priority to EP06740683A priority patent/EP1872408A4/en
Priority to PCT/US2006/012955 priority patent/WO2006110511A2/en
Publication of US20060226442A1 publication Critical patent/US20060226442A1/en
Priority to US11/980,270 priority patent/US7851284B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • H01L29/7783Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET using III-V semiconductor material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Definitions

  • the present invention relates generally to transistors, and more particularly, to GaN based High Electron Mobility Transistors (HEMTs) and methods for making such transistors.
  • HEMTs High Electron Mobility Transistors
  • High Electron Mobility Transistors are known to be desirable in certain applications.
  • One such application is microwave amplifiers. They are known to generally yield higher output power densities, lower noise figures, and be able to operate at higher frequencies as compared to other Field Effect Transistors (FETs).
  • FETs Field Effect Transistors
  • GaN material system based HEMT's are believed to be desirable for use in Radio Frequency (RF) modulation schemes and interfaces.
  • drain current reduction at high frequencies has conventionally limited the available output power in GaN material system-based HEMT devices, which is believed to be caused by the surface states. It is believed desirable to passivate the surface states and prevent surface damage during device processing.
  • Low breakdown voltage has conventionally limited high drain biases for GaN material system based HEMT devices. It is believed desirable to increase the breakdown voltage.
  • the power performance of conventional GaN material system based HEMT devices typically degrades at high junction temperatures, due to reduced carrier saturation velocity and increased parasitic resistance. It is believed to be desirable to maintain a high two dimensional electron gas (2DEG) mobility even at high temperatures.
  • Repeatable low contact resistance in conventional GaN material system based HEMT devices has also proven problematic for high frequency operation. It is believed desirable to provide for repeatable and low contact resistances. It is also believed to be desirable to increase the 2DEG sheet charge and maintain 2DEG confinement to increase usable RF power and eliminate drain current reduction at high frequencies.
  • a high electron mobility transistor including: a GaN material system based heterostructure; barrier surface protection during MESA processing; a front end passivating dielectric layer over the heterostructure and defining a plurality of low damage etch processed openings for electrical ohmic contacts for source and drain electrodes and for Schottky contacts for gate electrodes on the heterostructure through the openings; ohmic contact opening surface treatments and source/drain ion implantation to reduce contact and source/drain resistance; and a double heterostructure for improved carrier confinement.
  • a method for making a high electron mobility transistor includes low pressure chemical vapor depositing a passivating nitride layer over a GaN material system based heterostructure; etching openings in the nitride layer; and forming electrodes through the openings.
  • FIG. 1 illustrates a diagrammatic view of a HEMT
  • FIG. 2 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention
  • FIG. 3 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention
  • FIG. 4 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention
  • FIG. 5 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention
  • FIG. 6 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention
  • FIG. 7 illustrates performance characteristics according to an aspect of the present invention
  • FIG. 8 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention
  • FIGS. 9A-9D illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention
  • FIGS. 10A-10C illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention
  • FIGS. 11A-11E illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention.
  • FIGS. 12A-12D illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention.
  • Device 10 generally includes a substrate 20 , an optional nucleation layer 30 , buffer layer 40 , barrier layer 50 , 2DEG region 60 , and passivation layer 70 .
  • Device 10 also includes a T-gate 80 , source 90 and drain 100 .
  • T-gate 80 may be laterally off-set towards source 90 .
  • the lateral separation between T-gate 80 and source 90 may be on the order of about 0.5-2 ⁇ m (micrometer), preferably about 1 ⁇ m, while the lateral separation between T-gate 80 and drain 100 may be on the order of about 1-5 ⁇ m, and preferably about 2 ⁇ m.
  • Substrate 20 may take the form of a semi-insulating monocrystalline silicon carbide (SiC) substrate.
  • SiC substrate 20 may be of a 4H or 6H polytype, for example.
  • Substrate 20 may also take the form of a semi-insulating monocrystalline bulk GaN substrate, or may take the form of a sapphire substrate, or a semi-insulating monocrystalline AlN substrate, all by way of non-limiting example.
  • Nucleation layer 30 may take the form of an AlN, or a GaN, or an AlGaN layer; preferably a low-temperature AlN, nucleation layer where a 4H or 6H-SiC or sapphire substrate 20 is used. Nucleation layer 30 may optionally be omitted where substrate 20 takes the form of a bulk GaN or AlN substrate, for example.
  • Buffer layer 40 may take the form of a resistive GaN layer.
  • Barrier layer 50 may take the form of an AlGaN layer.
  • AlGaN barrier layer 50 may be Si doped or undoped, and preferably is undoped.
  • Channel 60 represents a 2-dimensional electron gas (2DEG) channel formed by the heterojunction between buffer layer 40 and barrier layer 50 .
  • the heterostructure may take the form of an AlGaN/GaN, or AlN/GaN heterostructure.
  • a 2-Dimensional Electron Gas (2DEG) forms at the interface between buffer layer 40 and barrier layer 50 , i.e., channel 60 , as a result of well known strong spontaneous polarization and piezoelectric polarization effects in GaN-based material systems.
  • the resulting high density, high mobility AlGaN/GaN 2DEG may be used to provide HEMT functionality when modulated by gate electrode 80 .
  • Passivation layer 70 may take a conventional form where low temperature (400 deg. C.) plasma enhanced chemical vapor deposition (PECVD) passivation material is deposited after high temperature ohmic contact annealing and overlay and gate metallization processing have been completed.
  • PECVD plasma enhanced chemical vapor deposition
  • passivation layer 70 may take the form of a surface film including SiN x , AlN, Sc 2 O 3 , MgO or SiO 2 .
  • Ohmic contacts/overlay metallization processing may be used to form source and drain electrodes 90 , 100 .
  • Schottky metallization may be used to form T-gate electrode 80 .
  • FIG. 2 there is shown a diagrammatic view of a “front end” field plate-type surface passivated High Electron Mobility Transistor (HEMT) device 200 according to an aspect of the present invention.
  • Field plate-type passivation layer 210 provides for better surface coverage in regions 110 than does conventional passivation layer 70 because it is deposited early in the processing. This allows for stabilization of surface states in region 110 .
  • the extended passivation layer 210 under T-gate 80 may also provide for increased breakdown voltage as compared to passivation layer 70 , by spreading the crowded electric field and modulating the surface states on the drain 100 side around T-gate 80 .
  • a passivation layer such as passivation layer 210
  • a passivation layer may be deposited relatively early during device processing. Passivation may occur prior to ohmic source or drain terminal contact, and gate formation.
  • a passivation layer such as passivation layer 210
  • LPCVD low pressure chemical vapor deposition
  • a heterostructure including layers 20 - 50 may be obtained via conventional commercial sources.
  • the heterostructure, or wafer may be cleaned, such as by using acetone, methanol and isopropanol dips. The wafer may then be rinsed and spin dried. Further cleaning, including a buffered oxide etch (BOE) and/or HF bath may also be used. The cleaned wafer may again be rinsed and dried.
  • BOE buffered oxide etch
  • the cleaned wafer may then be subjected to a LPCVD process using NH 3 and SiH 2 Cl 2 gases flowed at about 70 and 30 standard cubic centimeters per second (sccm) at about 765 degrees Celsius (deg. C.) and 370-460 millitorr (mT) to deposit SiNx.
  • Processing time may be appropriate for depositing a dense nitride passivation layer having a thickness of between about 450 and 2000 angstroms, for example.
  • Passivation layer 210 may be suitable for use with a wide-variety of GaN material system based HEMTs.
  • HEMT 300 includes a doped channel 310 .
  • Channel 310 may include doped GaN or InGaN, by way of non-limiting example.
  • Channel 310 may be between about 50 angstroms and 200 angstroms thick, and preferably about 100 angstroms, where barrier layer 50 is around 100 angstroms to 500 angstroms thick, and preferably about 200-300 angstroms thick.
  • HEMT 300 may exhibit improved performance at high temperatures.
  • Channel 310 may be n-type Si doped on the order of about 1016 to 1018 cm ⁇ 3 .
  • Channel 310 may be substantially uniformly doped. Layer 310 may be grown upon buffer layer 40 .
  • HEMT 300 performance may be relatively temperature independent, as compared with HEMT 10 or 200 . This may result from the scattering mechanism being dominated in the doped channel 310 by impurity scattering, which is relatively temperature independent.
  • FIG. 4 there is shown a diagrammatic view of an HEMT 400 with implanted source and drain regions 410 S, 410 D, respectively, according to an aspect of the present invention.
  • Source/drain implantation may serve to greatly increase conductivity under the source and drain metal electrodes, thus facilitating low contact resistances.
  • Implanted regions 410 S, 410 D may be combined with a doped channel, such as channel 310 of FIG. 3 .
  • Implanted regions 410 S, 410 D may also be particularly well suited for use with a relatively thin, undoped AlN sub-barrier layer—which may otherwise hinder providing good, low resistance ohmic contacts. Aspects of forming implanted source and drain regions are detailed with respect to FIGS. 11A-11E .
  • FIG. 5 there is shown a diagrammatic view of an HEMT 500 incorporating an AlN sub-barrier layer 510 according to an aspect of the present invention.
  • Layer 510 may be relatively thin, on the order of about 10-20 angstroms, and be composed of undoped AlN. Layer 510 may serve to enhance the charge in the 2DEG channel 60 and reduce the noise figure in HEMT 500 .
  • layer 510 may be incorporated with a doped channel, such as channel 310 of FIG. 3 , and/or implanted source and drain regions, such as regions 410 S and 410 D of FIG. 4 .
  • HEMT 600 includes a channel layer 610 .
  • Channel 610 may take the form of un-doped GaN or InGaN, by way of non-limiting example only.
  • HEMT 600 takes the form of an AlGaN/(ln)GaN/AlGaN double heterostructure HEMT to provide a better carrier confinement in the channel 610 .
  • Channel 610 may be around 50 angstroms to 200 angstroms thick, preferably about 100 angstroms, where layer 50 is around 100 angstroms to 500 angstroms thick and preferably about 200-300 angstroms thick.
  • Layer 620 may be undoped, or doped with Fe or other elements to provide semi-insulating properties.
  • Layer 620 may be about 50 angstroms to 5000 angstroms thick, preferably about 100-500 angstroms.
  • a double heterostructure HEMT such as HEMT 600 of FIG. 6
  • a single heterostructure HEMT may exhibit a higher channel mobility compared to other HEMT structures.
  • a double heterostructure HEMT may exhibit a lower 2DEG sheet density as compared to other HEMT structures.
  • an HEMT device such as any of devices 10 , 200 , 300 , 400 , 500 and 600 may be formed into devices including sloped mesas.
  • the shaping of HEMT devices to include a sloped mesa may better isolate such devices, improve device manufacturing yields, and improve long-term device reliability, for example.
  • FIG. 8 there is shown a device 800 according to an aspect of the present invention.
  • the structure of illustrated device 800 largely corresponds to a mesa structure of device 400 for non-limiting purposes of illustration only.
  • Device 800 includes a sloped mesa.
  • Gate 80 , drain 90 and source 100 are positioned on the mesa plateau portion 810 of layer 50 .
  • the mesa etch may terminate in the GaN Buffer layer 40 , and have a MESA etch depth of about 2000 angstroms compared to a barrier layer 50 thickness of about 200 angstroms.
  • GaN material system heterostructures may be formed into mesa-formed HEMT devices with the assistance of one or more protecting layers to minimize the potential of surface damage to the sensitive barrier layer.
  • a GaN material system heterostructure suitable for use may take the form of a wafer having a layer structure consistent with any one of structures 200 - 600 , absent passivation layers, gates, sources and drains, for example.
  • FIGS. 9A-9D there are shown structures 900 A- 900 D at various processing steps according to an aspect of the present invention.
  • a GaN material system heterostructure wafer hereinafter referred to simply as a wafer, may be cleaned using acetone, methanol and isopropyl alcohol dips, and subsequent rinsing and spin drying, for example.
  • a dielectric film may be used to mitigate photo resist removal damage that may otherwise occur during mesa formation.
  • FIG. 9A there is shown a structure 900 A.
  • Illustrated structure 900 A includes an AlGaN/GaN heterostructure 910 . Such a structure is analogous to that shown in FIGS.
  • Dielectric film 920 may be low temperature chemical vapor deposited oxide (PECVD or Low temperature CVD deposited) over structure 910 .
  • Film 920 may take the form of a thin layer (about 1000 angstroms or less) of silicon dioxide (Si0 2 ), for example.
  • Oxide film 920 may be formed by flowing SiH 4 and O 2 at rates of about 43 sccm and 90 sccm, respectively, at a pressure of about 260 mT and a temperature of about 440 deg. C. Film 920 may serve as a protection layer for the AlGaN barrier surface during mesa etching and subsequent etch mask removal. Temperatures may rise significantly during mesa etching resulting in hardening of the resist masking layer 930 ( FIG. 9B ). This may render the photo resist on the structure difficult to remove. Layer 920 protects the barrier layer surface during resist removal, and thus facilitates plasma ashing to remove photoresist from structure 910 . Direct exposure of the AlGaN barrier to plasma ashing has been shown to damage the barrier layer and reduce or remove the 2DEG in the channel.
  • Structure 900 B additionally includes a patterned photoresist layer 930 .
  • Layer 930 may be composed of a commercially available photoresist, such as AZ4400 available from Shipley, for example.
  • Layer 930 may be patterned in the form of a mesa mask using conventional methodologies, such as spin coating, exposing, developing and reflowing, for example.
  • the patterned mask layer 930 may be suitable for facilitating shaping of layer 920 and structure 910 into a mesa structure 900 C.
  • a reactive ion etch RIE
  • a GaN layer within structure 910 may serve as an etch stop for a NF 3 /Ar etch flowed at about 12/28 sccm, respectively, at about 100 mT and 400 watts (W) using a carbon plate.
  • a diluted oxide etch such as an etch using a diluted HF etchant, may then be used to clean the GaN surface exposed by the reactive ion etch.
  • a high density, Inductively Coupled Plasma (ICP) etch may be used to form the GaN layer into the desired mesa shape.
  • BCl 3 and Cl 2 gases may be flowed at rates of 10 sccm and 30 sccm during ignition and 15 sccm and 30 sccm during etching, respectively.
  • RF power used may be around 50 W and 300 W during ignition and 15 W (using a 90 v bias) and 300 W during etching.
  • the etching may be carried out at around 5 mT and about 10 deg. C. Etch depth can range from 1000-3000 Angstroms, for example.
  • patterned layer 930 and the remaining portions of layer 920 may then be selectively removed to provide mesa shaped structure 900 D.
  • an O 2 ashing or descum process may be carried out to remove densified resist. Processing may include an acetone bath and propanol rinse. Another ashing or descum process may then be effected, to better ensure all resist has been removed. Dielectric layer 920 remains over the barrier layer for protection during this removal step. A wet oxide etch may then be used to remove the remaining layer 920 . A dilute HF etchant (such as on the order of about 1:20) may be used at room temperature.
  • the mesa shaped wafer may then again be cleaned, such as by using a photo resist stripping bath, for example.
  • the use of layer 920 may serve to protect the relatively fragile channel area of structure 910 during the aforementioned processing over which the gate, and source and drain electrodes will be formed.
  • Structure 900 D may be provided with a T-gate 80 , source 90 , drain 100 and passivation layer 210 to provide a device analogous to device 800 of FIG. 8 , for example—absent doped regions.
  • ohmic contact openings for the source and drain regions may be plasma treated prior to ohmic contact metallization.
  • An HEMT structure to be plasma treated in accordance with the present invention may take the form of one of structures 100 - 600 of FIGS. 1-6 , or 800 of FIG. 8 .
  • Plasma treating AlGaN/GaN heterostructure ohmic contact openings immediately prior to ohmic contact metallization may lower the ohmic contact resistance by creating a near-surface conducting layer with N-vacancies. It may also serve to clean the structure prior to contact metallization.
  • Illustrated structure 1000 A includes an AlGaN/GaN heterostructure 1010 , such as a structure analogous to structure 900 D shown in FIG. 9D (only the mesa plateau is shown). Illustrated structure 1000 A also includes a surface passivating layer 1020 . Layer 1020 may take the form of a SiNx layer that has been formed over heterostructure 1010 using high temperature, densified LPCVD, as in one of structures 100 - 600 of FIGS. 1-6 , or 800 of FIG. 8 . Layer 1020 may also be formed using plasma enhanced chemical vapor deposition (PECVD), or evaporation, for example.
  • PECVD plasma enhanced chemical vapor deposition
  • Layer 1020 may take the form of an AlN film formed on structure 1010 using molecular beam expitaxy (MBE) or sputtering, Sc 2 O 3 , MgO using MBE, or SiO 2 using LPCVD or PECVD. Layer 1020 may take the form of a combination of these layers as well, for example.
  • MBE molecular beam expitaxy
  • sputtering Sc 2 O 3 , MgO using MBE, or SiO 2 using LPCVD or PECVD.
  • Layer 1020 may take the form of a combination of these layers as well, for example.
  • structure 1000 B includes a patterned photoresist layer 1030 over protection or passivation layer 1020 .
  • Layer 1030 may have openings 1040 , 1050 corresponding to ohmic contact regions to layer 1010 , such as those corresponding to source 90 and/or drain 100 ( FIG. 8 ), for example.
  • Structure 1000 B may be subjected to a selective etch well suited for removing portions of layer 1020 exposed by openings 1040 , 1050 in layer 1030 .
  • structure 1000 B may be subjected to a wet etch such as dilute HF or buffered oxide etch (BOE).
  • a plasma etch may also be used for etching.
  • Layer 1020 which may be akin to layer 210 and take the form of a dense SiN x , may be etched using an inductivety coupled plasma (ICP) etch, for example.
  • ICP inductivety coupled plasma
  • SF 6 gas may be flowed at rates of 30 sccm during ignition and etching.
  • RF powers used may be around 50 W and 600 W during ignition and 5 W and 600 W during etching.
  • the etching may be carried out at around 20 mT and at about 10 deg. C.
  • the resulting structure 1000 C of FIG. 10C may then be subjected to an ICP etch prior to ohmic contact metallization deposition.
  • This ICP etch may be selected to remove less than around 50 angstroms of the barrier layer of the heterostructure 1010 , to better ensure an ohmic contact formation during metallization deposition and anneal.
  • BCl 3 and Cl 2 gases may be flowed at rates of 15 and 30 sccm, and RF power used may be around 40 W.
  • the etch may be carried out for about 20-60 seconds at around 5 mTorr. at about 10 deg. C.
  • N 2 gas may also be used at flow rates of 15 sccm.
  • RF powers used may be around 40 W for RF source and 300 W for ICP source.
  • the etch may be carried out for about 30-60 seconds at around 3 mTorr. at about 10 deg.
  • regions 1060 , 1070 may have N-vacancies created in heterostructure 1010 by the ICP etch treatment. Regions 1060 , 1070 of structure 1010 correspond to openings 1040 , 1050 . Regions 1060 , 1070 may correspond to ohmic contact regions for source and drain electrodes providing for improved device performance due to reduced ohmic contact resistances for source and drain electrodes.
  • Photo resist 1030 may be removed analogously to the photo resist removal discussed with regard to FIGS. 9A-9D . Remaining portions of layer 1020 may be utilized as surface passivation, analogous to layer 210 of FIG. 4 , or the layer may be removed and replaced.
  • one or more of layers 1020 , 1030 may be used as a lift off material for one or more metallization layers deposited to form ohmic contacts for the source and drain electrodes (e.g. layer 1195 of FIG. 11D ).
  • metallization layers deposited to form ohmic contacts for the source and drain electrodes (e.g. layer 1195 of FIG. 11D ).
  • standard Ti—Al—Ti—Au, Ti—Al—Mo—Au, Ti—Al—Ni—Au, or Ti—Al—Pt—Au metallization stacks may be used.
  • an optional pre-ohmic contact metal deposition cleaning of the exposed portions of regions 1060 and 1070 may be used to insure any native oxide is removed. For example, O 2 ashing and a wet diluted and buffered oxide etch may be used.
  • an RTA anneal at between 750 deg. C. and 850 deg. C. may be performed following ohmic contact metal liftoff processing to complete the formation of the ohmic contacts.
  • structure 1100 A is akin to structure 1000 B of FIG. 10B .
  • a further embodiment to reduce source drain resistance is to ion implant the source and drain regions prior to ohmic contact formation.
  • Such a method may implant Si + or Ge + or co-implant Si + and N + or Ge + and N + into portions of the heterostructure 1110 exposed through openings 1140 and 1150 in FIG. 11B formed in an analogous fashion to openings 1040 and 1050 in FIG. 10C .
  • Layer 1130 may be a thick photoresist or a metal mask to block the implant.
  • Layer 1120 may take the form of AlN to facilitate protection of the AlGaN/GaN heterostructure from damage during implant annealing.
  • Implanted regions 1160 and 1170 in FIG. 11C may be thermally activated between about 1000 deg. C. and 1300 deg. C. with an AlN mask.
  • the photoresist or metal mask may be removed from the wafer prior to annealing.
  • RTA may be used to activate the dopant.
  • the AlN anneal protection layer may be retained as the pasivation layer, or it may be removed and replaced by LPCVD silicon nitride.
  • ohmic contacts to layer 1110 through openings 1140 , 1150 in passivation layer 1120 corresponding to source and drain implanted regions may be provided.
  • a photo resist layer 1130 may be reapplied over passivation layer 1120 , analogously to the photo resist layers discussed hereinabove.
  • Photo resist layer 1130 may be patterned to reopen openings in passivation layer 1020 corresponding to previously implanted source and drain regions. Passivation layer 1020 openings are then ready for removal of the AlN to the AlGaN/GaN Heterostructure surface.
  • the exposed portions of the 1110 surface may be cleaned followed by ohmic contact metal deposition, liftoff processing and annealing as discussed previously. Standard liftoff lithography and metallization steps may be then used to provide source and drain electrodes 1192 and 1194 shown in FIG. 11E .
  • a Ti—Ni—Au source/drain metallization may be used.
  • the resulting device 1100 E may include a heterostructure 1110 including doped regions 1160 , 1170 , and passivation layer 1120 , and be largely analogous to the form of device 400 of FIG. 4 or 800 of FIG. 8 , absent ohmic contacts and source, drain and gate metallization, by way of non-limiting example only.
  • Structure 1200 D may take the form of a HEMT according to an aspect of the present invention, including drain and source electrodes 1192 , 1194 (that may be akin to drain and source 90 , 100 of FIG. 2 ), and a gate electrode 1298 (that may be akin to gate 80 of FIG. 2 ).
  • Structure 1200 A of FIG. 12A may be analogous to structure 1100 A of FIG. 11A , but in this case the opening in the photo resist is in the gate metallization region.
  • Photo resist layer 1230 may take the form of a PMMA, for example.
  • Layer 1230 may take the form of a 495 PMMA, for example. Layer 1230 may be spin coated onto structure 1100 E, for example. Layer 1230 may be well suited for use as a processing mask for gate dielectric opening and gate electrode 1298 liftoff formation, for example.
  • an opening 1295 may be formed in layer 1230 by e-beam exposure and developing as is shown in structure 1200 A.
  • the position and dimensions of opening 1295 may largely correspond to the position and footprint dimensions of gate 1298 through passivation layer 1120 .
  • Developer utilized may include MIBK:IPA.
  • a developer may also be used to remove remaining portions of PMMA layer 1230 .
  • etching may be used to remove portions of layer 1120 corresponding to opening 1295 .
  • a reactive ion etch (RIE) may be used for example.
  • a PT-72 RIE machine may be operated at about 0.04 mT, with a flow of 70 sccm CF 4 at about 150 W.
  • the barrier surface 1296 exposed in FIG. 12B is sensitive to RIE exposure and such exposure can reduce the 2DEG in the channel.
  • the RIE conditions may be selected to minimize 2DEG reduction.
  • a rapid thermal anneal (RTA) process may be used to remove the ion damage from RIE plasma etch.
  • a PMMA has been reapplied to the wafer in structure 1200 C such that the post develop opening 1297 overlaps the gate footprint opening 1296 .
  • Gate metal deposition and subsequent liftoff processing may be used, resulting in the HEMT structure 1200 D shown in FIG. 12D .
  • the preferred gate metallization is Ni/Pt/Au, with a total thickness of between about 0.4 and 0.8 ⁇ m.

Abstract

A high electron mobility transistor including: a GaN material system based heterostructure; a passivating nitride layer over the heterostructure and defining a plurality of openings; and a plurality of electrical contacts for the heterostructure and formed through the openings.

Description

    FIELD OF INVENTION
  • The present invention relates generally to transistors, and more particularly, to GaN based High Electron Mobility Transistors (HEMTs) and methods for making such transistors.
  • BACKGROUND
  • High Electron Mobility Transistors are known to be desirable in certain applications. One such application is microwave amplifiers. They are known to generally yield higher output power densities, lower noise figures, and be able to operate at higher frequencies as compared to other Field Effect Transistors (FETs). GaN material system based HEMT's are believed to be desirable for use in Radio Frequency (RF) modulation schemes and interfaces.
  • However, drain current reduction at high frequencies has conventionally limited the available output power in GaN material system-based HEMT devices, which is believed to be caused by the surface states. It is believed desirable to passivate the surface states and prevent surface damage during device processing. Low breakdown voltage has conventionally limited high drain biases for GaN material system based HEMT devices. It is believed desirable to increase the breakdown voltage. Further, the power performance of conventional GaN material system based HEMT devices typically degrades at high junction temperatures, due to reduced carrier saturation velocity and increased parasitic resistance. It is believed to be desirable to maintain a high two dimensional electron gas (2DEG) mobility even at high temperatures. Repeatable low contact resistance in conventional GaN material system based HEMT devices has also proven problematic for high frequency operation. It is believed desirable to provide for repeatable and low contact resistances. It is also believed to be desirable to increase the 2DEG sheet charge and maintain 2DEG confinement to increase usable RF power and eliminate drain current reduction at high frequencies.
  • SUMMARY OF THE INVENTION
  • A high electron mobility transistor including: a GaN material system based heterostructure; barrier surface protection during MESA processing; a front end passivating dielectric layer over the heterostructure and defining a plurality of low damage etch processed openings for electrical ohmic contacts for source and drain electrodes and for Schottky contacts for gate electrodes on the heterostructure through the openings; ohmic contact opening surface treatments and source/drain ion implantation to reduce contact and source/drain resistance; and a double heterostructure for improved carrier confinement.
  • According to an aspect of the present invention, a method for making a high electron mobility transistor includes low pressure chemical vapor depositing a passivating nitride layer over a GaN material system based heterostructure; etching openings in the nitride layer; and forming electrodes through the openings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Understanding of the present invention will be facilitated by considering the following detailed description of the preferred embodiments of the present invention taken in conjunction with the accompanying drawings, in which like numerals refer to like parts, and:
  • FIG. 1 illustrates a diagrammatic view of a HEMT;
  • FIG. 2 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention;
  • FIG. 3 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention;
  • FIG. 4 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention;
  • FIG. 5 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention;
  • FIG. 6 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention;
  • FIG. 7 illustrates performance characteristics according to an aspect of the present invention;
  • FIG. 8 illustrates a diagrammatic view of a HEMT according to an aspect of the present invention;
  • FIGS. 9A-9D illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention;
  • FIGS. 10A-10C illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention;
  • FIGS. 11A-11E illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention; and
  • FIGS. 12A-12D illustrate diagrammatic views of an AlGaN/GaN heterostructure during different processing steps according to an aspect of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • It is to be understood that the figures and descriptions of the present invention have been simplified to illustrate elements that are relevant for a clear understanding of the present invention, while eliminating, for the purpose of clarity, many other elements found in typical transistor systems and processing methods. Those of ordinary skill in the art may recognize that other elements and/or steps are desirable and/or required in implementing the present invention. However, because such elements and steps are well known in the art, and because they do not facilitate a better understanding of the present invention, a discussion of such elements and steps is not provided herein.
  • Referring now to FIG. 1, there is shown a diagrammatic view of a High Electron Mobility Transistor (HEMT) device 10. Device 10 generally includes a substrate 20, an optional nucleation layer 30, buffer layer 40, barrier layer 50, 2DEG region 60, and passivation layer 70. Device 10 also includes a T-gate 80, source 90 and drain 100. T-gate 80 may be laterally off-set towards source 90. For example, the lateral separation between T-gate 80 and source 90 may be on the order of about 0.5-2 μm (micrometer), preferably about 1 μm, while the lateral separation between T-gate 80 and drain 100 may be on the order of about 1-5 μm, and preferably about 2 μm.
  • Substrate 20 may take the form of a semi-insulating monocrystalline silicon carbide (SiC) substrate. SiC substrate 20 may be of a 4H or 6H polytype, for example. Substrate 20 may also take the form of a semi-insulating monocrystalline bulk GaN substrate, or may take the form of a sapphire substrate, or a semi-insulating monocrystalline AlN substrate, all by way of non-limiting example.
  • Nucleation layer 30 may take the form of an AlN, or a GaN, or an AlGaN layer; preferably a low-temperature AlN, nucleation layer where a 4H or 6H-SiC or sapphire substrate 20 is used. Nucleation layer 30 may optionally be omitted where substrate 20 takes the form of a bulk GaN or AlN substrate, for example.
  • Buffer layer 40 may take the form of a resistive GaN layer. Barrier layer 50 may take the form of an AlGaN layer. AlGaN barrier layer 50 may be Si doped or undoped, and preferably is undoped. Channel 60 represents a 2-dimensional electron gas (2DEG) channel formed by the heterojunction between buffer layer 40 and barrier layer 50. The heterostructure may take the form of an AlGaN/GaN, or AlN/GaN heterostructure. A 2-Dimensional Electron Gas (2DEG) forms at the interface between buffer layer 40 and barrier layer 50, i.e., channel 60, as a result of well known strong spontaneous polarization and piezoelectric polarization effects in GaN-based material systems. The resulting high density, high mobility AlGaN/GaN 2DEG may be used to provide HEMT functionality when modulated by gate electrode 80.
  • Passivation layer 70 may take a conventional form where low temperature (400 deg. C.) plasma enhanced chemical vapor deposition (PECVD) passivation material is deposited after high temperature ohmic contact annealing and overlay and gate metallization processing have been completed. For example, passivation layer 70 may take the form of a surface film including SiNx, AlN, Sc2O3, MgO or SiO2.
  • Ohmic contacts/overlay metallization processing may be used to form source and drain electrodes 90, 100. Schottky metallization may be used to form T-gate electrode 80.
  • Referring now also to FIG. 2, there is shown a diagrammatic view of a “front end” field plate-type surface passivated High Electron Mobility Transistor (HEMT) device 200 according to an aspect of the present invention. Like numerals refer to like elements of the invention. Field plate-type passivation layer 210 provides for better surface coverage in regions 110 than does conventional passivation layer 70 because it is deposited early in the processing. This allows for stabilization of surface states in region 110. The extended passivation layer 210 under T-gate 80 may also provide for increased breakdown voltage as compared to passivation layer 70, by spreading the crowded electric field and modulating the surface states on the drain 100 side around T-gate 80.
  • According to an aspect of the present invention, a passivation layer, such as passivation layer 210, may be deposited relatively early during device processing. Passivation may occur prior to ohmic source or drain terminal contact, and gate formation. According to an aspect of the present invention, a passivation layer, such as passivation layer 210, may be deposited upon a GaN material system heterostructure using low pressure chemical vapor deposition (LPCVD) following mesa formation. For example, a heterostructure including layers 20-50 may be obtained via conventional commercial sources. Applying the passivation prior to ohmic contact and T-gate metallization processing allows the formation of a higher temperature/denser passivation layer, and allows passivation under the T-Gate extensions which is not the case for post gate passivation as shown in FIG. 1 region 110. The heterostructure, or wafer, may be cleaned, such as by using acetone, methanol and isopropanol dips. The wafer may then be rinsed and spin dried. Further cleaning, including a buffered oxide etch (BOE) and/or HF bath may also be used. The cleaned wafer may again be rinsed and dried. The cleaned wafer may then be subjected to a LPCVD process using NH3 and SiH2Cl2 gases flowed at about 70 and 30 standard cubic centimeters per second (sccm) at about 765 degrees Celsius (deg. C.) and 370-460 millitorr (mT) to deposit SiNx. Processing time may be appropriate for depositing a dense nitride passivation layer having a thickness of between about 450 and 2000 angstroms, for example. Passivation layer 210 may be suitable for use with a wide-variety of GaN material system based HEMTs.
  • Referring now also to FIG. 3, there is shown a doped channel HEMT 300 according to an aspect of the present invention. Again, like numerals refer to like elements of the invention. HEMT 300 includes a doped channel 310. Channel 310 may include doped GaN or InGaN, by way of non-limiting example. Channel 310 may be between about 50 angstroms and 200 angstroms thick, and preferably about 100 angstroms, where barrier layer 50 is around 100 angstroms to 500 angstroms thick, and preferably about 200-300 angstroms thick. HEMT 300 may exhibit improved performance at high temperatures. Channel 310 may be n-type Si doped on the order of about 1016 to 1018 cm−3. Channel 310 may be substantially uniformly doped. Layer 310 may be grown upon buffer layer 40. HEMT 300 performance may be relatively temperature independent, as compared with HEMT 10 or 200. This may result from the scattering mechanism being dominated in the doped channel 310 by impurity scattering, which is relatively temperature independent.
  • Referring now also to FIG. 4, there is shown a diagrammatic view of an HEMT 400 with implanted source and drain regions 410S, 410D, respectively, according to an aspect of the present invention. Again, like references refer to like elements of the invention. Source/drain implantation may serve to greatly increase conductivity under the source and drain metal electrodes, thus facilitating low contact resistances. Implanted regions 410S, 410D may be combined with a doped channel, such as channel 310 of FIG. 3. Implanted regions 410S, 410D may also be particularly well suited for use with a relatively thin, undoped AlN sub-barrier layer—which may otherwise hinder providing good, low resistance ohmic contacts. Aspects of forming implanted source and drain regions are detailed with respect to FIGS. 11A-11E.
  • Referring now also to FIG. 5, there is shown a diagrammatic view of an HEMT 500 incorporating an AlN sub-barrier layer 510 according to an aspect of the present invention. Again, like references refer to like elements. Layer 510 may be relatively thin, on the order of about 10-20 angstroms, and be composed of undoped AlN. Layer 510 may serve to enhance the charge in the 2DEG channel 60 and reduce the noise figure in HEMT 500. Again, layer 510 may be incorporated with a doped channel, such as channel 310 of FIG. 3, and/or implanted source and drain regions, such as regions 410S and 410D of FIG. 4.
  • Referring now also to FIG. 6, there is shown a diagrammatic view of a double heterostructure HEMT 600 according to an aspect of the present invention. Again, like references designate like elements of the invention. HEMT 600 includes a channel layer 610. Channel 610 may take the form of un-doped GaN or InGaN, by way of non-limiting example only. In such an embodiment, HEMT 600 takes the form of an AlGaN/(ln)GaN/AlGaN double heterostructure HEMT to provide a better carrier confinement in the channel 610. Channel 610 may be around 50 angstroms to 200 angstroms thick, preferably about 100 angstroms, where layer 50 is around 100 angstroms to 500 angstroms thick and preferably about 200-300 angstroms thick. Layer 620 may be undoped, or doped with Fe or other elements to provide semi-insulating properties. Layer 620 may be about 50 angstroms to 5000 angstroms thick, preferably about 100-500 angstroms.
  • Referring now also to FIG. 7, there are shown some characteristics of a double heterostructure HEMT, such as HEMT 600 of FIG. 6, and a single heterostructure HEMT. As is shown therein, a double heterostructure HEMT may exhibit a higher channel mobility compared to other HEMT structures. Also, a double heterostructure HEMT may exhibit a lower 2DEG sheet density as compared to other HEMT structures.
  • According to an aspect of the present invention, an HEMT device, such as any of devices 10, 200, 300, 400, 500 and 600 may be formed into devices including sloped mesas. The shaping of HEMT devices to include a sloped mesa may better isolate such devices, improve device manufacturing yields, and improve long-term device reliability, for example. Referring now to FIG. 8, there is shown a device 800 according to an aspect of the present invention. As will be understood by those possessing an ordinary skill in the pertinent arts, the structure of illustrated device 800 largely corresponds to a mesa structure of device 400 for non-limiting purposes of illustration only. Device 800 includes a sloped mesa. Again, like references identify like elements of the invention. Gate 80, drain 90 and source 100 are positioned on the mesa plateau portion 810 of layer 50. The mesa etch may terminate in the GaN Buffer layer 40, and have a MESA etch depth of about 2000 angstroms compared to a barrier layer 50 thickness of about 200 angstroms.
  • According to an aspect of the present invention, GaN material system heterostructures may be formed into mesa-formed HEMT devices with the assistance of one or more protecting layers to minimize the potential of surface damage to the sensitive barrier layer. A GaN material system heterostructure suitable for use may take the form of a wafer having a layer structure consistent with any one of structures 200-600, absent passivation layers, gates, sources and drains, for example.
  • Referring now also to FIGS. 9A-9D, there are shown structures 900A-900D at various processing steps according to an aspect of the present invention. Prior to processing, a GaN material system heterostructure wafer, hereinafter referred to simply as a wafer, may be cleaned using acetone, methanol and isopropyl alcohol dips, and subsequent rinsing and spin drying, for example. According to an aspect of the present invention, a dielectric film may be used to mitigate photo resist removal damage that may otherwise occur during mesa formation. Referring first to FIG. 9A, there is shown a structure 900A. Illustrated structure 900A includes an AlGaN/GaN heterostructure 910. Such a structure is analogous to that shown in FIGS. 1-6, absent T-gate 80, source 90, drain 100 and passivation layer 70, 210. Dielectric film 920 may be low temperature chemical vapor deposited oxide (PECVD or Low temperature CVD deposited) over structure 910. Film 920 may take the form of a thin layer (about 1000 angstroms or less) of silicon dioxide (Si02), for example.
  • Oxide film 920 may be formed by flowing SiH4 and O2 at rates of about 43 sccm and 90 sccm, respectively, at a pressure of about 260 mT and a temperature of about 440 deg. C. Film 920 may serve as a protection layer for the AlGaN barrier surface during mesa etching and subsequent etch mask removal. Temperatures may rise significantly during mesa etching resulting in hardening of the resist masking layer 930 (FIG. 9B). This may render the photo resist on the structure difficult to remove. Layer 920 protects the barrier layer surface during resist removal, and thus facilitates plasma ashing to remove photoresist from structure 910. Direct exposure of the AlGaN barrier to plasma ashing has been shown to damage the barrier layer and reduce or remove the 2DEG in the channel.
  • Referring now also to FIG. 9B, there is shown a structure 900B. Structure 900B additionally includes a patterned photoresist layer 930. Layer 930 may be composed of a commercially available photoresist, such as AZ4400 available from Shipley, for example. Layer 930 may be patterned in the form of a mesa mask using conventional methodologies, such as spin coating, exposing, developing and reflowing, for example.
  • Referring now also to FIG. 9C, the patterned mask layer 930 may be suitable for facilitating shaping of layer 920 and structure 910 into a mesa structure 900C. For example, a reactive ion etch (RIE) may be used to remove portions of layer 920 dependently upon mask layer 930. A GaN layer within structure 910 may serve as an etch stop for a NF3/Ar etch flowed at about 12/28 sccm, respectively, at about 100 mT and 400 watts (W) using a carbon plate. Referring still to structure 900C, a diluted oxide etch, such as an etch using a diluted HF etchant, may then be used to clean the GaN surface exposed by the reactive ion etch. Referring still to structure 900C, a high density, Inductively Coupled Plasma (ICP) etch may be used to form the GaN layer into the desired mesa shape. BCl3 and Cl2 gases may be flowed at rates of 10 sccm and 30 sccm during ignition and 15 sccm and 30 sccm during etching, respectively. RF power used may be around 50 W and 300 W during ignition and 15 W (using a 90 v bias) and 300 W during etching. The etching may be carried out at around 5 mT and about 10 deg. C. Etch depth can range from 1000-3000 Angstroms, for example.
  • Referring now also to FIG. 9D, patterned layer 930 and the remaining portions of layer 920 may then be selectively removed to provide mesa shaped structure 900D. For example, an O2 ashing or descum process may be carried out to remove densified resist. Processing may include an acetone bath and propanol rinse. Another ashing or descum process may then be effected, to better ensure all resist has been removed. Dielectric layer 920 remains over the barrier layer for protection during this removal step. A wet oxide etch may then be used to remove the remaining layer 920. A dilute HF etchant (such as on the order of about 1:20) may be used at room temperature. The mesa shaped wafer may then again be cleaned, such as by using a photo resist stripping bath, for example. The use of layer 920 may serve to protect the relatively fragile channel area of structure 910 during the aforementioned processing over which the gate, and source and drain electrodes will be formed.
  • Structure 900D may be provided with a T-gate 80, source 90, drain 100 and passivation layer 210 to provide a device analogous to device 800 of FIG. 8, for example—absent doped regions.
  • Referring now also to FIGS. 10A-10C, according to an aspect of the present invention, ohmic contact openings for the source and drain regions may be plasma treated prior to ohmic contact metallization. An HEMT structure to be plasma treated in accordance with the present invention may take the form of one of structures 100-600 of FIGS. 1-6, or 800 of FIG. 8. Plasma treating AlGaN/GaN heterostructure ohmic contact openings immediately prior to ohmic contact metallization may lower the ohmic contact resistance by creating a near-surface conducting layer with N-vacancies. It may also serve to clean the structure prior to contact metallization. It may also improve the ohmic metal surface morphology after Rapid Thermal Annealing (RTA) by creating microscopic surface features. Illustrated structure 1000A includes an AlGaN/GaN heterostructure 1010, such as a structure analogous to structure 900D shown in FIG. 9D (only the mesa plateau is shown). Illustrated structure 1000A also includes a surface passivating layer 1020. Layer 1020 may take the form of a SiNx layer that has been formed over heterostructure 1010 using high temperature, densified LPCVD, as in one of structures 100-600 of FIGS. 1-6, or 800 of FIG. 8. Layer 1020 may also be formed using plasma enhanced chemical vapor deposition (PECVD), or evaporation, for example. Layer 1020 may take the form of an AlN film formed on structure 1010 using molecular beam expitaxy (MBE) or sputtering, Sc2O3, MgO using MBE, or SiO2 using LPCVD or PECVD. Layer 1020 may take the form of a combination of these layers as well, for example.
  • Referring now also to FIG. 10B, structure 1000B includes a patterned photoresist layer 1030 over protection or passivation layer 1020. Layer 1030 may have openings 1040, 1050 corresponding to ohmic contact regions to layer 1010, such as those corresponding to source 90 and/or drain 100 (FIG. 8), for example. Structure 1000B may be subjected to a selective etch well suited for removing portions of layer 1020 exposed by openings 1040, 1050 in layer 1030. For example, structure 1000B may be subjected to a wet etch such as dilute HF or buffered oxide etch (BOE). A plasma etch may also be used for etching. Layer 1020, which may be akin to layer 210 and take the form of a dense SiNx, may be etched using an inductivety coupled plasma (ICP) etch, for example. SF6 gas may be flowed at rates of 30 sccm during ignition and etching. RF powers used may be around 50 W and 600 W during ignition and 5 W and 600 W during etching. The etching may be carried out at around 20 mT and at about 10 deg. C. The resulting structure 1000C of FIG. 10C may then be subjected to an ICP etch prior to ohmic contact metallization deposition. This ICP etch may be selected to remove less than around 50 angstroms of the barrier layer of the heterostructure 1010, to better ensure an ohmic contact formation during metallization deposition and anneal. BCl3 and Cl2 gases may be flowed at rates of 15 and 30 sccm, and RF power used may be around 40 W. The etch may be carried out for about 20-60 seconds at around 5 mTorr. at about 10 deg. C. N2 gas may also be used at flow rates of 15 sccm. RF powers used may be around 40 W for RF source and 300 W for ICP source. The etch may be carried out for about 30-60 seconds at around 3 mTorr. at about 10 deg.
  • Referring now also to FIG. 10C, regions 1060, 1070 may have N-vacancies created in heterostructure 1010 by the ICP etch treatment. Regions 1060, 1070 of structure 1010 correspond to openings 1040, 1050. Regions 1060, 1070 may correspond to ohmic contact regions for source and drain electrodes providing for improved device performance due to reduced ohmic contact resistances for source and drain electrodes. Photo resist 1030 may be removed analogously to the photo resist removal discussed with regard to FIGS. 9A-9D. Remaining portions of layer 1020 may be utilized as surface passivation, analogous to layer 210 of FIG. 4, or the layer may be removed and replaced. Alternatively, one or more of layers 1020, 1030 may be used as a lift off material for one or more metallization layers deposited to form ohmic contacts for the source and drain electrodes (e.g. layer 1195 of FIG. 11D). For example, standard Ti—Al—Ti—Au, Ti—Al—Mo—Au, Ti—Al—Ni—Au, or Ti—Al—Pt—Au metallization stacks may be used. After ICP pre-cleaning, an optional pre-ohmic contact metal deposition cleaning of the exposed portions of regions 1060 and 1070 may be used to insure any native oxide is removed. For example, O2 ashing and a wet diluted and buffered oxide etch may be used. As will be understood by those possessing an ordinary skill in the pertinent arts, an RTA anneal at between 750 deg. C. and 850 deg. C. may be performed following ohmic contact metal liftoff processing to complete the formation of the ohmic contacts.
  • Referring now also to FIG. 11A, structure 1100A is akin to structure 1000B of FIG. 10B. A further embodiment to reduce source drain resistance is to ion implant the source and drain regions prior to ohmic contact formation. Such a method may implant Si+ or Ge+ or co-implant Si+ and N+ or Ge+ and N+ into portions of the heterostructure 1110 exposed through openings 1140 and 1150 in FIG. 11B formed in an analogous fashion to openings 1040 and 1050 in FIG. 10C. Layer 1130 may be a thick photoresist or a metal mask to block the implant. Layer 1120 may take the form of AlN to facilitate protection of the AlGaN/GaN heterostructure from damage during implant annealing. Implanted regions 1160 and 1170 in FIG. 11C may be thermally activated between about 1000 deg. C. and 1300 deg. C. with an AlN mask. The photoresist or metal mask may be removed from the wafer prior to annealing. According to an aspect of the present invention, RTA may be used to activate the dopant. Referring now also to FIG. 11C, the AlN anneal protection layer may be retained as the pasivation layer, or it may be removed and replaced by LPCVD silicon nitride.
  • Referring now also to FIGS. 11D and 11E, ohmic contacts to layer 1110 through openings 1140, 1150 in passivation layer 1120 corresponding to source and drain implanted regions may be provided. A photo resist layer 1130 may be reapplied over passivation layer 1120, analogously to the photo resist layers discussed hereinabove. Photo resist layer 1130 may be patterned to reopen openings in passivation layer 1020 corresponding to previously implanted source and drain regions. Passivation layer 1020 openings are then ready for removal of the AlN to the AlGaN/GaN Heterostructure surface. The exposed portions of the 1110 surface (ohmic contact openings) may be cleaned followed by ohmic contact metal deposition, liftoff processing and annealing as discussed previously. Standard liftoff lithography and metallization steps may be then used to provide source and drain electrodes 1192 and 1194 shown in FIG. 11E. For example, a Ti—Ni—Au source/drain metallization may be used.
  • The resulting device 1100E may include a heterostructure 1110 including doped regions 1160, 1170, and passivation layer 1120, and be largely analogous to the form of device 400 of FIG. 4 or 800 of FIG. 8, absent ohmic contacts and source, drain and gate metallization, by way of non-limiting example only.
  • Referring now also to FIGS. 12A-12D, there are shown structures 1200A-1200D that represent various processing steps according to an aspect of the present invention. Structure 1200D may take the form of a HEMT according to an aspect of the present invention, including drain and source electrodes 1192, 1194 (that may be akin to drain and source 90, 100 of FIG. 2), and a gate electrode 1298 (that may be akin to gate 80 of FIG. 2). Structure 1200A of FIG. 12A may be analogous to structure 1100A of FIG. 11A, but in this case the opening in the photo resist is in the gate metallization region. Photo resist layer 1230 may take the form of a PMMA, for example. Layer 1230 may take the form of a 495 PMMA, for example. Layer 1230 may be spin coated onto structure 1100E, for example. Layer 1230 may be well suited for use as a processing mask for gate dielectric opening and gate electrode 1298 liftoff formation, for example.
  • Referring now to FIG. 12A, an opening 1295 may be formed in layer 1230 by e-beam exposure and developing as is shown in structure 1200A. The position and dimensions of opening 1295 may largely correspond to the position and footprint dimensions of gate 1298 through passivation layer 1120. Developer utilized may include MIBK:IPA. A developer may also be used to remove remaining portions of PMMA layer 1230. Referring now also to FIG. 12B, as shown in structure 1200B, etching may be used to remove portions of layer 1120 corresponding to opening 1295. A reactive ion etch (RIE) may be used for example. A PT-72 RIE machine may be operated at about 0.04 mT, with a flow of 70 sccm CF4 at about 150 W. The barrier surface 1296 exposed in FIG. 12B is sensitive to RIE exposure and such exposure can reduce the 2DEG in the channel. The RIE conditions may be selected to minimize 2DEG reduction. In addition, a rapid thermal anneal (RTA) process may be used to remove the ion damage from RIE plasma etch.
  • Referring now also to FIG. 12C, a PMMA has been reapplied to the wafer in structure 1200C such that the post develop opening 1297 overlaps the gate footprint opening 1296. Gate metal deposition and subsequent liftoff processing may be used, resulting in the HEMT structure 1200D shown in FIG. 12D. The preferred gate metallization is Ni/Pt/Au, with a total thickness of between about 0.4 and 0.8 μm.
  • While the foregoing invention has been described with reference to the above, various modifications and changes can be made without departing from the spirit of the invention. Accordingly, all such modifications and changes are considered to be within the scope of the appended claims.

Claims (22)

1-6. (canceled)
7. A high electron mobility transistor comprising:
a GaN material system based heterostructure;
a passivating nitride layer over said heterostructure and defining a plurality of openings;
a plurality of electrical contacts for said heterostructure and being formed through said openings; and
a gate contact being formed through one of said openings and in contact with the GaN material system based heterostructure, wherein a portion of said passivating nitride layer is under a portion of the gate contact.
8. The transistor of claim 7, wherein said electrical contacts comprise source and drain contacts comprising one of: Ti—Al—Ti—Au, Ti—Al—Mo—Au, Ti—Al—Ni—Au, or Ti—Al—Pt—Au, and a gate contact comprising one of: Ni/Pt/Au, Ni/Au, Pt/Au, or Ir/Au.
9. The transistor of claim 7, wherein said passivating nitride layer has a thickness between about 450 and 2000 angstroms.
10-15. (canceled)
16. A high electron mobility transistor comprising:
a mesa structure having a plateau and formed by a method comprising:
providing a dielectric film over a GaN material system based heterostructure;
providing a photo resist mask over said dielectric film;
etching said dielectric film and heterostructure dependently upon said photo resist mask into a mesa structure; and
removing said photo resist mask and remaining portions of said dielectric film;
a passivating nitride layer over said plateau and defining at least one opening; and
a gate contact extending through said opening and in contact with the GaN material system based heterostructure, wherein a portion of said passivating nitride layer is under at least one portion of said gate contact.
17. The transistor of claim 16, wherein said etching comprises reactive ion etching said dielectric film and inductive coupled plasma etching said heterostructure.
18. (canceled)
19. The transistor of claim 16, wherein said GaN material system based heterostructure comprises an AlGaN/GaN double heterostructure.
20-21. (canceled)
22. A high electron mobility transistor comprising:
a GaN material system based heterostructure;
doped source and drain contact regions for the GaN material system based heterostructure, wherein said doped source and drain contact regions are doped with at least one of Si+, Ge+, Si+ and N+, or Ge+ and N+;
a passivating layer over said GaN material system based heterostructure; and
a gate contact region in contact with the GaN material system based heterostructure, wherein a portion of said passivating nitride layer is under at least one portion of said gate contact.
23. The transistor of claim 22, further comprising thermally activating said dopant in said heterostructure.
24. The transistor of claim 22, further comprising forming contact electrodes over said dopant in said heterostructure.
25. The transistor of claim 22, wherein said GaN material system based heterostructure comprises at least one AlGaN/GaN heterostructure.
26. The transistor of claim 22, wherein said GaN material system based heterostructure comprises a dense nitride passivation layer.
27. The transistor of claim 22, wherein said GaN material system based heterostructure comprises a doped channel.
28. The transistor of claim 22, wherein said GaN material system based heterostructure comprises at least one AlGaN/GaN heterostructure further comprising an AlN layer.
29. The transistor of claim 22, wherein said GaN material system based heterostructure comprises a double AlGaN/GaN heterostructure.
30. The transistor of claim 22, wherein said GaN material system based heterostructure comprises a mesa region having gate, drain and source electrodes formed thereon.
31. The transistor of claim 22, further comprising a mesa structure having a plateau, wherein said passivating layer is over said plateau.
32. A high electron mobility transistor comprising:
a GaN material system based heterostructure;
doped source and drain contact regions for the GaN material system based heterostructure, wherein said doped source and drain contact regions are doped with at least one of Si+, Ge+, Si+ and N+, or Ge+ and N+;
a passivating layer over said GaN material system based heterostructure;
a channel laterally extending between the source and drain contact regions; and,
a gate electrode having a portion extending through the passivating layer, the gate electrode having an overall width less than the width of the laterally extending channel.
33. The transistor of claim 32, further comprising a mesa structure having a plateau, wherein said passivating layer is over said plateau.
US11/100,672 2005-04-07 2005-04-07 GaN-based high electron mobility transistor and method for making the same Abandoned US20060226442A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/100,672 US20060226442A1 (en) 2005-04-07 2005-04-07 GaN-based high electron mobility transistor and method for making the same
EP06740683A EP1872408A4 (en) 2005-04-07 2006-04-07 Gan-based high electron mobility transistor and method for making the same
PCT/US2006/012955 WO2006110511A2 (en) 2005-04-07 2006-04-07 GaN-BASED HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR MAKING THE SAME
US11/980,270 US7851284B2 (en) 2005-04-07 2007-10-30 Method for making GaN-based high electron mobility transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/100,672 US20060226442A1 (en) 2005-04-07 2005-04-07 GaN-based high electron mobility transistor and method for making the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/980,270 Division US7851284B2 (en) 2005-04-07 2007-10-30 Method for making GaN-based high electron mobility transistor

Publications (1)

Publication Number Publication Date
US20060226442A1 true US20060226442A1 (en) 2006-10-12

Family

ID=37082372

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/100,672 Abandoned US20060226442A1 (en) 2005-04-07 2005-04-07 GaN-based high electron mobility transistor and method for making the same
US11/980,270 Expired - Fee Related US7851284B2 (en) 2005-04-07 2007-10-30 Method for making GaN-based high electron mobility transistor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/980,270 Expired - Fee Related US7851284B2 (en) 2005-04-07 2007-10-30 Method for making GaN-based high electron mobility transistor

Country Status (3)

Country Link
US (2) US20060226442A1 (en)
EP (1) EP1872408A4 (en)
WO (1) WO2006110511A2 (en)

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070114569A1 (en) * 2005-09-07 2007-05-24 Cree, Inc. Robust transistors with fluorine treatment
US20080006845A1 (en) * 2006-06-07 2008-01-10 Interuniversitair Microelektronica Centrum Vzw (Imec) Enhancement mode field effect device and the method of production thereof
US20080023706A1 (en) * 2006-07-26 2008-01-31 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20080096335A1 (en) * 2004-08-31 2008-04-24 An-Ping Zhang SiC metal semiconductor field-effect transistors and methods for producing same
US20080121876A1 (en) * 2005-07-13 2008-05-29 Sanken Electric Co., Ltd. Surface-stabilized semiconductor device
US20080258150A1 (en) * 2007-03-09 2008-10-23 The Regents Of The University Of California Method to fabricate iii-n field effect transistors using ion implantation with reduced dopant activation and damage recovery temperature
CN100433365C (en) * 2006-10-16 2008-11-12 中国电子科技集团公司第五十五研究所 Aluminium gallium nitride/gallium nitride high electronic migration rate transistor and its manufacturing method
US20080290371A1 (en) * 2005-12-13 2008-11-27 Cree, Inc. Semiconductor devices including implanted regions and protective layers
US20090057718A1 (en) * 2007-08-29 2009-03-05 Alexander Suvorov High Temperature Ion Implantation of Nitride Based HEMTS
US20090108299A1 (en) * 2007-10-25 2009-04-30 Northrop Grumman Space And Mission Systems Corp. High electron mobility transistor semiconductor device having field mitigating plate and fabrication method thereof
US20090111253A1 (en) * 2007-10-31 2009-04-30 Nathan Ray Perkins Method for producing a transistor gate with sub-photolithographic dimensions
US20090146184A1 (en) * 2007-12-05 2009-06-11 Electronics And Telecommunications Research Institute Semiconductor device with t-gate electrode and method for fabricating the same
US20100090251A1 (en) * 2006-11-21 2010-04-15 Interuniversitair Microelektronica Centrum (Imec) SURFACE TREATMENT AND PASSIVATION OF AIGaN/GaN HEMT
US20110127604A1 (en) * 2009-11-30 2011-06-02 Ken Sato Semiconductor device
US20110233712A1 (en) * 2005-06-15 2011-09-29 Panasonic Corporation Semiconductor device and method for fabricating the same
US20120223319A1 (en) * 2011-03-04 2012-09-06 Transphorm Inc. Semiconductor diodes with low reverse bias currents
US20130056753A1 (en) * 2011-09-06 2013-03-07 Grigory Simin Semiconductor Device with Low-Conducting Field-controlling Element
US20130087803A1 (en) * 2011-10-06 2013-04-11 Epowersoft, Inc. Monolithically integrated hemt and schottky diode
JP2013179337A (en) * 2006-11-06 2013-09-09 Cree Inc Manufacturing method of semiconductor device including implantation region for forming low resistance contact in embedded layer, and relevant device
US8710511B2 (en) 2011-07-29 2014-04-29 Northrop Grumman Systems Corporation AIN buffer N-polar GaN HEMT profile
US20140124804A1 (en) * 2012-11-02 2014-05-08 Kiseong Jeon Hetero-substrate, nitride-based semiconductor light emitting device, and method for manufacturing the same
US8742460B2 (en) 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US20140191240A1 (en) * 2013-01-04 2014-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. High Electron Mobility Transistor and Method of Forming the Same
CN103928324A (en) * 2014-03-24 2014-07-16 中国电子科技集团公司第五十五研究所 AlGaN/GaN HEMT manufacturing method
KR101455526B1 (en) * 2013-01-30 2014-10-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of implanting dopants into a group iii-nitride structure and device formed
US8877574B1 (en) 2013-05-21 2014-11-04 International Business Machines Corporation Elemental semiconductor material contact for high electron mobility transistor
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
WO2015006131A1 (en) * 2013-07-08 2015-01-15 Efficient Power Conversion Corporation Method to fabricate self-aligned isolation in gallium nitride devices and integrated circuits
US9093366B2 (en) 2012-04-09 2015-07-28 Transphorm Inc. N-polar III-nitride transistors
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9171836B2 (en) 2011-10-07 2015-10-27 Transphorm Inc. Method of forming electronic components with increased reliability
US9171730B2 (en) 2013-02-15 2015-10-27 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9224671B2 (en) 2011-02-02 2015-12-29 Transphorm Inc. III-N device structures and methods
US9231064B1 (en) * 2014-08-12 2016-01-05 Raytheon Company Double heterojunction group III-nitride structures
US9245993B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US9276077B2 (en) 2013-05-21 2016-03-01 Globalfoundries Inc. Contact metallurgy for self-aligned high electron mobility transistor
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
US9443938B2 (en) 2013-07-19 2016-09-13 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US9590060B2 (en) 2013-03-13 2017-03-07 Transphorm Inc. Enhancement-mode III-nitride devices
US9985121B1 (en) * 2015-04-13 2018-05-29 Hrl Laboratories, Llc P-type diamond gate-GaN heterojunction FET structure
CN108597997A (en) * 2018-02-28 2018-09-28 中国电子科技集团公司第十三研究所 The preparation method of GaN base device Ohm contact electrode
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
CN110660844A (en) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 Semiconductor device and method of forming a semiconductor device
CN112380659A (en) * 2020-11-11 2021-02-19 天津大学 GaN HEMT equivalent circuit topological structure based on novel resistance model
CN113016074A (en) * 2021-02-19 2021-06-22 英诺赛科(苏州)科技有限公司 Semiconductor device and method for manufacturing the same
CN113035943A (en) * 2019-12-25 2021-06-25 华润微电子(重庆)有限公司 HEMT device with field plate structure and preparation method thereof
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
WO2024055885A1 (en) * 2022-09-13 2024-03-21 华为技术有限公司 Semiconductor device and preparation method therefor

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4792814B2 (en) * 2005-05-26 2011-10-12 住友電気工業株式会社 High electron mobility transistor, field effect transistor, epitaxial substrate, method for producing epitaxial substrate, and method for producing group III nitride transistor
KR100811267B1 (en) * 2005-12-22 2008-03-07 주식회사 하이닉스반도체 Method of fabricating the dual gate in semiconductor device
JP5562579B2 (en) * 2009-05-12 2014-07-30 日本碍子株式会社 Method for producing epitaxial substrate for semiconductor device
KR101720589B1 (en) * 2010-10-11 2017-03-30 삼성전자주식회사 E-mode High Electron Mobility Transistor and method of manufacturing the same
US8846482B2 (en) * 2011-09-22 2014-09-30 Avogy, Inc. Method and system for diffusion and implantation in gallium nitride based devices
EP2602827B1 (en) * 2011-12-09 2016-02-03 Imec Enhancement mode III-nitride device and method for manufacturing thereof
KR101869045B1 (en) * 2012-01-11 2018-06-19 삼성전자 주식회사 High electron mobility transistor and method of manufacturing the same
US9142407B2 (en) 2013-01-16 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having sets of III-V compound layers and method of forming the same
JP5920255B2 (en) * 2013-03-18 2016-05-18 株式会社デンソー Semiconductor device manufacturing method and dry etching apparatus used therefor
JP5832058B1 (en) * 2013-12-20 2015-12-16 日本碍子株式会社 Substrate including gallium nitride layer and method of manufacturing the same
US10270436B2 (en) 2014-11-14 2019-04-23 The Hong Kong University Of Science And Technology Transistors having on-chip integrated photon source or photonic-ohmic drain to facilitate de-trapping electrons trapped in deep traps of transistors
US9666683B2 (en) 2015-10-09 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Surface treatment and passivation for high electron mobility transistors
US9960262B2 (en) 2016-02-25 2018-05-01 Raytheon Company Group III—nitride double-heterojunction field effect transistor
CN107230626A (en) * 2016-03-25 2017-10-03 北京大学 The preparation method and gallium nitride field effect transistor of gallium nitride field effect transistor
CN107230627A (en) * 2016-03-25 2017-10-03 北京大学 The preparation method of large power semiconductor device
US10128364B2 (en) * 2016-03-28 2018-11-13 Nxp Usa, Inc. Semiconductor devices with an enhanced resistivity region and methods of fabrication therefor
US10636881B2 (en) * 2016-04-11 2020-04-28 Qorvo Us, Inc. High electron mobility transistor (HEMT) device
US10734512B2 (en) 2016-04-11 2020-08-04 Qorvo Us, Inc. High electron mobility transistor (HEMT) device
JP6888224B2 (en) 2017-10-16 2021-06-16 住友電工デバイス・イノベーション株式会社 Manufacturing method of semiconductor devices
US10644142B2 (en) 2017-12-22 2020-05-05 Nxp Usa, Inc. Semiconductor devices with doped regions functioning as enhanced resistivity regions or diffusion barriers, and methods of fabrication therefor
US11276765B2 (en) * 2019-06-25 2022-03-15 Wolfspeed, Inc. Composite-channel high electron mobility transistor
CN112993005B (en) 2019-12-02 2024-01-09 联华电子股份有限公司 Semiconductor element with platform structure and manufacturing method thereof
CN112216739B (en) * 2020-08-25 2022-08-12 西安电子科技大学 Low-thermal-resistance silicon-based gallium nitride microwave millimeter wave device material structure and preparation method

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332697A (en) * 1989-05-31 1994-07-26 Smith Rosemary L Formation of silicon nitride by nitridation of porous silicon
US5716859A (en) * 1995-12-22 1998-02-10 The Whitaker Corporation Method of fabricating a silicon BJT
US5866925A (en) * 1997-01-09 1999-02-02 Sandia Corporation Gallium nitride junction field-effect transistor
US5981986A (en) * 1992-05-11 1999-11-09 Fujitsu Limited Semiconductor device having a heterojunction
US5986291A (en) * 1993-10-12 1999-11-16 La Corporation De L'ecole Polytechnique Field effect devices
US20010009788A1 (en) * 1998-08-28 2001-07-26 Lipkin Lori A. Layered dielectric on silicon carbide semiconductor structures
US20010013604A1 (en) * 2000-01-31 2001-08-16 Sony Corporation Compound semiconductor device and process for fabricating the same
US6316820B1 (en) * 1997-07-25 2001-11-13 Hughes Electronics Corporation Passivation layer and process for semiconductor devices
US20020105076A1 (en) * 2001-01-16 2002-08-08 Megic Corporation Reliable metal bumps on top of i/o pads with test probe marks
US20020113848A1 (en) * 2001-02-22 2002-08-22 Eastman Kodak Company CMOS/MEMS integrated ink jet print head with elongated bore and method of forming same
US20030042850A1 (en) * 2001-09-04 2003-03-06 Dietrich Bertram Electroluminescent device comprising quantum dots
US6555851B2 (en) * 2000-03-30 2003-04-29 Kabushiki Kaisha Toshiba High electron mobility transistor
US20040029330A1 (en) * 2002-08-05 2004-02-12 Tahir Hussain Ohmic metal contact and channel protection in GaN devices using an encapsulation layer
US20040041169A1 (en) * 2002-08-26 2004-03-04 Fan Ren GaN-type enhancement MOSFET using hetero structure
US20040124435A1 (en) * 2002-12-27 2004-07-01 General Electric Company Homoepitaxial gallium-nitride-based electronic devices and method for producing same
US20040155260A1 (en) * 2001-08-07 2004-08-12 Jan Kuzmik High electron mobility devices
US6803289B1 (en) * 2002-06-28 2004-10-12 Cypress Semiconductor Corp. Bipolar transistor and method for making the same
US20040238842A1 (en) * 2003-06-02 2004-12-02 Hrl Laboratories, Llc GaN DHFET
US6867078B1 (en) * 2003-11-19 2005-03-15 Freescale Semiconductor, Inc. Method for forming a microwave field effect transistor with high operating voltage
US20050087766A1 (en) * 2003-10-28 2005-04-28 Fujitsu Limited Compound semiconductor device and method of fabricating the same
US6924241B2 (en) * 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
US20050179379A1 (en) * 2004-02-17 2005-08-18 Han-Ki Kim Organic light-emitting device having thin-film encapsulation portion, method of manufacturing the device, and apparatus for forming a film
US20050189651A1 (en) * 2002-07-25 2005-09-01 Matsushita Elec. Ind. Co. Ltd. Contact formation method and semiconductor device
US20050274977A1 (en) * 2004-06-15 2005-12-15 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20060006415A1 (en) * 2004-05-11 2006-01-12 Cree, Inc. Wide bandgap HEMTs with source connected field plates
US20060006414A1 (en) * 2004-06-30 2006-01-12 Marianne Germain AlGaN/GaN high electron mobility transistor devices
US20060033094A1 (en) * 2004-08-12 2006-02-16 Campbell Kristy A Resistance variable memory with temperature tolerant materials
US7052942B1 (en) * 2003-09-19 2006-05-30 Rf Micro Devices, Inc. Surface passivation of GaN devices in epitaxial growth chamber
US7192626B2 (en) * 2002-09-25 2007-03-20 L'Air Liquide, Société Anonyme á Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procédés Georges Claude Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
US7445766B2 (en) * 2000-03-02 2008-11-04 Microchips, Inc. Medical device and method for diagnostic sensing

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4873205A (en) * 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US6316793B1 (en) * 1998-06-12 2001-11-13 Cree, Inc. Nitride based transistors on semi-insulating silicon carbide substrates
US6524963B1 (en) * 1999-10-20 2003-02-25 Chartered Semiconductor Manufacturing Ltd. Method to improve etching of organic-based, low dielectric constant materials
US6465373B1 (en) * 2000-08-31 2002-10-15 Micron Technology, Inc. Ultra thin TCS (SiCl4) cell nitride for DRAM capacitor with DCS (SiH2Cl2) interface seeding layer
WO2002078096A1 (en) * 2001-03-23 2002-10-03 Oriol, Inc. TREATING N-TYPE GaN WITH A C12-BASED INDUCTIVELY COUPLED PLASMA BEFORE FORMATION OF OHMIC CONTACTS
WO2002103769A1 (en) * 2001-06-18 2002-12-27 Toyoda Gosei Co., Ltd. P-type semiconductor manufacturing method and semiconductor device
KR20040068572A (en) * 2001-12-06 2004-07-31 코닌클리즈케 필립스 일렉트로닉스 엔.브이. Method for defining a source and a drain and a gap inbetween
US6617261B2 (en) * 2001-12-18 2003-09-09 Xerox Corporation Structure and method for fabricating GaN substrates from trench patterned GaN layers on sapphire substrates
US6995032B2 (en) * 2002-07-19 2006-02-07 Cree, Inc. Trench cut light emitting diodes and methods of fabricating same
AU2003278828A1 (en) * 2002-09-13 2004-04-30 Arizona Board Of Regents Active electronic devices based on gallium nitride and its alloys grown on silicon substrates with buffer layers of sicain
US6872467B2 (en) * 2002-11-12 2005-03-29 Nve Corporation Magnetic field sensor with augmented magnetoresistive sensing layer
TWI244166B (en) * 2004-03-11 2005-11-21 Ememory Technology Inc A non-volatile memory cell and fabricating method thereof
US7432142B2 (en) * 2004-05-20 2008-10-07 Cree, Inc. Methods of fabricating nitride-based transistors having regrown ohmic contact regions

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332697A (en) * 1989-05-31 1994-07-26 Smith Rosemary L Formation of silicon nitride by nitridation of porous silicon
US5981986A (en) * 1992-05-11 1999-11-09 Fujitsu Limited Semiconductor device having a heterojunction
US5986291A (en) * 1993-10-12 1999-11-16 La Corporation De L'ecole Polytechnique Field effect devices
US5716859A (en) * 1995-12-22 1998-02-10 The Whitaker Corporation Method of fabricating a silicon BJT
US5866925A (en) * 1997-01-09 1999-02-02 Sandia Corporation Gallium nitride junction field-effect transistor
US6316820B1 (en) * 1997-07-25 2001-11-13 Hughes Electronics Corporation Passivation layer and process for semiconductor devices
US20010009788A1 (en) * 1998-08-28 2001-07-26 Lipkin Lori A. Layered dielectric on silicon carbide semiconductor structures
US20010013604A1 (en) * 2000-01-31 2001-08-16 Sony Corporation Compound semiconductor device and process for fabricating the same
US7445766B2 (en) * 2000-03-02 2008-11-04 Microchips, Inc. Medical device and method for diagnostic sensing
US6555851B2 (en) * 2000-03-30 2003-04-29 Kabushiki Kaisha Toshiba High electron mobility transistor
US20020105076A1 (en) * 2001-01-16 2002-08-08 Megic Corporation Reliable metal bumps on top of i/o pads with test probe marks
US20020113848A1 (en) * 2001-02-22 2002-08-22 Eastman Kodak Company CMOS/MEMS integrated ink jet print head with elongated bore and method of forming same
US20040155260A1 (en) * 2001-08-07 2004-08-12 Jan Kuzmik High electron mobility devices
US20030042850A1 (en) * 2001-09-04 2003-03-06 Dietrich Bertram Electroluminescent device comprising quantum dots
US6803289B1 (en) * 2002-06-28 2004-10-12 Cypress Semiconductor Corp. Bipolar transistor and method for making the same
US20050189651A1 (en) * 2002-07-25 2005-09-01 Matsushita Elec. Ind. Co. Ltd. Contact formation method and semiconductor device
US20040029330A1 (en) * 2002-08-05 2004-02-12 Tahir Hussain Ohmic metal contact and channel protection in GaN devices using an encapsulation layer
US20040041169A1 (en) * 2002-08-26 2004-03-04 Fan Ren GaN-type enhancement MOSFET using hetero structure
US7192626B2 (en) * 2002-09-25 2007-03-20 L'Air Liquide, Société Anonyme á Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procédés Georges Claude Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
US20040124435A1 (en) * 2002-12-27 2004-07-01 General Electric Company Homoepitaxial gallium-nitride-based electronic devices and method for producing same
US6924241B2 (en) * 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
US20040238842A1 (en) * 2003-06-02 2004-12-02 Hrl Laboratories, Llc GaN DHFET
US7052942B1 (en) * 2003-09-19 2006-05-30 Rf Micro Devices, Inc. Surface passivation of GaN devices in epitaxial growth chamber
US20050087766A1 (en) * 2003-10-28 2005-04-28 Fujitsu Limited Compound semiconductor device and method of fabricating the same
US6867078B1 (en) * 2003-11-19 2005-03-15 Freescale Semiconductor, Inc. Method for forming a microwave field effect transistor with high operating voltage
US20050179379A1 (en) * 2004-02-17 2005-08-18 Han-Ki Kim Organic light-emitting device having thin-film encapsulation portion, method of manufacturing the device, and apparatus for forming a film
US20060006415A1 (en) * 2004-05-11 2006-01-12 Cree, Inc. Wide bandgap HEMTs with source connected field plates
US20050274977A1 (en) * 2004-06-15 2005-12-15 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20060006414A1 (en) * 2004-06-30 2006-01-12 Marianne Germain AlGaN/GaN high electron mobility transistor devices
US20060033094A1 (en) * 2004-08-12 2006-02-16 Campbell Kristy A Resistance variable memory with temperature tolerant materials

Cited By (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080096335A1 (en) * 2004-08-31 2008-04-24 An-Ping Zhang SiC metal semiconductor field-effect transistors and methods for producing same
US7655514B2 (en) * 2004-08-31 2010-02-02 Lockheed Martin Corporation Method of fabricating a MESFET with a sloped MESA structure
US20110233712A1 (en) * 2005-06-15 2011-09-29 Panasonic Corporation Semiconductor device and method for fabricating the same
US20080121876A1 (en) * 2005-07-13 2008-05-29 Sanken Electric Co., Ltd. Surface-stabilized semiconductor device
US7714360B2 (en) * 2005-07-13 2010-05-11 Sanken Electric Co., Ltd. Surface-stabilized semiconductor device
US7638818B2 (en) * 2005-09-07 2009-12-29 Cree, Inc. Robust transistors with fluorine treatment
US7955918B2 (en) 2005-09-07 2011-06-07 Cree, Inc. Robust transistors with fluorine treatment
US20070114569A1 (en) * 2005-09-07 2007-05-24 Cree, Inc. Robust transistors with fluorine treatment
US20080290371A1 (en) * 2005-12-13 2008-11-27 Cree, Inc. Semiconductor devices including implanted regions and protective layers
US9318594B2 (en) * 2005-12-13 2016-04-19 Cree, Inc. Semiconductor devices including implanted regions and protective layers
US20080006845A1 (en) * 2006-06-07 2008-01-10 Interuniversitair Microelektronica Centrum Vzw (Imec) Enhancement mode field effect device and the method of production thereof
US8399911B2 (en) * 2006-06-07 2013-03-19 Imec Enhancement mode field effect device and the method of production thereof
US7935983B2 (en) * 2006-07-26 2011-05-03 Kabushiki Kaisha Toshiba Nitride semiconductor device
US20080023706A1 (en) * 2006-07-26 2008-01-31 Kabushiki Kaisha Toshiba Nitride semiconductor device
CN100433365C (en) * 2006-10-16 2008-11-12 中国电子科技集团公司第五十五研究所 Aluminium gallium nitride/gallium nitride high electronic migration rate transistor and its manufacturing method
JP2013179337A (en) * 2006-11-06 2013-09-09 Cree Inc Manufacturing method of semiconductor device including implantation region for forming low resistance contact in embedded layer, and relevant device
US9984881B2 (en) 2006-11-06 2018-05-29 Cree, Inc. Methods of fabricating semiconductor devices including implanted regions for providing low-resistance contact to buried layers and related devices
US20100090251A1 (en) * 2006-11-21 2010-04-15 Interuniversitair Microelektronica Centrum (Imec) SURFACE TREATMENT AND PASSIVATION OF AIGaN/GaN HEMT
US8062931B2 (en) * 2006-11-21 2011-11-22 Imec Surface treatment and passivation of AlGaN/GaN HEMT
US20080258150A1 (en) * 2007-03-09 2008-10-23 The Regents Of The University Of California Method to fabricate iii-n field effect transistors using ion implantation with reduced dopant activation and damage recovery temperature
US20090057718A1 (en) * 2007-08-29 2009-03-05 Alexander Suvorov High Temperature Ion Implantation of Nitride Based HEMTS
US7875537B2 (en) * 2007-08-29 2011-01-25 Cree, Inc. High temperature ion implantation of nitride based HEMTs
US20110101377A1 (en) * 2007-08-29 2011-05-05 Cree, Inc. High temperature ion implantation of nitride based hemts
US20090108299A1 (en) * 2007-10-25 2009-04-30 Northrop Grumman Space And Mission Systems Corp. High electron mobility transistor semiconductor device having field mitigating plate and fabrication method thereof
US7800132B2 (en) 2007-10-25 2010-09-21 Northrop Grumman Systems Corporation High electron mobility transistor semiconductor device having field mitigating plate and fabrication method thereof
US7947545B2 (en) * 2007-10-31 2011-05-24 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Method for producing a transistor gate with sub-photolithographic dimensions
US20090111253A1 (en) * 2007-10-31 2009-04-30 Nathan Ray Perkins Method for producing a transistor gate with sub-photolithographic dimensions
US8211760B2 (en) 2007-10-31 2012-07-03 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Method for producing a transistor gate with sub-photolithographic dimensions
US20090146184A1 (en) * 2007-12-05 2009-06-11 Electronics And Telecommunications Research Institute Semiconductor device with t-gate electrode and method for fabricating the same
US7973368B2 (en) * 2007-12-05 2011-07-05 Electronics And Telecommunications Research Institute Semiconductor device with T-gate electrode
US9293561B2 (en) 2009-05-14 2016-03-22 Transphorm Inc. High voltage III-nitride semiconductor devices
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US20110127604A1 (en) * 2009-11-30 2011-06-02 Ken Sato Semiconductor device
US8742460B2 (en) 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US9437707B2 (en) 2010-12-15 2016-09-06 Transphorm Inc. Transistors with isolation regions
US9147760B2 (en) 2010-12-15 2015-09-29 Transphorm Inc. Transistors with isolation regions
US9224671B2 (en) 2011-02-02 2015-12-29 Transphorm Inc. III-N device structures and methods
US8895423B2 (en) 2011-03-04 2014-11-25 Transphorm Inc. Method for making semiconductor diodes with low reverse bias currents
US8772842B2 (en) * 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
US20120223319A1 (en) * 2011-03-04 2012-09-06 Transphorm Inc. Semiconductor diodes with low reverse bias currents
US8710511B2 (en) 2011-07-29 2014-04-29 Northrop Grumman Systems Corporation AIN buffer N-polar GaN HEMT profile
US9224805B2 (en) 2011-09-06 2015-12-29 Transphorm Inc. Semiconductor devices with guard rings
US20130056753A1 (en) * 2011-09-06 2013-03-07 Grigory Simin Semiconductor Device with Low-Conducting Field-controlling Element
US9806184B2 (en) 2011-09-06 2017-10-31 Sensor Electronic Technology, Inc. Semiconductor device with low-conducting field-controlling element
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US20130087803A1 (en) * 2011-10-06 2013-04-11 Epowersoft, Inc. Monolithically integrated hemt and schottky diode
US9171836B2 (en) 2011-10-07 2015-10-27 Transphorm Inc. Method of forming electronic components with increased reliability
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9685323B2 (en) 2012-02-03 2017-06-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9490324B2 (en) 2012-04-09 2016-11-08 Transphorm Inc. N-polar III-nitride transistors
US9093366B2 (en) 2012-04-09 2015-07-28 Transphorm Inc. N-polar III-nitride transistors
US9634100B2 (en) 2012-06-27 2017-04-25 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9680055B2 (en) * 2012-11-02 2017-06-13 Lg Electronics Inc. Hetero-substrate, nitride-based semiconductor light emitting device, and method for manufacturing the same
US20140124804A1 (en) * 2012-11-02 2014-05-08 Kiseong Jeon Hetero-substrate, nitride-based semiconductor light emitting device, and method for manufacturing the same
US9525054B2 (en) * 2013-01-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US20140191240A1 (en) * 2013-01-04 2014-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. High Electron Mobility Transistor and Method of Forming the Same
US9899493B2 (en) 2013-01-04 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
KR101455526B1 (en) * 2013-01-30 2014-10-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of implanting dopants into a group iii-nitride structure and device formed
US10164038B2 (en) 2013-01-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of implanting dopants into a group III-nitride structure and device formed
US10937878B2 (en) 2013-01-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of implanting dopants into a group III-nitride structure and device formed
US11594606B2 (en) 2013-01-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of implanting dopants into a group III-nitride structure and device formed
US9171730B2 (en) 2013-02-15 2015-10-27 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US9520491B2 (en) 2013-02-15 2016-12-13 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US10535763B2 (en) 2013-03-13 2020-01-14 Transphorm Inc. Enhancement-mode III-nitride devices
US10043898B2 (en) 2013-03-13 2018-08-07 Transphorm Inc. Enhancement-mode III-nitride devices
US9590060B2 (en) 2013-03-13 2017-03-07 Transphorm Inc. Enhancement-mode III-nitride devices
US9245992B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9865719B2 (en) 2013-03-15 2018-01-09 Transphorm Inc. Carbon doping semiconductor devices
US9245993B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US8877574B1 (en) 2013-05-21 2014-11-04 International Business Machines Corporation Elemental semiconductor material contact for high electron mobility transistor
US9276077B2 (en) 2013-05-21 2016-03-01 Globalfoundries Inc. Contact metallurgy for self-aligned high electron mobility transistor
US9231094B2 (en) 2013-05-21 2016-01-05 Globalfoundries Inc. Elemental semiconductor material contact for high electron mobility transistor
WO2015006131A1 (en) * 2013-07-08 2015-01-15 Efficient Power Conversion Corporation Method to fabricate self-aligned isolation in gallium nitride devices and integrated circuits
US9214528B2 (en) 2013-07-08 2015-12-15 Efficient Power Conversion Corporation Method to fabricate self-aligned isolation in gallium nitride devices and integrated circuits
CN105308721A (en) * 2013-07-08 2016-02-03 宜普电源转换公司 Method to fabricate self-aligned isolation in gallium nitride devices and integrated circuits
US10043896B2 (en) 2013-07-19 2018-08-07 Transphorm Inc. III-Nitride transistor including a III-N depleting layer
US9443938B2 (en) 2013-07-19 2016-09-13 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US9842922B2 (en) 2013-07-19 2017-12-12 Transphorm Inc. III-nitride transistor including a p-type depleting layer
CN103928324A (en) * 2014-03-24 2014-07-16 中国电子科技集团公司第五十五研究所 AlGaN/GaN HEMT manufacturing method
US9935190B2 (en) 2014-07-21 2018-04-03 Transphorm Inc. Forming enhancement mode III-nitride devices
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
WO2016025043A1 (en) * 2014-08-12 2016-02-18 Raytheon Company Double heterojunction iii-nitride structures
US9231064B1 (en) * 2014-08-12 2016-01-05 Raytheon Company Double heterojunction group III-nitride structures
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US9985121B1 (en) * 2015-04-13 2018-05-29 Hrl Laboratories, Llc P-type diamond gate-GaN heterojunction FET structure
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
US10629681B2 (en) 2016-05-31 2020-04-21 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
US11121216B2 (en) 2016-05-31 2021-09-14 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer
US11239081B2 (en) 2018-02-28 2022-02-01 The 13Th Research Institute Of China Electronics Method for preparing ohmic contact electrode of gallium nitride-based device
CN108597997A (en) * 2018-02-28 2018-09-28 中国电子科技集团公司第十三研究所 The preparation method of GaN base device Ohm contact electrode
US20210226040A1 (en) * 2018-06-29 2021-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. High electron mobility transistor (hemt) device and method of forming same
US11011614B2 (en) * 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor (HEMT) device and method of forming same
TWI717773B (en) * 2018-06-29 2021-02-01 台灣積體電路製造股份有限公司 Semiconductor device and method of forming same
US11522067B2 (en) * 2018-06-29 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor (HEMT) device and method of forming same
CN110660844A (en) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 Semiconductor device and method of forming a semiconductor device
CN113035943A (en) * 2019-12-25 2021-06-25 华润微电子(重庆)有限公司 HEMT device with field plate structure and preparation method thereof
CN112380659A (en) * 2020-11-11 2021-02-19 天津大学 GaN HEMT equivalent circuit topological structure based on novel resistance model
CN113016074A (en) * 2021-02-19 2021-06-22 英诺赛科(苏州)科技有限公司 Semiconductor device and method for manufacturing the same
US11929406B2 (en) 2021-02-19 2024-03-12 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
WO2024055885A1 (en) * 2022-09-13 2024-03-21 华为技术有限公司 Semiconductor device and preparation method therefor

Also Published As

Publication number Publication date
US7851284B2 (en) 2010-12-14
EP1872408A2 (en) 2008-01-02
WO2006110511A2 (en) 2006-10-19
WO2006110511A3 (en) 2007-03-22
EP1872408A4 (en) 2009-11-18
US20080124851A1 (en) 2008-05-29

Similar Documents

Publication Publication Date Title
US7851284B2 (en) Method for making GaN-based high electron mobility transistor
US7678628B2 (en) Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
US8105889B2 (en) Methods of fabricating transistors including self-aligned gate electrodes and source/drain regions
US7592211B2 (en) Methods of fabricating transistors including supported gate electrodes
US7045404B2 (en) Nitride-based transistors with a protective layer and a low-damage recess and methods of fabrication thereof
US9142636B2 (en) Methods of fabricating nitride-based transistors with an ETCH stop layer
JP5805608B2 (en) Method of making a transistor with a supported gate electrode and associated device
EP1747589A1 (en) Methods of fabricating nitride-based transistors having regrown ohmic contact regions and nitride-based transistors having regrown ohmic contact regions
CN113140630B (en) Preparation method of p-type nitride gate of enhanced HEMT and method for preparing enhanced nitride HEMT by using p-type nitride gate
JP3751495B2 (en) Semiconductor device and manufacturing method thereof
KR20130053952A (en) Mosfet and method for manufacturing thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: GENERAL ELECTRIC COMPANY, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHANG, AN-PING;KRETCHMER, JAMES WILLIAM;KAMINSKY, EDMUND BENJAMIN, JR.;REEL/FRAME:016468/0307;SIGNING DATES FROM 20050329 TO 20050331

Owner name: LOCKHEED MARTIN CORPORATION, MARYLAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GENERAL ELECTRIC COMPANY;REEL/FRAME:016468/0189

Effective date: 20050404

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION