US20060243209A1 - Substrate susceptors for receiving semiconductor substrates to be deposited upon - Google Patents

Substrate susceptors for receiving semiconductor substrates to be deposited upon Download PDF

Info

Publication number
US20060243209A1
US20060243209A1 US11/444,768 US44476806A US2006243209A1 US 20060243209 A1 US20060243209 A1 US 20060243209A1 US 44476806 A US44476806 A US 44476806A US 2006243209 A1 US2006243209 A1 US 2006243209A1
Authority
US
United States
Prior art keywords
susceptor
substrate
semiconductor substrate
back side
received
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/444,768
Inventor
Eric Blomiley
Nirmal Ramaswamy
Ross Dando
Joel Drewes
Danny Dynka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/444,768 priority Critical patent/US20060243209A1/en
Publication of US20060243209A1 publication Critical patent/US20060243209A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • C23C14/505Substrate holders for rotation of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon

Definitions

  • This invention relates to substrate susceptors for receiving semiconductor substrates to be deposited upon and to methods of depositing materials over semiconductor substrates.
  • Integrated circuitry fabrication includes deposition of material and layers over a substrate.
  • One or more substrates are received within a deposition chamber within which deposition typically occurs.
  • One or more precursors or substances are caused to flow to the substrate, typically as a vapor, to effect deposition of a layer over the substrate.
  • a single substrate is typically positioned or supported for deposition by a susceptor.
  • a susceptor is any device which holds or supports at least one wafer within a chamber or environment for deposition. Deposition may occur by chemical vapor deposition, atomic layer deposition and/or by other means.
  • FIGS. 1 and 2 diagrammatically depict a prior art susceptor 10 , and issues associated therewith which motivated some aspects of the invention.
  • Susceptor 10 comprises a body 12 which receives a substrate 14 for deposition.
  • Substrate 14 is received within a pocket or recess 16 of susceptor body 12 to elevationally and laterally retain substrate 14 in the desired position.
  • FIG. 2 depicts a thermal deposition system having at least two radiant heating sources for each side of susceptor 10 . Depicted are front side and back side peripheral radiation emitting sources 18 and 20 , respectively, and front side and back side radially inner radiation emitting sources 22 and 24 , respectively. Incident radiation from sources 18 , 20 , 22 and 24 typically overlap one another on the susceptor and substrate, creating overlap areas 25 . Such can cause an annular region of the substrate corresponding in position to overlap areas 25 to be hotter than other areas of the substrate not so overlapped. Further, the center and periphery of the substrate can be cooler than even the substrate area which is not overlapped due to less than complete or even exposure to the incident radiation.
  • the susceptor is typically caused to rotate during deposition, with deposition precursor gas flows occurring along arrows “A” from one edge of the wafer, over the wafer and to the opposite side where such is exhausted from the chamber.
  • Arrow “B” depicts a typical H 2 gas curtain within the chamber proximate a slit valve through which the substrate is moved into and out of the chamber.
  • a preheat ring (not shown) is typically received about the susceptor, and provides another heat source which heats the gas flowing within the deposition chamber to the wafer along arrows A and B.
  • the periphery of the substrate proximate where arrows A and B indicate gas flowing to the substrate is cooler than the central portion and the right-depicted portion of the substrate where the gas exits.
  • robotic arms are typically used to position substrate 14 within recess 16 .
  • Such positioning of substrate 14 does not always result in the substrate being positioned entirely within susceptor recess 16 .
  • gas flow might dislodge the wafer such that it is received both within and without recess 16 .
  • Such can further result in temperature variation across the substrate and, regardless, result in less controlled or uniform deposition over substrate 14 .
  • a substrate to be deposited upon includes outwardly exposed elemental silicon containing surfaces as well as surfaces not containing silicon in elemental form.
  • the silicon will preferentially/selectively grow typically only over the silicon surfaces and not the non-silicon surfaces. In many instances, near infinite selectivity is attained, at least for the typical thickness levels at which the selective epitaxial silicon is deposited or grown.
  • An exemplary prior art method for depositing selective epitaxial silicon includes flows of dichlorosilane at from 50 sccm to 500 sccm, HCl at from 50 sccm to 300 sccm and H 2 at from 3 slm to 40 slm.
  • An exemplary preferred temperature range is from 750° C. to 1,050° C., with 850° C. being a specific example.
  • An exemplary pressure range is from 5 Torr to 100 Torr, with 30 Torr being a specific example.
  • Certain aspects of the invention also encompass selective epitaxial silicon-comprising deposition using the just-described prior art process (preferred), as well as other existing or yet-to-be developed methods.
  • An exemplary prior art susceptor comprises graphite completely coated with a thin layer (75 microns) of SiC. Such graphite typically has a thermal conductivity of from 180-200 W/mK, while that of SiC is about 250 W/mK.
  • a selective epitaxial silicon process such as described above will also deposit upon silicon carbide in addition to elemental form silicon. Accordingly, the susceptor also gets deposited upon during a selective epitaxial silicon deposition over regions of a substrate desired to be deposited upon received by the susceptor. This is undesirable at least for purposes of temperature control of the substrate during deposition.
  • the deposition chamber used in the above-described processing includes upper and lower transparent domes or chamber walls which in part define the internal chamber volume within which deposition occurs.
  • Such domes are transparent to incident infrared radiation, with the lamps which heat the susceptor and substrate being received external of the chamber and domes, with light passing therethrough to provide desired temperature during the deposition.
  • temperature control typically includes the sensing of the temperature of the back side of the susceptor using optical pyrometry techniques.
  • such comprises a non-contacting temperature sensing whereby a sensor received externally of the lower dome is directed to the back side of the susceptor and measures emissivity therefrom and from which the temperature of the susceptor and substrate are derived.
  • drift in process control can occur after processing from only 1 to 4 wafers.
  • the accumulated silicon on the susceptor back side has caused a temperature drift of from 1° C. to 2° C.
  • present methods of contending with the same include a between wafer chamber dry-clean to etch the susceptor, as well as re-depositing a small amount of silicon on the susceptor to provide an initial uniform surface.
  • Such processing can take about as long as processing a single wafer alone, and accordingly reduces throughput by about 50 percent.
  • wafer repeatability in the selective silicon deposition is poor.
  • a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon, where the depositing comprises measuring emissivity of the susceptor from at least one susceptor location in a non-contacting manner includes a body having a front substrate receiving side, a back side, and a peripheral edge. At least one susceptor location from which emissivity is to be measured is received on at least one of the front substrate receiving side, the back side, and the edge.
  • Such at least one susceptor location comprises an outermost surface comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor for at least an initial thickness of epitaxial silicon depositing on said substrate.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising susceptor back side radiant heating
  • the body comprises multiple materials having at least two different thermal conductivities.
  • an outer material is received across the back side and has a higher thermal conductivity than an immediately adjacent material of the body, with such outer material comprising at least one of polycrystalline diamond and copper.
  • the outer material is not received over an outer portion of any of the front substrate receiving side.
  • an outer material is received across the back side and which has a thermal conductivity which is at least three times higher than that of an immediately adjacent material of the body.
  • the multiple materials are received at different radial locations and not across an entirety of either the body front side or the body back side.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising heating of the susceptor includes a body having a front substrate receiving side, a back side, and a peripheral edge.
  • the body comprises a peripheral-most region comprising at least 10% of radius of the body and an inner region received radially inward of the peripheral-most region.
  • the body comprises multiple materials having at least two different thermal conductivities.
  • the peripheral-most region and the inner region have different average thermal conductivities.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge.
  • the body comprises at least one solid portion therethrough that is transparent to infrared radiation.
  • a method of depositing a material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor.
  • the susceptor has at least one solid portion therethrough that is transparent to infrared radiation and over which the semiconductor substrate is received.
  • a material is deposited over the semiconductor substrate.
  • substrate temperature is detected by measuring substrate emissivity from a back side of the semiconductor substrate through the at least one infrared radiation transparent portion of the susceptor from a back side of the susceptor using a non-contacting emissivity sensor.
  • radiant energy is impinged onto the susceptor back side through the transparent solid portion effective to heat the semiconductor substrate being deposited upon.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side face, a back side face, and a peripheral edge.
  • the body comprises a ring having a radial inner portion at least a radial majority of which is non-solid space extending from the front side face to the back side face.
  • a method of depositing an elemental silicon-comprising material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor.
  • the susceptor has a front substrate receiving side face and a back side face.
  • the susceptor comprises a ring having a radial inner portion at least a radial majority of which is non-solid space extending from the front side face to the back side face.
  • the semiconductor substrate comprises a front side and a back side.
  • An elemental silicon-comprising material is deposited at least on the substrate front side. During the depositing, radiant energy is impinged onto the substrate back side through the radial central non-solid portion of the susceptor.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge. At least three movable substrate edge clamps are associated with the body, with the movable substrate edge clamps being positioned to engage a peripheral edge of a semiconductor substrate received by the body for deposition thereupon.
  • a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor. A peripheral edge of the semiconductor substrate is engaged with at least three radially movable substrate edge clamps on the susceptor. The susceptor with semiconductor substrate is caused to rotate. A material is deposited over the semiconductor substrate while the semiconductor substrate is engaged with the substrate edge clamps.
  • a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor.
  • the susceptor with semiconductor substrate is rotated at a rotational speed effective to cause at least three radially movable substrate edge clamps on the susceptor to engage a peripheral edge of the semiconductor substrate.
  • rotation of the susceptor is continued while depositing a material over the semiconductor substrate.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge.
  • a substrate bearing surface is on the front substrate receiving side.
  • the bearing surface comprises at least one vacuum opening configured to apply a pulling force on a semiconductor substrate received by the body against the bearing surface.
  • a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate on a substrate bearing surface of a susceptor.
  • the bearing surface comprises at least one vacuum opening therein.
  • a vacuum force is applied to the at least one opening effective to apply a pulling force on the semiconductor substrate against the bearing surface. While the vacuum force is applied, the susceptor is rotated while depositing a material over the semiconductor substrate.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge.
  • a surface is on the front substrate receiving side over which at least a portion of a semiconductor substrate to be deposited upon is to be received.
  • the surface comprises at least three gas emitting openings configured to apply a substrate levitating and rotating force from gas emitted from the openings effective to levitate and rotate said semiconductor substrate relative to the susceptor body.
  • a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate over a surface of a susceptor.
  • the surface comprises at least three gas emitting openings therein. Gas is emitted from the at least three openings effective to levitate and rotate the semiconductor substrate relative to the susceptor. While levitating and rotating the semiconductor substrate relative to the susceptor, a material is deposited over the semiconductor substrate.
  • FIG. 1 is a top view of a prior art susceptor.
  • FIG. 2 is a diagrammatic section of the FIG. 1 susceptor taken through line 2 - 2 in FIG. 1 .
  • FIG. 3 is a diagrammatic section of an embodiment of a susceptor in accordance with an aspect of the invention, taken through line 3 - 3 in FIG. 4 .
  • FIG. 4 is a top view of the FIG. 3 susceptor.
  • FIG. 5 is an alternate embodiment susceptor to that shown by FIG. 3 .
  • FIG. 6 is an alternate embodiment susceptor to that shown by FIG. 3 .
  • FIG. 7 a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 8 is an alternate embodiment susceptor to that shown by FIG. 7 .
  • FIG. 9 is a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention, taken through line 9 - 9 in FIG. 10 .
  • FIG. 10 is a top view of the FIG. 9 susceptor.
  • FIG. 11 is an alternate embodiment susceptor to that shown by FIG. 9 .
  • FIG. 12 is a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 13 is an alternate embodiment susceptor to that shown by FIG. 12 .
  • FIG. 14 is a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention, taken through line 14 - 14 in FIG. 15 .
  • FIG. 15 is a top view of the FIG. 14 susceptor.
  • FIG. 16 is an alternate embodiment susceptor to that shown by FIG. 15 .
  • FIG. 17 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 18 is a diagrammatic section of the FIG. 17 susceptor taken through line 18 - 18 in FIG. 17 .
  • FIG. 19 is a top view of the FIG. 17 susceptor in one operational configuration in accordance with an aspect of the invention.
  • FIG. 20 is a diagrammatic section of the FIG. 19 susceptor taken through line 20 - 20 in FIG. 19 .
  • FIG. 21 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 22 is a diagrammatic section of the FIG. 21 susceptor taken through line 22 - 22 in FIG. 21 .
  • FIG. 23 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 24 is a diagrammatic section of the FIG. 23 susceptor taken through line 24 - 24 in FIG. 21 .
  • FIG. 25 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 26 is a diagrammatic section of the FIG. 25 susceptor taken through line 26 - 26 in FIG. 25 .
  • FIG. 27 is a diagrammatic section of the FIG. 25 susceptor taken through line 27 - 27 in FIG. 25 .
  • the invention comprises structural and methodical aspects, neither of which is limited by the other unless literal limiting language thereto appears in a claim under analysis.
  • a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon is indicated generally with reference numeral 30 .
  • Such depositing will comprise measuring the emissivity of the susceptor from at least one susceptor location in a non-contacting manner, for example and by way of example only, by optical pyrometry using an infrared sensor.
  • Susceptor 30 comprises a body 32 having a front substrate receiving side 34 , a back side 35 and a peripheral edge 36 .
  • Front side 34 has a substrate receiving recess 38 formed therein.
  • a substrate to be deposited upon is depicted in FIG. 3 in dashed lines, designated with numeral 31 , and received within recess 38 . Of course, no recess need be utilized.
  • FIG. 3 includes a bold arrow 40 depicting an exemplary path of non-contacting sensing of emissivity to/from a remote sensor (not shown).
  • Path 40 extends to a susceptor location 42 , in this example received on back side 35 , from which emissivity is to be measured.
  • susceptor 30 is caused to rotate, such at least one susceptor location will encompass an annulus 45 ( FIG. 4 ).
  • more than a single location for emissivity sensing is of course contemplated, for example multiple discontinuous susceptor locations. Such might be in the form of multiple spaced annuluses when the susceptor rotates, or isolated spots or areas where the susceptor is not caused to rotate.
  • Susceptor location 42 comprises an outermost surface 43 comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor (i.e., substrate 31 ) for at least an initial thickness of epitaxial silicon depositing on such substrate.
  • Exemplary preferred materials include one or more of SiO 2 , Si 3 N 4 , Al 2 O 3 , BN and ZrO 2 .
  • the material of outermost surface 43 might comprise, consist essentially of or consist of these or other stated materials having the stated attributes.
  • only a portion of the susceptor body might be comprised of the material, or all of the susceptor body might be comprised of the material.
  • the body depicted in FIG. 3 might be formed to consist essentially entirely of a material upon which selective epitaxial silicon will not deposit for at least an initial thickness of epitaxial silicon depositing on a substrate received by the susceptor.
  • FIG. 3 depicts susceptor body 32 as comprising different materials, for example two different materials 44 and 46 .
  • Material 46 is depicted as comprising a layer 46 which, in the preferred embodiment, is formed over all of back side 35 and upon which location 42 / 45 is received. Further in this example, and as would occur further by way of example only where all of the body comprised the stated material, the side or edge on which the at least one susceptor location is received comprises an outermost surface comprising such material external of location 42 / 45 .
  • material 44 might comprise a prior art or yet-to-be developed material.
  • One exemplary preferred material is the prior art silicon carbide-coated graphite material described above.
  • An exemplary preferred thickness range for material 46 is from 5,000 Angstroms to 100 microns, with from 50 microns to 100 microns being preferred.
  • FIGS. 3 and 4 depict the at least one susceptor location from which emissivity is to be measured as being received on back side 35 .
  • FIG. 5 depicts an alternate embodiment substrate susceptor 30 a wherein at least one susceptor location from which emissivity is to be measured is received on front substrate receiving side 34 a .
  • a layer 46 a of the stated material is shown received over a peripheral area or region relative to recess 38 a on front side 34 a for an emissivity sensing path 40 a.
  • FIG. 6 depicts a substrate susceptor 30 b having at least one susceptor location from which emissivity is to be measured being received on peripheral edge 36 b .
  • a layer 46 b of the above-stated material is received over peripheral edge 36 b and comprises a location 42 b from which emissivity is to be measured.
  • any of the above attributes could be combined, or the location provided as indicated or otherwise in the context of a susceptor which is substantially homogenously made of the stated material(s) upon which epitaxial silicon will not deposit.
  • it is preferably stable at the selective, epitaxial silicon processing temperatures (i.e., 850° C.) as well as, of course, stable to the processing gases.
  • the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition which comprises susceptor back side radiant heating, for example and by way of example only, as described in the above Background section.
  • FIG. 7 depicts one such embodiment substrate susceptor, indicated generally with reference numeral 50 .
  • Such comprises a body 54 having a front substrate receiving side 51 , a back side 52 and a peripheral edge 53 .
  • Body 54 comprises multiple materials having at least two different thermal conductivities, for example materials 56 and 58 .
  • Material 58 comprises an outer material received across back side 52 and which has a higher thermal conductivity than an immediately adjacent material of body 54 , specifically in this example that portion of material 56 which contacts outer material 58 .
  • outer in the context of “outer material” or “outer portion” requires a material or portion that is at least received in an outermost half portion of the medial front or back half of the susceptor body, in other words received at least in the front side or back side outermost one quarter of the maximum body thickness.
  • outer material 58 comprises at least one of copper and polycrystalline diamond. In one implementation, the outer material is not received over an outer portion of any of the front substrate receiving side.
  • outer material 58 has a thermal conductivity which is at least three times higher than that of an immediately adjacent material of the body.
  • the body comprises SiC-coated graphite.
  • material 56 might consist essentially of SiC-coated graphite.
  • Outer material 58 is received across back side 52 over the SiC, and has a higher thermal conductivity than that of the SiC and the graphite.
  • polycrystalline diamond can be deposited utilizing H 2 and CH 4 in a microwave plasma at 700° C.-800° C. at from 30 Torr to 3,000 Torr.
  • copper can be deposited by sputter deposition from a copper target.
  • Polycrystalline diamond has a thermal conductivity typically between 1,500 and 2,500 W/mK, while copper typically has a thermal conductivity of 390 W/mK.
  • FIG. 7 depicts one preferred embodiment where outer material 58 comprises the outermost material relative to back side 52 . Further in the illustrated FIG. 7 embodiment, material 58 is of uniform thickness across back side 52 . An exemplary preferred thickness is from 500 Angstroms to 100 microns, with a more preferred thickness range being from 500 Angstroms to 1 micron.
  • FIG. 8 depicts an alternate embodiment substrate susceptor 50 a having an outer material 58 a of non-uniform thickness. Preferred thickness ranges for the depicted maximum and minimum thickness areas are each as described above in connection with the FIG. 7 embodiment.
  • such embodiment(s) might result in improved temperature uniformity of a substrate received by the susceptor in the context of back side radiant heating.
  • incident back side radiant heating includes an overlap area 25 on the back side of the susceptor as depicted and described in connection with FIG. 2 .
  • layer 58 might improve temperature uniformity across a substrate received by the susceptor than would otherwise occur under identical conditions in the absence of an outer material being received across the susceptor back side which has higher thermal conductivity than immediately adjacent material of the body.
  • the invention encompasses a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition which comprises heating of the susceptor.
  • heating might be by the lamp heating described above or by some form of resistive or other heating wherein at least some desired heat transfer effect is occurring from the susceptor to a substrate received by the susceptor for deposition thereupon.
  • FIGS. 9 and 10 depict one implementation of such a substrate susceptor, indicated generally with reference numeral 60 .
  • Such comprises a body 62 having a front substrate receiving side 63 , a back side 64 and a peripheral edge 65 .
  • body 62 comprises multiple materials 66 , 67 and 68 which have at least two different thermal conductivities and are received at different radial locations and are not received across an entirety of either the body front side or the body back side.
  • susceptor body 62 (as in preferred embodiments of all of the above-described susceptors) is round.
  • Materials 66 and 68 might comprise the same material, with material 67 comprising a material having different thermal conductivity than that of material 66 / 68 . Accordingly in such instance, and by way of example only, the stated multiple materials constitute only two different materials. Further by way of example only, materials 66 , 67 and 68 might each comprise different materials having different thermal conductivities whereby the stated multiple materials number three. Further in one implementation, more than three materials might be utilized.
  • an exemplary lower thermal conductive material comprises graphite, including a mass of silicon carbide-coated graphite.
  • a higher thermal conductivity material comprises at least one of copper and polycrystalline diamond.
  • FIGS. 9 and 10 depict substrate susceptor 60 as might be configured in exemplary embodiments as described above for rotation while receiving a substrate for deposition thereupon.
  • Substrate susceptor 60 depicts different radial locations 70 , 72 and 74 .
  • such radial locations are continuous about some portion of an arc, preferably at least 10 percent of the circumference of the susceptor body.
  • radial locations 70 and 72 are continuous about two annuluses.
  • At least one of materials 66 , 67 and 68 is received on an outermost surface of at least one of front side 63 and back side 64 , with all such materials 66 , 67 and 68 extending from front side 63 to back side 64 , and regardless being received on the outermost surface of front side 63 and back side 64 .
  • thermally conductive materials might be utilized to contend with hotter and colder areas of the substrate towards improving temperature uniformity.
  • material 67 might be comprised of a lower thermally conductive material as compared to materials 66 and 68 .
  • materials 66 and 68 could comprise one or more higher thermally conductive material(s), preferably providing more substrate temperature uniformity of the substrate being deposited upon than would otherwise occur under identical conditions in the absence of such multiple different thermal conductivity materials.
  • a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising heating of the susceptor includes a body 62 comprising a peripheral-most region 70 preferably comprising at least 10 percent of the radius of susceptor 60 .
  • Body 62 comprises an inner region such as, by way of example only, region 72 , region 74 , or a combination of regions 72 and 74 .
  • region 72 can be considered as a first region received radially inward of peripheral-most region 70
  • region 74 can be considered as a second region received radially inward of first region 72 , and by way of example.
  • body 62 comprises multiple materials having at least two different thermal conductivities.
  • the peripheral-most region and the inner region have different average thermal conductivities.
  • first region 72 has an average thermal conductivity which is different from an average thermal conductivity of second region 74 .
  • the thermal conductivities of such respective regions could be either higher or lower than the other.
  • the stated regions might respectively be homogeneous or comprise multiple different materials and layers having the same or at least two different thermal conductivities.
  • FIG. 11 depicts an alternate embodiment substrate susceptor 60 a for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising heating of the susceptor.
  • material 67 a does not extend from front side 63 a to back side 64 a .
  • Alternate exemplary embodiments are also contemplated, for example different thermal conductivity materials embedded centrally or otherwise within material of a different thermal conductivity (not shown).
  • the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only, as is indicated generally with reference numeral 80 in FIG. 12 .
  • Susceptor 80 comprises a body 82 having a front substrate receiving side 83 , a back side 84 and a peripheral edge 85 .
  • Body 82 comprises at least one solid portion therethrough that is transparent to infrared radiation.
  • a wall which is transparent to infrared radiation passes at least 75% of incident infrared radiation therethrough.
  • exemplary preferred materials include silicon dioxides and sapphire.
  • FIG. 12 depicts body 82 as comprising a transparent material portion 88 and a substantially infrared opaque portion 90 (i.e., SiC-coated graphite).
  • a substrate 31 to be deposited upon is shown received by susceptor 80 , with susceptor 80 thereby comprising a substrate area 87 over or within which substrate 31 is received.
  • solid portion 88 is transparent to infrared radiation over at least all of substrate area 87 .
  • FIG. 12 depicts an embodiment wherein only a single solid portion of body 82 is transparent to infrared radiation, and wherein only a portion of body 82 is transparent to infrared radiation.
  • FIG. 13 depicts an alternate embodiment substrate susceptor 80 a wherein the entire body is encompassed by material that is transparent to infrared radiation.
  • Like numerals from the FIG. 12 described embodiment are utilized where appropriate, with differences being indicated with the suffix “a”.
  • An aspect of the invention also includes a method of depositing a material over a semiconductor substrate.
  • a semiconductor substrate is positioned on a susceptor, where the susceptor has at least one solid portion therethrough that is transparent to infrared radiation and over which the semiconductor substrate is received.
  • FIG. 12 depicts such a susceptor 80 .
  • a material is deposited over the substrate.
  • substrate temperature is detected by measuring substrate emissivity from a back side of the semiconductor substrate through at least one infrared radiation transparent portion of the susceptor from a back side of the susceptor using a non-contacting emissivity sensor.
  • a pyrometric measurement as described above in connection with the first-described embodiment could be utilized from susceptor back side 84 through transparent portion 88 to and from the back side of substrate 31 received by susceptor 80 .
  • a method of depositing a material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor.
  • the susceptor has at least one solid portion therethrough that is transparent to infrared radiation and over which the semiconductor substrate is received.
  • a material is deposited over the semiconductor substrate.
  • radiant energy is impinged onto the susceptor back side through the transparent solid portion effective to heat the semiconductor substrate being deposited upon.
  • preferred materials for deposition include silicon materials, for example amorphous, monocrystalline, and/or polycrystalline silicon, including mixtures thereof with germanium.
  • Exemplary equipment includes the prior art equipment utilized and described above comprising back side radiant heating with a transparent portion susceptor as described in connection with FIGS. 12 and 13 .
  • Existing technology typically relies upon the susceptor to absorb infrared energy from lamps which heat the chamber then radiate the heat to the wafer and, in time equilibrate with the susceptor.
  • detecting the substrate temperature by measuring substrate emissivity through a transparent portion of the susceptor or by heating the semiconductor substrate through an infrared transparent portion of the susceptor, improved heating and actual substrate temperature measurements might be obtained.
  • the invention encompasses a substrate susceptor for receiving a semiconductor substrate to be deposited upon, by way of example only as depicted in FIGS. 14 and 15 , and indicated generally with reference numeral 94 .
  • Substrate susceptor 94 comprises a body 96 having a front substrate receiving side face 97 , a back side face 98 and a peripheral edge 99 .
  • Body 96 comprises a ring 100 having a radial inner portion 102 at least a radial majority of which is non-solid space extending from front side face 97 to back side face 98 .
  • ring 100 has an inner surface 104 which is continuous and round.
  • radial inner portion 102 is centered relative to body 96 .
  • susceptor 94 is configured for receipt of a substrate 31 to extend peripherally beyond non-solid space 102 . In the depicted embodiment, such is accommodated for by means including a recess 106 . Where a susceptor is configured for receipt of a substrate to extend peripherally beyond the non-solid space, preferably at least a majority of the substrate overlies non-solid space, more preferably at least 90 percent, and even more preferably at least 95 percent overlies non-solid space.
  • FIGS. 14 and 15 depict a substrate susceptor wherein all of radial inner portion 102 is non-solid.
  • substrate susceptor 94 a is depicted in FIG. 16 .
  • Like numerals from the first-described embodiment are utilized where appropriate, with differences being indicated with the suffix “a” or with different numerals.
  • Substrate susceptor 94 a comprises a radial inner portion 102 a having cross pieces 108 and 110 extending thereacross. Such might be opaque to any incident infrared radiation employed from the back side of the substrate, or of course might be transparent thereto.
  • aspects of the invention include a method of depositing an elemental silicon comprising material over a semiconductor substrate.
  • a semiconductor substrate is positioned on a susceptor, for example substrate 31 positioned relative to susceptor 94 in FIG. 14 .
  • Semiconductor substrate 31 can be considered as comprising a front side 111 and a back side 113 .
  • An elemental silicon comprising material is deposited at least on the substrate front side, with exemplary materials being as described above of silicon in any of amorphous and/or crystalline forms, including by way of example only a mixture of silicon with other materials, such as germanium.
  • radiant energy is impinged onto substrate 31 backside 113 through a radial inner non-solid portion of the susceptor.
  • the invention encompasses a method of selectively depositing an epitaxial silicon comprising material over a semiconductor substrate.
  • a semiconductor substrate is positioned on a susceptor, for example semiconductor substrate 31 positioned on susceptor 94 or 94 a .
  • Semiconductor substrate back side 113 comprises an exposed material other than monocrystalline silicon.
  • An epitaxial silicon-comprising material is selectively deposited on at least some portion of front side 111 of semiconductor substrate 31 as compared to back side 113 of semiconductor substrate 31 which is exposed through the radial inner non-solid portion 102 of the susceptor during the depositing.
  • the exposed back side material might encompass any of SiO 2 , Si 3 N 4 , Al 2 O 3 , BN and ZrO 2 .
  • the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only, as indicated with reference numeral 120 in FIGS. 17-20 .
  • Substrate susceptor 120 has a body 121 comprising a front substrate receiving side 122 , a back side 124 and a peripheral edge 126 .
  • At least three movable substrate edge clamps are associated with the body, and are positioned to engage a peripheral edge of a semiconductor substrate received by the body for deposition thereupon.
  • FIGS. 17 and 18 depict a substrate 31 to be deposited upon comprising a peripheral edge 127 .
  • Edge clamps 130 are received over front side 122 , and shown in a non-engaging position relative to substrate 31 in FIGS. 17 and 18 .
  • Edge clamps 130 comprise a pivot shaft 132 extending through body 121 with, in the depicted exemplary embodiment, shaft 132 being formed about or defining a pivot axis 123 to which individual clamping devices or portions are mounted/received for clamping engagement relative to substrate 31 .
  • Susceptor body 121 comprises a rotation axis 136 about which susceptor 120 rotates, and which is preferably parallel with the pivot axis 123 of shaft 132 .
  • Substrate edge clamps 130 comprise clamping portions 138 extending from shaft 132 over susceptor body front side 122 .
  • Such comprise a ramped engaging surface 140 configured to impart a downward force component upon engagement of the clamping portion with substrate 31 , for example as shown in FIG. 20 .
  • ramped engaging surface 140 also extends over a top surface of substrate 31 when engaged.
  • a counter-arm 142 different from clamping portion 138 , extends from shaft 132 .
  • Counter-arm 142 has greater mass than that of clamping portion 138 .
  • counter arm 142 is received opposite clamping portion 138 on the opposite side of body 121 .
  • clamping portions 138 Upon rotation of susceptor 120 above some minimal rotational speed, centrifugal force forces counter-arm 142 radially outward, thereby rotating shaft 132 causing clamping portions 138 to move radially inward.
  • the depicted preferred embodiment can thereby provide both a radially retaining and a downward force against substrate 31 , and which will also tend to center substrate 31 relative to susceptor body 121 .
  • Such provides but one example of how clamps might be configured in one preferred embodiment to automatically move into substrate engagement by rotating action of a susceptor about a rotation axis at a rotational speed above some minimum.
  • the depicted exemplary embodiment is shown without any substrate receiving recess being formed relative to body 121 .
  • a substrate receiving recess could additionally be utilized with, in one preferred exemplary embodiment, clamps 130 being mounted for engagement elevationally outward of such recess (not shown in FIGS. 17-20 ), for example relative to the recesses shown in other embodiments herein.
  • the invention contemplates a method of depositing material over a semiconductor substrate which comprises positioning a semiconductor substrate on a susceptor.
  • substrate 31 in FIGS. 17 and 18 is such an exemplary substrate received on an exemplary susceptor 120 .
  • a peripheral edge of the semiconductor substrate is engaged with at least three radially movable substrate edge clamps on the susceptor.
  • the susceptor with semiconductor substrate is caused to rotate.
  • Material is deposited over the semiconductor substrate while the semiconductor substrate is engaged with the substrate edge clamps.
  • the initial engagement relative to the edge clamps might occur before or upon rotation of the susceptor.
  • FIG. 19 depicts exemplary substrate edge engagement of substrate edge clamps 130 with substrate 31 .
  • edge clamps might be configured for any engaging movement other than rotation or pivoting about an axis, for example and by way of example only by radially linear sliding movement. Any/all of the other above-described attributes might be employed in the context of this aspect of the invention.
  • the invention encompasses a method of depositing material over a semiconductor substrate which includes positioning a semiconductor substrate on a susceptor.
  • the susceptor with a semiconductor substrate thereon is rotated at a rotational speed effective to cause at least three radially movable substrate edge clamps on the substrate to engage a peripheral edge of the semiconductor substrate.
  • rotation of the susceptor is continued while depositing a material over the semiconductor substrate.
  • the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only, as indicated with reference numeral 150 in FIGS. 21 and 22 .
  • Substrate susceptor 150 comprises a body 151 having a front substrate receiving side 152 , a back side 153 and a peripheral edge 154 .
  • Front substrate receiving side 152 comprises a substrate bearing surface 156 .
  • bearing surface 156 comprises a base of a substrate receiving recess 157 .
  • Bearing surface 156 comprises at least one vacuum opening 158 configured to apply a pulling force on a semiconductor substrate (i.e., semiconductor substrate 31 ) received by the body against bearing surface 156 , for example while the susceptor rotates.
  • substrate 31 to be deposited upon is received over an area 160 defined by the total occupying area of substrate 31 .
  • bearing surface 156 comprises less than a majority of area 160 , and preferably less than 10 percent of area 160 .
  • Opening or openings 158 might constitute a plurality of equally or not equally spaced openings, for example trenches, circular openings, square openings, etc. Further, vacuum opening(s) 158 could be encompassed by a single elongated or trough-like opening extending about or over much of bearing surface 156 . Openings 158 extend via passageways and connect to a vacuum source (not shown) external of susceptor 150 for applying a suitable vacuum pulling force to the openings as described. Any suitable existing or yet-to-be developed rotary coupling could be utilized for configuring rotary and stationary engagement relative to a vacuum line.
  • the passageways or conduits would join into a single conduit relative to which the vacuum pressure would be applied.
  • FIGS. 23 and 24 an alternate embodiment substrate susceptor 150 a for receiving a semiconductor substrate to be deposited upon is shown in FIGS. 23 and 24 .
  • bearing surface 156 a encompasses at least a majority of substrate area 160 a
  • FIG. 23 depicting bearing surface 156 a encompassing all of substrate surface 160 a .
  • a plurality of openings 158 a are shown distributed over bearing surface 156 a , and which are configured to apply a suitable pulling force on a semiconductor substrate received by the body against bearing surface 156 a.
  • bearing surface 156 and 156 a depict bearing surface 156 and 156 a as encompassing a portion of a recess, although such is clearly not required in the broadest aspects of this portion of the invention.
  • bearing surface 156 a might be a flat surface flush with a top or other surface of the susceptor body, or a surface projecting therefrom.
  • an aspect of the invention encompasses a method of depositing material over a semiconductor substrate which comprises positioning a semiconductor substrate on a substrate bearing surface of a susceptor, for example either of susceptors 150 or 150 a .
  • a susceptor for example either of susceptors 150 or 150 a .
  • Such bearing surface comprises at least one vacuum opening therein.
  • a vacuum force is applied to the at least one opening effective to apply a pulling force on the semiconductor substrate against the bearing surface. While such vacuum force is applied, the susceptor is rotated while depositing material over the semiconductor substrate.
  • Preferred attributes are otherwise as described above.
  • the invention encompasses a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only as indicated generally with reference numeral 170 in FIGS. 25-27 .
  • Substrate susceptor 170 comprises a body 172 having a front substrate receiving side 173 , a back side 174 and a peripheral edge 175 .
  • Front substrate receiving side 173 comprises a surface 176 over which at least a portion of a semiconductor substrate 31 to be deposited upon is to be received.
  • Surface 176 comprises at least three gas emitting openings 178 / 180 configured to apply a substrate levitating and rotating force from gas emitted from such openings effective to levitate and rotate the semiconductor substrate relative to the susceptor body.
  • openings 178 with their associated gas conduits are angled other than normal relative to surface 176 and respectively oriented to impart a counterclockwise rotation to a substrate 31 received by the susceptor. Accordingly and depending upon the rate of the volume of gas emitted from openings 178 , such may provide both a levitating and rotating force relative to a substrate 31 received over surface 176 .
  • Exemplary openings 180 with their associated gas conduits are shown being received to extend essentially perpendicularly relative to surface 176 , thereby providing solely a levitating force of substrate 31 relative to surface 176 .
  • only angled gas openings and conduits might be provided and configured to be effective in size and gas emission to both levitate and rotate the semiconductor substrate relative to the susceptor body.
  • the gas passageways or conduits would join into a single conduit relative to which the levitating and rotating gas would be injected.
  • the gas utilized for such levitating and rotating effects preferably is inert relative to the desired deposition occurring on the front side of the substrate.
  • the emitted gas might comprise one or a mixture of the reactive gases used for deposition upon the substrate.
  • the emitted gas is preferably provided at a temperature of from about 200° C. to about 800° C.
  • exemplary emission gases include He, N 2 , and H 2 .
  • the invention encompasses a method of depositing material over a semiconductor substrate which comprises positioning a semiconductor substrate over a surface of a susceptor.
  • a surface comprises at least three gas-emitting openings therein. Gas is emitted from the at least three openings effective to levitate and rotate the semiconductor substrate relative to the susceptor. While levitating and rotating the semiconductor substrate relative to the susceptor, a material is deposited over the semiconductor substrate.
  • the susceptor itself might remain stationary or also be caused to rotate. Other attributes are, for example, as described in all the above embodiments.
  • any two or combination of all of the above embodiments might also be combined in method or structural facets.
  • any of the depositions referred to herein might be by any existing or yet-to-be developed manner, for example by chemical vapor deposition, atomic layer deposition, etc.

Abstract

In one implementation, a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon, where the depositing comprises measuring emissivity of the susceptor from at least one susceptor location in a non-contacting manner, includes a body having a front substrate receiving side, a back side, and a peripheral edge. At least one susceptor location from which emissivity is to be measured is received on at least one of the front substrate receiving side, the back side, and the edge. Such at least one susceptor location comprises an outermost surface comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor for at least an initial thickness of epitaxial silicon depositing on said substrate. Other aspects and implementations are contemplated. In one implementation, a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon, where the depositing comprises measuring emissivity of the susceptor from at least one susceptor location in a non-contacting manner, includes a body having a front substrate receiving side, a back side, and a peripheral edge. At least one susceptor location from which emissivity is to be measured is received on at least one of the front substrate receiving side, the back side, and the edge. Such at least one susceptor location comprises an outermost surface comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor for at least an initial thickness of epitaxial silicon depositing on said substrate. Other aspects and implementations are contemplated.

Description

    RELATED PATENT DATA
  • This patent resulted from a divisional application of U.S. patent application Ser. No. 10/822,093, filed Apr. 8, 2004, entitled “Substrate Susceptors for Receiving Semiconductor Substrates to be Deposited Upon”, naming Eric R. Blomiley, D. V. Nirmal Ramaswamy, Ross S. Dando, Joel A. Drewes and Danny Dynka as inventors, the disclosure of which is incorporated by reference.
  • TECHNICAL FIELD
  • This invention relates to substrate susceptors for receiving semiconductor substrates to be deposited upon and to methods of depositing materials over semiconductor substrates.
  • BACKGROUND OF THE INVENTION
  • Integrated circuitry fabrication includes deposition of material and layers over a substrate. One or more substrates are received within a deposition chamber within which deposition typically occurs. One or more precursors or substances are caused to flow to the substrate, typically as a vapor, to effect deposition of a layer over the substrate. A single substrate is typically positioned or supported for deposition by a susceptor. In the context of this document, a “susceptor” is any device which holds or supports at least one wafer within a chamber or environment for deposition. Deposition may occur by chemical vapor deposition, atomic layer deposition and/or by other means.
  • FIGS. 1 and 2 diagrammatically depict a prior art susceptor 10, and issues associated therewith which motivated some aspects of the invention. Susceptor 10 comprises a body 12 which receives a substrate 14 for deposition. Substrate 14 is received within a pocket or recess 16 of susceptor body 12 to elevationally and laterally retain substrate 14 in the desired position.
  • A particular exemplary system which motivated some of the inventive susceptor designs herein was a lamp heated, thermal deposition system having front and back side radiant heating of the substrate and susceptor for attaining desired temperature during deposition. FIG. 2 depicts a thermal deposition system having at least two radiant heating sources for each side of susceptor 10. Depicted are front side and back side peripheral radiation emitting sources 18 and 20, respectively, and front side and back side radially inner radiation emitting sources 22 and 24, respectively. Incident radiation from sources 18, 20, 22 and 24 typically overlap one another on the susceptor and substrate, creating overlap areas 25. Such can cause an annular region of the substrate corresponding in position to overlap areas 25 to be hotter than other areas of the substrate not so overlapped. Further, the center and periphery of the substrate can be cooler than even the substrate area which is not overlapped due to less than complete or even exposure to the incident radiation.
  • The susceptor is typically caused to rotate during deposition, with deposition precursor gas flows occurring along arrows “A” from one edge of the wafer, over the wafer and to the opposite side where such is exhausted from the chamber. Arrow “B” depicts a typical H2 gas curtain within the chamber proximate a slit valve through which the substrate is moved into and out of the chamber. A preheat ring (not shown) is typically received about the susceptor, and provides another heat source which heats the gas flowing within the deposition chamber to the wafer along arrows A and B. However even so, the periphery of the substrate proximate where arrows A and B indicate gas flowing to the substrate is cooler than the central portion and the right-depicted portion of the substrate where the gas exits.
  • Additionally, robotic arms are typically used to position substrate 14 within recess 16. Such positioning of substrate 14 does not always result in the substrate being positioned entirely within susceptor recess 16. Further, gas flow might dislodge the wafer such that it is received both within and without recess 16. Such can further result in temperature variation across the substrate and, regardless, result in less controlled or uniform deposition over substrate 14.
  • The above-described system can be used for silicon deposition, including amorphous, monocrystalline and polycrystalline silicon, as well as deposition of silicon mixed with other materials such as a Si—Ge composition in any of crystalline and amorphous forms. Certain aspects of the invention were motivated relative to issues associated with selective epitaxial silicon deposition. In such deposition, a substrate to be deposited upon includes outwardly exposed elemental silicon containing surfaces as well as surfaces not containing silicon in elemental form. During a selective epitaxial silicon deposition, the silicon will preferentially/selectively grow typically only over the silicon surfaces and not the non-silicon surfaces. In many instances, near infinite selectivity is attained, at least for the typical thickness levels at which the selective epitaxial silicon is deposited or grown.
  • An exemplary prior art method for depositing selective epitaxial silicon includes flows of dichlorosilane at from 50 sccm to 500 sccm, HCl at from 50 sccm to 300 sccm and H2 at from 3 slm to 40 slm. An exemplary preferred temperature range is from 750° C. to 1,050° C., with 850° C. being a specific example. An exemplary pressure range is from 5 Torr to 100 Torr, with 30 Torr being a specific example. Certain aspects of the invention also encompass selective epitaxial silicon-comprising deposition using the just-described prior art process (preferred), as well as other existing or yet-to-be developed methods.
  • An exemplary prior art susceptor comprises graphite completely coated with a thin layer (75 microns) of SiC. Such graphite typically has a thermal conductivity of from 180-200 W/mK, while that of SiC is about 250 W/mK. Unfortunately, a selective epitaxial silicon process such as described above will also deposit upon silicon carbide in addition to elemental form silicon. Accordingly, the susceptor also gets deposited upon during a selective epitaxial silicon deposition over regions of a substrate desired to be deposited upon received by the susceptor. This is undesirable at least for purposes of temperature control of the substrate during deposition.
  • For example, consider that the deposition chamber used in the above-described processing includes upper and lower transparent domes or chamber walls which in part define the internal chamber volume within which deposition occurs. Such domes are transparent to incident infrared radiation, with the lamps which heat the susceptor and substrate being received external of the chamber and domes, with light passing therethrough to provide desired temperature during the deposition. Further, temperature control typically includes the sensing of the temperature of the back side of the susceptor using optical pyrometry techniques. For example, such comprises a non-contacting temperature sensing whereby a sensor received externally of the lower dome is directed to the back side of the susceptor and measures emissivity therefrom and from which the temperature of the susceptor and substrate are derived. However with the back side-growing silicon being of a different material than that of the underlying susceptor, such affects the emission/absorption characteristics of the thermal energy. Such tends to affect the sensing of the susceptor temperature to be reported lower than it actually is. Therefore as a silicon coating builds upon the back side of the susceptor, more energy is typically added to the heat lamps which undesirably increases the substrate temperature in a manner which is difficult to control. In other words, where the optical properties of the susceptor back side change where temperature is being sensed or measured, the measured temperature also changes as well although the temperature of the susceptor might essentially be the same as before the back side coating.
  • With the above just-described configuration, drift in process control can occur after processing from only 1 to 4 wafers. The accumulated silicon on the susceptor back side has caused a temperature drift of from 1° C. to 2° C. In order to maintain repeatability from wafer to wafer, present methods of contending with the same include a between wafer chamber dry-clean to etch the susceptor, as well as re-depositing a small amount of silicon on the susceptor to provide an initial uniform surface. Such processing can take about as long as processing a single wafer alone, and accordingly reduces throughput by about 50 percent. Yet without re-establishing the chamber to a similar baseline condition, wafer repeatability in the selective silicon deposition is poor.
  • It would be desirable to develop improved susceptor designs and methods which address at least some of the above-identified problems. However although some aspects of the invention were motivated from this perspective and in conjunction with the above-described reactor and susceptor designs, the invention is in no way so limited. The invention is only limited by the accompanying claims as literally worded, without interpretive or other limiting reference to the specification and drawings, and in accordance with the doctrine of equivalents.
  • SUMMARY
  • This invention includes substrate susceptors for receiving semiconductor substrates to be deposited upon and methods of depositing materials over semiconductor substrates. In one implementation, a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon, where the depositing comprises measuring emissivity of the susceptor from at least one susceptor location in a non-contacting manner, includes a body having a front substrate receiving side, a back side, and a peripheral edge. At least one susceptor location from which emissivity is to be measured is received on at least one of the front substrate receiving side, the back side, and the edge. Such at least one susceptor location comprises an outermost surface comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor for at least an initial thickness of epitaxial silicon depositing on said substrate.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising susceptor back side radiant heating includes a body having a front substrate receiving side, a back side, and a peripheral edge. The body comprises multiple materials having at least two different thermal conductivities. In one implementation, an outer material is received across the back side and has a higher thermal conductivity than an immediately adjacent material of the body, with such outer material comprising at least one of polycrystalline diamond and copper. In one implementation, the outer material is not received over an outer portion of any of the front substrate receiving side. In one implementation, an outer material is received across the back side and which has a thermal conductivity which is at least three times higher than that of an immediately adjacent material of the body. In one implementation, the multiple materials are received at different radial locations and not across an entirety of either the body front side or the body back side.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising heating of the susceptor includes a body having a front substrate receiving side, a back side, and a peripheral edge. The body comprises a peripheral-most region comprising at least 10% of radius of the body and an inner region received radially inward of the peripheral-most region. The body comprises multiple materials having at least two different thermal conductivities. The peripheral-most region and the inner region have different average thermal conductivities.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge. The body comprises at least one solid portion therethrough that is transparent to infrared radiation.
  • In one implementation, a method of depositing a material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor. The susceptor has at least one solid portion therethrough that is transparent to infrared radiation and over which the semiconductor substrate is received. A material is deposited over the semiconductor substrate. In one implementation, during the depositing, substrate temperature is detected by measuring substrate emissivity from a back side of the semiconductor substrate through the at least one infrared radiation transparent portion of the susceptor from a back side of the susceptor using a non-contacting emissivity sensor. In one implementation, during the depositing, radiant energy is impinged onto the susceptor back side through the transparent solid portion effective to heat the semiconductor substrate being deposited upon.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side face, a back side face, and a peripheral edge. The body comprises a ring having a radial inner portion at least a radial majority of which is non-solid space extending from the front side face to the back side face.
  • In one implementation, a method of depositing an elemental silicon-comprising material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor. The susceptor has a front substrate receiving side face and a back side face. The susceptor comprises a ring having a radial inner portion at least a radial majority of which is non-solid space extending from the front side face to the back side face. The semiconductor substrate comprises a front side and a back side. An elemental silicon-comprising material is deposited at least on the substrate front side. During the depositing, radiant energy is impinged onto the substrate back side through the radial central non-solid portion of the susceptor.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge. At least three movable substrate edge clamps are associated with the body, with the movable substrate edge clamps being positioned to engage a peripheral edge of a semiconductor substrate received by the body for deposition thereupon.
  • In one implementation, a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor. A peripheral edge of the semiconductor substrate is engaged with at least three radially movable substrate edge clamps on the susceptor. The susceptor with semiconductor substrate is caused to rotate. A material is deposited over the semiconductor substrate while the semiconductor substrate is engaged with the substrate edge clamps.
  • In one implementation, a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor. The susceptor with semiconductor substrate is rotated at a rotational speed effective to cause at least three radially movable substrate edge clamps on the susceptor to engage a peripheral edge of the semiconductor substrate. After and while engaging the peripheral edge of the semiconductor substrate with the at least three radially movable substrate edge clamps, rotation of the susceptor is continued while depositing a material over the semiconductor substrate.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge. A substrate bearing surface is on the front substrate receiving side. The bearing surface comprises at least one vacuum opening configured to apply a pulling force on a semiconductor substrate received by the body against the bearing surface.
  • In one implementation, a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate on a substrate bearing surface of a susceptor. The bearing surface comprises at least one vacuum opening therein. A vacuum force is applied to the at least one opening effective to apply a pulling force on the semiconductor substrate against the bearing surface. While the vacuum force is applied, the susceptor is rotated while depositing a material over the semiconductor substrate.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon comprises a body having a front substrate receiving side, a back side, and a peripheral edge. A surface is on the front substrate receiving side over which at least a portion of a semiconductor substrate to be deposited upon is to be received. The surface comprises at least three gas emitting openings configured to apply a substrate levitating and rotating force from gas emitted from the openings effective to levitate and rotate said semiconductor substrate relative to the susceptor body.
  • In one implementation, a method of depositing material over a semiconductor substrate comprises positioning a semiconductor substrate over a surface of a susceptor. The surface comprises at least three gas emitting openings therein. Gas is emitted from the at least three openings effective to levitate and rotate the semiconductor substrate relative to the susceptor. While levitating and rotating the semiconductor substrate relative to the susceptor, a material is deposited over the semiconductor substrate.
  • Other aspects and implementations are contemplated.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Preferred embodiments of the invention are described below with reference to the following accompanying drawings.
  • FIG. 1 is a top view of a prior art susceptor.
  • FIG. 2 is a diagrammatic section of the FIG. 1 susceptor taken through line 2-2 in FIG. 1.
  • FIG. 3 is a diagrammatic section of an embodiment of a susceptor in accordance with an aspect of the invention, taken through line 3-3 in FIG. 4.
  • FIG. 4 is a top view of the FIG. 3 susceptor.
  • FIG. 5 is an alternate embodiment susceptor to that shown by FIG. 3.
  • FIG. 6 is an alternate embodiment susceptor to that shown by FIG. 3.
  • FIG. 7 a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 8 is an alternate embodiment susceptor to that shown by FIG. 7.
  • FIG. 9 is a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention, taken through line 9-9 in FIG. 10.
  • FIG. 10 is a top view of the FIG. 9 susceptor.
  • FIG. 11 is an alternate embodiment susceptor to that shown by FIG. 9.
  • FIG. 12 is a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 13 is an alternate embodiment susceptor to that shown by FIG. 12.
  • FIG. 14 is a diagrammatic section of another embodiment susceptor in accordance with an aspect of the invention, taken through line 14-14 in FIG. 15.
  • FIG. 15 is a top view of the FIG. 14 susceptor.
  • FIG. 16 is an alternate embodiment susceptor to that shown by FIG. 15.
  • FIG. 17 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 18 is a diagrammatic section of the FIG. 17 susceptor taken through line 18-18 in FIG. 17.
  • FIG. 19 is a top view of the FIG. 17 susceptor in one operational configuration in accordance with an aspect of the invention.
  • FIG. 20 is a diagrammatic section of the FIG. 19 susceptor taken through line 20-20 in FIG. 19.
  • FIG. 21 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 22 is a diagrammatic section of the FIG. 21 susceptor taken through line 22-22 in FIG. 21.
  • FIG. 23 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 24 is a diagrammatic section of the FIG. 23 susceptor taken through line 24-24 in FIG. 21.
  • FIG. 25 is a top view of another embodiment susceptor in accordance with an aspect of the invention.
  • FIG. 26 is a diagrammatic section of the FIG. 25 susceptor taken through line 26-26 in FIG. 25.
  • FIG. 27 is a diagrammatic section of the FIG. 25 susceptor taken through line 27-27 in FIG. 25.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8).
  • The invention comprises structural and methodical aspects, neither of which is limited by the other unless literal limiting language thereto appears in a claim under analysis.
  • Certain aspects of the invention are initially described with reference to FIGS. 3-6. Referring initially to FIGS. 3 and 4, a substrate susceptor for receiving a semiconductor substrate for selective epitaxial silicon-comprising depositing thereon is indicated generally with reference numeral 30. Such depositing will comprise measuring the emissivity of the susceptor from at least one susceptor location in a non-contacting manner, for example and by way of example only, by optical pyrometry using an infrared sensor. Susceptor 30 comprises a body 32 having a front substrate receiving side 34, a back side 35 and a peripheral edge 36. Front side 34 has a substrate receiving recess 38 formed therein. A substrate to be deposited upon is depicted in FIG. 3 in dashed lines, designated with numeral 31, and received within recess 38. Of course, no recess need be utilized.
  • At least one susceptor location from which emissivity is to be measured is received on at least one of front substrate receiving side 34, back side 35 and edge 36. By way of example only, FIG. 3 includes a bold arrow 40 depicting an exemplary path of non-contacting sensing of emissivity to/from a remote sensor (not shown). Path 40 extends to a susceptor location 42, in this example received on back side 35, from which emissivity is to be measured. Where susceptor 30 is caused to rotate, such at least one susceptor location will encompass an annulus 45 (FIG. 4). Regardless, more than a single location for emissivity sensing is of course contemplated, for example multiple discontinuous susceptor locations. Such might be in the form of multiple spaced annuluses when the susceptor rotates, or isolated spots or areas where the susceptor is not caused to rotate.
  • Susceptor location 42 comprises an outermost surface 43 comprising a material upon which selective epitaxial silicon will not deposit upon during selective epitaxial silicon depositing on a semiconductor substrate received by the susceptor (i.e., substrate 31) for at least an initial thickness of epitaxial silicon depositing on such substrate. Exemplary preferred materials include one or more of SiO2, Si3N4, Al2O3, BN and ZrO2. By way of example only, the material of outermost surface 43 might comprise, consist essentially of or consist of these or other stated materials having the stated attributes. Regardless, only a portion of the susceptor body might be comprised of the material, or all of the susceptor body might be comprised of the material. For example, the body depicted in FIG. 3 might be formed to consist essentially entirely of a material upon which selective epitaxial silicon will not deposit for at least an initial thickness of epitaxial silicon depositing on a substrate received by the susceptor.
  • FIG. 3 depicts susceptor body 32 as comprising different materials, for example two different materials 44 and 46. Material 46 is depicted as comprising a layer 46 which, in the preferred embodiment, is formed over all of back side 35 and upon which location 42/45 is received. Further in this example, and as would occur further by way of example only where all of the body comprised the stated material, the side or edge on which the at least one susceptor location is received comprises an outermost surface comprising such material external of location 42/45.
  • In the depicted example, material 44 might comprise a prior art or yet-to-be developed material. One exemplary preferred material is the prior art silicon carbide-coated graphite material described above. An exemplary preferred thickness range for material 46 is from 5,000 Angstroms to 100 microns, with from 50 microns to 100 microns being preferred.
  • FIGS. 3 and 4 depict the at least one susceptor location from which emissivity is to be measured as being received on back side 35. By way of example only, FIG. 5 depicts an alternate embodiment substrate susceptor 30 a wherein at least one susceptor location from which emissivity is to be measured is received on front substrate receiving side 34 a. Like numerals from the first-described embodiment are utilized where appropriate, with differences being indicated with the suffix “a”. A layer 46 a of the stated material is shown received over a peripheral area or region relative to recess 38 a on front side 34 a for an emissivity sensing path 40 a.
  • Further by way of example only, FIG. 6 depicts a substrate susceptor 30 b having at least one susceptor location from which emissivity is to be measured being received on peripheral edge 36 b. Like numerals from the first-described embodiment are utilized where appropriate, with differences being indicated with the suffix “b”. Here, a layer 46 b of the above-stated material is received over peripheral edge 36 b and comprises a location 42 b from which emissivity is to be measured. Of course any of the above attributes could be combined, or the location provided as indicated or otherwise in the context of a susceptor which is substantially homogenously made of the stated material(s) upon which epitaxial silicon will not deposit. Whatever material is utilized, it is preferably stable at the selective, epitaxial silicon processing temperatures (i.e., 850° C.) as well as, of course, stable to the processing gases.
  • In one implementation, the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition which comprises susceptor back side radiant heating, for example and by way of example only, as described in the above Background section. FIG. 7 depicts one such embodiment substrate susceptor, indicated generally with reference numeral 50. Such comprises a body 54 having a front substrate receiving side 51, a back side 52 and a peripheral edge 53. Body 54 comprises multiple materials having at least two different thermal conductivities, for example materials 56 and 58.
  • Material 58 comprises an outer material received across back side 52 and which has a higher thermal conductivity than an immediately adjacent material of body 54, specifically in this example that portion of material 56 which contacts outer material 58. In the context of this document, “outer” in the context of “outer material” or “outer portion” requires a material or portion that is at least received in an outermost half portion of the medial front or back half of the susceptor body, in other words received at least in the front side or back side outermost one quarter of the maximum body thickness. In one implementation, outer material 58 comprises at least one of copper and polycrystalline diamond. In one implementation, the outer material is not received over an outer portion of any of the front substrate receiving side. In one implementation, outer material 58 has a thermal conductivity which is at least three times higher than that of an immediately adjacent material of the body. In one implementation, the body comprises SiC-coated graphite. For example, and by way of example only, material 56 might consist essentially of SiC-coated graphite. Outer material 58 is received across back side 52 over the SiC, and has a higher thermal conductivity than that of the SiC and the graphite.
  • By way of example only, polycrystalline diamond can be deposited utilizing H2 and CH4 in a microwave plasma at 700° C.-800° C. at from 30 Torr to 3,000 Torr. Further by way of example only, copper can be deposited by sputter deposition from a copper target. Polycrystalline diamond has a thermal conductivity typically between 1,500 and 2,500 W/mK, while copper typically has a thermal conductivity of 390 W/mK.
  • FIG. 7 depicts one preferred embodiment where outer material 58 comprises the outermost material relative to back side 52. Further in the illustrated FIG. 7 embodiment, material 58 is of uniform thickness across back side 52. An exemplary preferred thickness is from 500 Angstroms to 100 microns, with a more preferred thickness range being from 500 Angstroms to 1 micron. By way of example only, FIG. 8 depicts an alternate embodiment substrate susceptor 50 a having an outer material 58 a of non-uniform thickness. Preferred thickness ranges for the depicted maximum and minimum thickness areas are each as described above in connection with the FIG. 7 embodiment.
  • In utilizing a preferred higher thermal conductivity material on the susceptor back side, such embodiment(s) might result in improved temperature uniformity of a substrate received by the susceptor in the context of back side radiant heating. For example, and by way of example only, consider a process and equipment where incident back side radiant heating includes an overlap area 25 on the back side of the susceptor as depicted and described in connection with FIG. 2. In one implementation, layer 58 might improve temperature uniformity across a substrate received by the susceptor than would otherwise occur under identical conditions in the absence of an outer material being received across the susceptor back side which has higher thermal conductivity than immediately adjacent material of the body.
  • In one implementation, the invention encompasses a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition which comprises heating of the susceptor. By way of example only, such heating might be by the lamp heating described above or by some form of resistive or other heating wherein at least some desired heat transfer effect is occurring from the susceptor to a substrate received by the susceptor for deposition thereupon. FIGS. 9 and 10 depict one implementation of such a substrate susceptor, indicated generally with reference numeral 60. Such comprises a body 62 having a front substrate receiving side 63, a back side 64 and a peripheral edge 65.
  • In one implementation, body 62 comprises multiple materials 66, 67 and 68 which have at least two different thermal conductivities and are received at different radial locations and are not received across an entirety of either the body front side or the body back side. In the depicted preferred embodiment, susceptor body 62 (as in preferred embodiments of all of the above-described susceptors) is round. Materials 66 and 68 might comprise the same material, with material 67 comprising a material having different thermal conductivity than that of material 66/68. Accordingly in such instance, and by way of example only, the stated multiple materials constitute only two different materials. Further by way of example only, materials 66, 67 and 68 might each comprise different materials having different thermal conductivities whereby the stated multiple materials number three. Further in one implementation, more than three materials might be utilized.
  • By way of example only, an exemplary lower thermal conductive material comprises graphite, including a mass of silicon carbide-coated graphite. Further by way of example only, a higher thermal conductivity material comprises at least one of copper and polycrystalline diamond.
  • FIGS. 9 and 10 depict substrate susceptor 60 as might be configured in exemplary embodiments as described above for rotation while receiving a substrate for deposition thereupon. Substrate susceptor 60 depicts different radial locations 70, 72 and 74. In the depicted preferred embodiment, such radial locations are continuous about some portion of an arc, preferably at least 10 percent of the circumference of the susceptor body. In the illustrated embodiment, radial locations 70 and 72 are continuous about two annuluses. Further in the depicted preferred embodiment, at least one of materials 66, 67 and 68 is received on an outermost surface of at least one of front side 63 and back side 64, with all such materials 66, 67 and 68 extending from front side 63 to back side 64, and regardless being received on the outermost surface of front side 63 and back side 64.
  • In one implementation, multiple different thermally conductive materials might be utilized to contend with hotter and colder areas of the substrate towards improving temperature uniformity. For example in the depicted embodiment and in connection with the hotter overlapping portions of the prior art as well as the colder central and peripheral regions, material 67 might be comprised of a lower thermally conductive material as compared to materials 66 and 68. Accordingly, materials 66 and 68 could comprise one or more higher thermally conductive material(s), preferably providing more substrate temperature uniformity of the substrate being deposited upon than would otherwise occur under identical conditions in the absence of such multiple different thermal conductivity materials.
  • In one implementation, a substrate susceptor for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising heating of the susceptor includes a body 62 comprising a peripheral-most region 70 preferably comprising at least 10 percent of the radius of susceptor 60. Body 62 comprises an inner region such as, by way of example only, region 72, region 74, or a combination of regions 72 and 74. In one implementation, and by way of example only, region 72 can be considered as a first region received radially inward of peripheral-most region 70, and region 74 can be considered as a second region received radially inward of first region 72, and by way of example. Regardless, body 62 comprises multiple materials having at least two different thermal conductivities.
  • In one implementation, the peripheral-most region and the inner region have different average thermal conductivities. In one implementation, first region 72 has an average thermal conductivity which is different from an average thermal conductivity of second region 74. Of course, the thermal conductivities of such respective regions could be either higher or lower than the other. The stated regions might respectively be homogeneous or comprise multiple different materials and layers having the same or at least two different thermal conductivities.
  • By way of example only, FIG. 11 depicts an alternate embodiment substrate susceptor 60 a for receiving a semiconductor substrate to be deposited upon by thermal deposition comprising heating of the susceptor. Like numerals from the FIGS. 9 and 10 embodiment are utilized where appropriate, with differences being indicated with the suffix “a”. In the depicted exemplary embodiment, material 67 a does not extend from front side 63 a to back side 64 a. Alternate exemplary embodiments are also contemplated, for example different thermal conductivity materials embedded centrally or otherwise within material of a different thermal conductivity (not shown).
  • In one implementation, the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only, as is indicated generally with reference numeral 80 in FIG. 12. Susceptor 80 comprises a body 82 having a front substrate receiving side 83, a back side 84 and a peripheral edge 85. Body 82 comprises at least one solid portion therethrough that is transparent to infrared radiation. In the context of this document, a wall which is transparent to infrared radiation passes at least 75% of incident infrared radiation therethrough. By way of example only, exemplary preferred materials include silicon dioxides and sapphire.
  • FIG. 12 depicts body 82 as comprising a transparent material portion 88 and a substantially infrared opaque portion 90 (i.e., SiC-coated graphite). A substrate 31 to be deposited upon is shown received by susceptor 80, with susceptor 80 thereby comprising a substrate area 87 over or within which substrate 31 is received. In the depicted exemplary preferred embodiment of FIG. 12, solid portion 88 is transparent to infrared radiation over at least all of substrate area 87. FIG. 12 depicts an embodiment wherein only a single solid portion of body 82 is transparent to infrared radiation, and wherein only a portion of body 82 is transparent to infrared radiation. Of course, multiple discrete solid portions through a susceptor body that are transparent to infrared radiation might also be employed. Further by way of example only, FIG. 13 depicts an alternate embodiment substrate susceptor 80 a wherein the entire body is encompassed by material that is transparent to infrared radiation. Like numerals from the FIG. 12 described embodiment are utilized where appropriate, with differences being indicated with the suffix “a”.
  • An aspect of the invention also includes a method of depositing a material over a semiconductor substrate. In one implementation, a semiconductor substrate is positioned on a susceptor, where the susceptor has at least one solid portion therethrough that is transparent to infrared radiation and over which the semiconductor substrate is received. For example and by way of example only, FIG. 12 depicts such a susceptor 80. A material is deposited over the substrate. During such depositing, substrate temperature is detected by measuring substrate emissivity from a back side of the semiconductor substrate through at least one infrared radiation transparent portion of the susceptor from a back side of the susceptor using a non-contacting emissivity sensor. For example, a pyrometric measurement as described above in connection with the first-described embodiment could be utilized from susceptor back side 84 through transparent portion 88 to and from the back side of substrate 31 received by susceptor 80.
  • In one implementation, a method of depositing a material over a semiconductor substrate comprises positioning a semiconductor substrate on a susceptor. The susceptor has at least one solid portion therethrough that is transparent to infrared radiation and over which the semiconductor substrate is received. A material is deposited over the semiconductor substrate. During the depositing, radiant energy is impinged onto the susceptor back side through the transparent solid portion effective to heat the semiconductor substrate being deposited upon.
  • By way of example only, preferred materials for deposition include silicon materials, for example amorphous, monocrystalline, and/or polycrystalline silicon, including mixtures thereof with germanium. Exemplary equipment includes the prior art equipment utilized and described above comprising back side radiant heating with a transparent portion susceptor as described in connection with FIGS. 12 and 13. Existing technology typically relies upon the susceptor to absorb infrared energy from lamps which heat the chamber then radiate the heat to the wafer and, in time equilibrate with the susceptor. By one or both of detecting the substrate temperature by measuring substrate emissivity through a transparent portion of the susceptor or by heating the semiconductor substrate through an infrared transparent portion of the susceptor, improved heating and actual substrate temperature measurements might be obtained.
  • In one implementation, the invention encompasses a substrate susceptor for receiving a semiconductor substrate to be deposited upon, by way of example only as depicted in FIGS. 14 and 15, and indicated generally with reference numeral 94. Substrate susceptor 94 comprises a body 96 having a front substrate receiving side face 97, a back side face 98 and a peripheral edge 99. Body 96 comprises a ring 100 having a radial inner portion 102 at least a radial majority of which is non-solid space extending from front side face 97 to back side face 98. In the depicted exemplary embodiment, ring 100 has an inner surface 104 which is continuous and round. Further in the exemplary embodiment, radial inner portion 102 is centered relative to body 96. Further, susceptor 94 is configured for receipt of a substrate 31 to extend peripherally beyond non-solid space 102. In the depicted embodiment, such is accommodated for by means including a recess 106. Where a susceptor is configured for receipt of a substrate to extend peripherally beyond the non-solid space, preferably at least a majority of the substrate overlies non-solid space, more preferably at least 90 percent, and even more preferably at least 95 percent overlies non-solid space.
  • FIGS. 14 and 15 depict a substrate susceptor wherein all of radial inner portion 102 is non-solid. By way of example only, an alternate, lesser preferred, embodiment substrate susceptor 94 a is depicted in FIG. 16. Like numerals from the first-described embodiment are utilized where appropriate, with differences being indicated with the suffix “a” or with different numerals. Substrate susceptor 94 a comprises a radial inner portion 102 a having cross pieces 108 and 110 extending thereacross. Such might be opaque to any incident infrared radiation employed from the back side of the substrate, or of course might be transparent thereto.
  • Aspects of the invention include a method of depositing an elemental silicon comprising material over a semiconductor substrate. In one implementation, a semiconductor substrate is positioned on a susceptor, for example substrate 31 positioned relative to susceptor 94 in FIG. 14. Semiconductor substrate 31 can be considered as comprising a front side 111 and a back side 113. An elemental silicon comprising material is deposited at least on the substrate front side, with exemplary materials being as described above of silicon in any of amorphous and/or crystalline forms, including by way of example only a mixture of silicon with other materials, such as germanium. During the depositing, radiant energy is impinged onto substrate 31 backside 113 through a radial inner non-solid portion of the susceptor.
  • In one implementation, the invention encompasses a method of selectively depositing an epitaxial silicon comprising material over a semiconductor substrate. A semiconductor substrate is positioned on a susceptor, for example semiconductor substrate 31 positioned on susceptor 94 or 94 a. Semiconductor substrate back side 113 comprises an exposed material other than monocrystalline silicon. An epitaxial silicon-comprising material is selectively deposited on at least some portion of front side 111 of semiconductor substrate 31 as compared to back side 113 of semiconductor substrate 31 which is exposed through the radial inner non-solid portion 102 of the susceptor during the depositing. By way of example, the exposed back side material might encompass any of SiO2, Si3N4, Al2O3, BN and ZrO2.
  • In one implementation, the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only, as indicated with reference numeral 120 in FIGS. 17-20. Substrate susceptor 120 has a body 121 comprising a front substrate receiving side 122, a back side 124 and a peripheral edge 126. At least three movable substrate edge clamps are associated with the body, and are positioned to engage a peripheral edge of a semiconductor substrate received by the body for deposition thereupon. For example, FIGS. 17 and 18 depict a substrate 31 to be deposited upon comprising a peripheral edge 127. Four movable substrate edge clamps 130 are received over front side 122, and shown in a non-engaging position relative to substrate 31 in FIGS. 17 and 18. Edge clamps 130 comprise a pivot shaft 132 extending through body 121 with, in the depicted exemplary embodiment, shaft 132 being formed about or defining a pivot axis 123 to which individual clamping devices or portions are mounted/received for clamping engagement relative to substrate 31. Susceptor body 121 comprises a rotation axis 136 about which susceptor 120 rotates, and which is preferably parallel with the pivot axis 123 of shaft 132.
  • Substrate edge clamps 130 comprise clamping portions 138 extending from shaft 132 over susceptor body front side 122. Such comprise a ramped engaging surface 140 configured to impart a downward force component upon engagement of the clamping portion with substrate 31, for example as shown in FIG. 20. In the depicted preferred embodiment, ramped engaging surface 140 also extends over a top surface of substrate 31 when engaged. A counter-arm 142, different from clamping portion 138, extends from shaft 132. Counter-arm 142 has greater mass than that of clamping portion 138. In the depicted preferred embodiment, counter arm 142 is received opposite clamping portion 138 on the opposite side of body 121. Upon rotation of susceptor 120 above some minimal rotational speed, centrifugal force forces counter-arm 142 radially outward, thereby rotating shaft 132 causing clamping portions 138 to move radially inward. The depicted preferred embodiment can thereby provide both a radially retaining and a downward force against substrate 31, and which will also tend to center substrate 31 relative to susceptor body 121. Such provides but one example of how clamps might be configured in one preferred embodiment to automatically move into substrate engagement by rotating action of a susceptor about a rotation axis at a rotational speed above some minimum.
  • By way of example only, the depicted exemplary embodiment is shown without any substrate receiving recess being formed relative to body 121. Alternately of course, a substrate receiving recess could additionally be utilized with, in one preferred exemplary embodiment, clamps 130 being mounted for engagement elevationally outward of such recess (not shown in FIGS. 17-20), for example relative to the recesses shown in other embodiments herein.
  • In one implementation, the invention contemplates a method of depositing material over a semiconductor substrate which comprises positioning a semiconductor substrate on a susceptor. By way of example only, substrate 31 in FIGS. 17 and 18 is such an exemplary substrate received on an exemplary susceptor 120. A peripheral edge of the semiconductor substrate is engaged with at least three radially movable substrate edge clamps on the susceptor. The susceptor with semiconductor substrate is caused to rotate. Material is deposited over the semiconductor substrate while the semiconductor substrate is engaged with the substrate edge clamps. The initial engagement relative to the edge clamps might occur before or upon rotation of the susceptor. By way of example only, FIG. 19 depicts exemplary substrate edge engagement of substrate edge clamps 130 with substrate 31. Of course, the edge clamps might be configured for any engaging movement other than rotation or pivoting about an axis, for example and by way of example only by radially linear sliding movement. Any/all of the other above-described attributes might be employed in the context of this aspect of the invention.
  • In one implementation, the invention encompasses a method of depositing material over a semiconductor substrate which includes positioning a semiconductor substrate on a susceptor. The susceptor with a semiconductor substrate thereon is rotated at a rotational speed effective to cause at least three radially movable substrate edge clamps on the substrate to engage a peripheral edge of the semiconductor substrate. After and while engaging the peripheral edge of the semiconductor substrate with the at least three radially movable substrate edge clamps, rotation of the susceptor is continued while depositing a material over the semiconductor substrate.
  • In one implementation, the invention comprises a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only, as indicated with reference numeral 150 in FIGS. 21 and 22. Substrate susceptor 150 comprises a body 151 having a front substrate receiving side 152, a back side 153 and a peripheral edge 154. Front substrate receiving side 152 comprises a substrate bearing surface 156. In the depicted embodiment, bearing surface 156 comprises a base of a substrate receiving recess 157. Bearing surface 156 comprises at least one vacuum opening 158 configured to apply a pulling force on a semiconductor substrate (i.e., semiconductor substrate 31) received by the body against bearing surface 156, for example while the susceptor rotates.
  • In the depicted exemplary embodiment, substrate 31 to be deposited upon is received over an area 160 defined by the total occupying area of substrate 31. In this exemplary embodiment, bearing surface 156 comprises less than a majority of area 160, and preferably less than 10 percent of area 160. Opening or openings 158 might constitute a plurality of equally or not equally spaced openings, for example trenches, circular openings, square openings, etc. Further, vacuum opening(s) 158 could be encompassed by a single elongated or trough-like opening extending about or over much of bearing surface 156. Openings 158 extend via passageways and connect to a vacuum source (not shown) external of susceptor 150 for applying a suitable vacuum pulling force to the openings as described. Any suitable existing or yet-to-be developed rotary coupling could be utilized for configuring rotary and stationary engagement relative to a vacuum line. Preferably, the passageways or conduits would join into a single conduit relative to which the vacuum pressure would be applied.
  • By way of example only, an alternate embodiment substrate susceptor 150 a for receiving a semiconductor substrate to be deposited upon is shown in FIGS. 23 and 24. Like numerals from the first described embodiment are utilized where appropriate, with differences being indicated with the suffix “a”. Here, bearing surface 156 a encompasses at least a majority of substrate area 160 a, with FIG. 23 depicting bearing surface 156 a encompassing all of substrate surface 160 a. A plurality of openings 158 a are shown distributed over bearing surface 156 a, and which are configured to apply a suitable pulling force on a semiconductor substrate received by the body against bearing surface 156 a.
  • The above two exemplary embodiments depict bearing surface 156 and 156 a as encompassing a portion of a recess, although such is clearly not required in the broadest aspects of this portion of the invention. By way of example only, bearing surface 156 a might be a flat surface flush with a top or other surface of the susceptor body, or a surface projecting therefrom.
  • In one implementation, an aspect of the invention encompasses a method of depositing material over a semiconductor substrate which comprises positioning a semiconductor substrate on a substrate bearing surface of a susceptor, for example either of susceptors 150 or 150 a. Such bearing surface comprises at least one vacuum opening therein. A vacuum force is applied to the at least one opening effective to apply a pulling force on the semiconductor substrate against the bearing surface. While such vacuum force is applied, the susceptor is rotated while depositing material over the semiconductor substrate. Preferred attributes are otherwise as described above.
  • In one implementation, the invention encompasses a substrate susceptor for receiving a semiconductor substrate to be deposited upon, for example and by way of example only as indicated generally with reference numeral 170 in FIGS. 25-27. Substrate susceptor 170 comprises a body 172 having a front substrate receiving side 173, a back side 174 and a peripheral edge 175. Front substrate receiving side 173 comprises a surface 176 over which at least a portion of a semiconductor substrate 31 to be deposited upon is to be received. Surface 176 comprises at least three gas emitting openings 178/180 configured to apply a substrate levitating and rotating force from gas emitted from such openings effective to levitate and rotate the semiconductor substrate relative to the susceptor body. In the depicted exemplary embodiment, openings 178 with their associated gas conduits are angled other than normal relative to surface 176 and respectively oriented to impart a counterclockwise rotation to a substrate 31 received by the susceptor. Accordingly and depending upon the rate of the volume of gas emitted from openings 178, such may provide both a levitating and rotating force relative to a substrate 31 received over surface 176.
  • Exemplary openings 180 with their associated gas conduits are shown being received to extend essentially perpendicularly relative to surface 176, thereby providing solely a levitating force of substrate 31 relative to surface 176. Alternately of course, only angled gas openings and conduits, for example openings 178, might be provided and configured to be effective in size and gas emission to both levitate and rotate the semiconductor substrate relative to the susceptor body. Preferably, the gas passageways or conduits would join into a single conduit relative to which the levitating and rotating gas would be injected.
  • The gas utilized for such levitating and rotating effects preferably is inert relative to the desired deposition occurring on the front side of the substrate. Alternately by way of example only, the emitted gas might comprise one or a mixture of the reactive gases used for deposition upon the substrate. Regardless, the emitted gas is preferably provided at a temperature of from about 200° C. to about 800° C. By way of example only, exemplary emission gases include He, N2, and H2.
  • In one implementation, the invention encompasses a method of depositing material over a semiconductor substrate which comprises positioning a semiconductor substrate over a surface of a susceptor. Such surface comprises at least three gas-emitting openings therein. Gas is emitted from the at least three openings effective to levitate and rotate the semiconductor substrate relative to the susceptor. While levitating and rotating the semiconductor substrate relative to the susceptor, a material is deposited over the semiconductor substrate. The susceptor itself might remain stationary or also be caused to rotate. Other attributes are, for example, as described in all the above embodiments.
  • Of course, any two or combination of all of the above embodiments might also be combined in method or structural facets. Further, any of the depositions referred to herein might be by any existing or yet-to-be developed manner, for example by chemical vapor deposition, atomic layer deposition, etc.
  • In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims (7)

1-15. (canceled)
16. A substrate susceptor for receiving a semiconductor substrate to be deposited upon, comprising:
a body having a front substrate receiving side, a back side, and a peripheral edge; and
at least three movable substrate edge clamps associated with the body, the movable substrate edge clamps being positioned to engage a peripheral edge of a semiconductor substrate received by the body for deposition thereupon.
17-18. (canceled)
19. A substrate susceptor for receiving a semiconductor substrate to be deposited upon, comprising:
a body having a front substrate receiving side, a back side, and a peripheral edge; and
a substrate bearing surface on the front substrate receiving side, the bearing surface comprising at least one vacuum opening configured to apply a pulling force on a semiconductor substrate received by the body against the bearing surface.
20. (canceled)
21. A substrate susceptor for receiving a semiconductor substrate to be deposited upon, comprising:
a body having a front substrate receiving side, a back side, and a peripheral edge; and
a surface on the front substrate receiving side over which at least a portion of a semiconductor substrate to be deposited upon is to be received, the surface comprising at least three gas emitting openings configured to apply a substrate levitating and rotating force from gas emitted from the openings effective to levitate and rotate said semiconductor substrate relative to the susceptor body.
22. (canceled)
US11/444,768 2004-04-08 2006-05-31 Substrate susceptors for receiving semiconductor substrates to be deposited upon Abandoned US20060243209A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/444,768 US20060243209A1 (en) 2004-04-08 2006-05-31 Substrate susceptors for receiving semiconductor substrates to be deposited upon

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/822,093 US7585371B2 (en) 2004-04-08 2004-04-08 Substrate susceptors for receiving semiconductor substrates to be deposited upon
US11/444,768 US20060243209A1 (en) 2004-04-08 2006-05-31 Substrate susceptors for receiving semiconductor substrates to be deposited upon

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/822,093 Division US7585371B2 (en) 2004-04-08 2004-04-08 Substrate susceptors for receiving semiconductor substrates to be deposited upon

Publications (1)

Publication Number Publication Date
US20060243209A1 true US20060243209A1 (en) 2006-11-02

Family

ID=35059269

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/822,093 Expired - Fee Related US7585371B2 (en) 2004-04-08 2004-04-08 Substrate susceptors for receiving semiconductor substrates to be deposited upon
US11/444,953 Abandoned US20060216945A1 (en) 2004-04-08 2006-05-31 Methods of depositing materials over semiconductor substrates
US11/444,768 Abandoned US20060243209A1 (en) 2004-04-08 2006-05-31 Substrate susceptors for receiving semiconductor substrates to be deposited upon
US11/444,789 Abandoned US20060243208A1 (en) 2004-04-08 2006-05-31 Substrate susceptors for receiving semiconductor substrates to be deposited upon
US11/601,232 Abandoned US20070087576A1 (en) 2004-04-08 2006-11-17 Substrate susceptor for receiving semiconductor substrates to be deposited upon

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/822,093 Expired - Fee Related US7585371B2 (en) 2004-04-08 2004-04-08 Substrate susceptors for receiving semiconductor substrates to be deposited upon
US11/444,953 Abandoned US20060216945A1 (en) 2004-04-08 2006-05-31 Methods of depositing materials over semiconductor substrates

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/444,789 Abandoned US20060243208A1 (en) 2004-04-08 2006-05-31 Substrate susceptors for receiving semiconductor substrates to be deposited upon
US11/601,232 Abandoned US20070087576A1 (en) 2004-04-08 2006-11-17 Substrate susceptor for receiving semiconductor substrates to be deposited upon

Country Status (1)

Country Link
US (5) US7585371B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080274604A1 (en) * 2007-05-04 2008-11-06 Errol Sanchez Susceptor with backside area of constant emissivity

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US7585371B2 (en) * 2004-04-08 2009-09-08 Micron Technology, Inc. Substrate susceptors for receiving semiconductor substrates to be deposited upon
WO2006008941A1 (en) * 2004-07-22 2006-01-26 Toyo Tanso Co., Ltd. Susceptor
US8986780B2 (en) 2004-11-19 2015-03-24 Massachusetts Institute Of Technology Method and apparatus for depositing LED organic film
US8128753B2 (en) 2004-11-19 2012-03-06 Massachusetts Institute Of Technology Method and apparatus for depositing LED organic film
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
JP5068471B2 (en) * 2006-03-31 2012-11-07 東京エレクトロン株式会社 Substrate processing equipment
US8556389B2 (en) 2011-02-04 2013-10-15 Kateeva, Inc. Low-profile MEMS thermal printhead die having backside electrical connections
CA2690396A1 (en) 2007-06-14 2008-12-24 Massachusetts Institute Of Technology Method and apparatus for thermal jet printing
US8383202B2 (en) 2008-06-13 2013-02-26 Kateeva, Inc. Method and apparatus for load-locked printing
US8899171B2 (en) 2008-06-13 2014-12-02 Kateeva, Inc. Gas enclosure assembly and system
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
US9604245B2 (en) 2008-06-13 2017-03-28 Kateeva, Inc. Gas enclosure systems and methods utilizing an auxiliary enclosure
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US10442226B2 (en) 2008-06-13 2019-10-15 Kateeva, Inc. Gas enclosure assembly and system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8486726B2 (en) * 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
GB2478269A (en) * 2009-12-18 2011-09-07 Surrey Nanosystems Ltd Nanomaterials growth system and method
US9570328B2 (en) * 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025538A1 (en) * 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
KR101903921B1 (en) 2011-08-09 2018-10-02 카티바, 인크. Face-down printing apparatus and method
US9120344B2 (en) 2011-08-09 2015-09-01 Kateeva, Inc. Apparatus and method for control of print gap
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102011055061A1 (en) * 2011-11-04 2013-05-08 Aixtron Se CVD reactor or substrate holder for a CVD reactor
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
DE102013009925A1 (en) * 2013-06-13 2014-12-18 Centrotherm Photovoltaics Ag Measuring object, method for producing the same and apparatus for the thermal treatment of substrates
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9814099B2 (en) * 2013-08-02 2017-11-07 Applied Materials, Inc. Substrate support with surface feature for reduced reflection and manufacturing techniques for producing same
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103614709B (en) * 2013-12-12 2015-10-07 济南大学 For the combination base type electromagnetic heater of MOCVD reaction chamber
EP3087623B1 (en) 2013-12-26 2021-09-22 Kateeva, Inc. Thermal treatment of electronic devices
US9343678B2 (en) 2014-01-21 2016-05-17 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
WO2015112454A1 (en) 2014-01-21 2015-07-30 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR101963489B1 (en) 2014-04-30 2019-07-31 카티바, 인크. Gas cushion apparatus and techniques for substrate coating
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102068882B1 (en) 2014-11-26 2020-01-21 카티바, 인크. Environmentally Controlled Coating Systems
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6847610B2 (en) * 2016-09-14 2021-03-24 株式会社Screenホールディングス Heat treatment equipment
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
DE102020120449A1 (en) * 2020-08-03 2022-02-03 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung WAFER CARRIER AND SYSTEM FOR AN EPITAXY DEVICE
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
TWI772005B (en) * 2021-04-28 2022-07-21 錼創顯示科技股份有限公司 Semiconductor wafer carrier structure and metal-organic chemical vapor deposition device
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
JPH07249586A (en) * 1993-12-22 1995-09-26 Tokyo Electron Ltd Treatment device and its manufacturing method and method for treating body to be treated
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US6054183A (en) * 1997-07-10 2000-04-25 Zimmer; Jerry W. Method for making CVD diamond coated substrate for polishing pad conditioning head
US5965047A (en) 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
US6328096B1 (en) * 1997-12-31 2001-12-11 Temptronic Corporation Workpiece chuck
US6300600B1 (en) * 1998-08-12 2001-10-09 Silicon Valley Group, Inc. Hot wall rapid thermal processor
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6022809A (en) * 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6468354B2 (en) * 1999-10-05 2002-10-22 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor wafer support
US6277194B1 (en) * 1999-10-21 2001-08-21 Applied Materials, Inc. Method for in-situ cleaning of surfaces in a substrate processing chamber
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6455814B1 (en) * 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
AU2003280184A1 (en) * 2002-12-09 2004-06-30 Koninklijke Philips Electronics N.V. System and method for suppression of wafer temperature drift in cold-wall cvd system
DE10260645B3 (en) * 2002-12-23 2004-09-16 Infineon Technologies Ag Compensation frame for holding substrate for semiconducting manufacture has polygonal inner profile for holding substrate, area of upper main surface of frame with different widths at different points
JP2004259964A (en) * 2003-02-26 2004-09-16 Renesas Technology Corp Film deposition equipment and method of manufacturing semiconductor device using the same
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US7585371B2 (en) * 2004-04-08 2009-09-08 Micron Technology, Inc. Substrate susceptors for receiving semiconductor substrates to be deposited upon
US20060057626A1 (en) * 2004-09-03 2006-03-16 Nichol Geoffrey M Assessment of CTLA-4 polymorphisms in CTLA-4 blockade therapy

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080274604A1 (en) * 2007-05-04 2008-11-06 Errol Sanchez Susceptor with backside area of constant emissivity
US8226770B2 (en) 2007-05-04 2012-07-24 Applied Materials, Inc. Susceptor with backside area of constant emissivity
US8524555B2 (en) 2007-05-04 2013-09-03 Applied Materials, Inc. Susceptor with backside area of constant emissivity

Also Published As

Publication number Publication date
US20060216945A1 (en) 2006-09-28
US20050223994A1 (en) 2005-10-13
US20070087576A1 (en) 2007-04-19
US20060243208A1 (en) 2006-11-02
US7585371B2 (en) 2009-09-08

Similar Documents

Publication Publication Date Title
US7585371B2 (en) Substrate susceptors for receiving semiconductor substrates to be deposited upon
US20060180087A1 (en) Substrate susceptor for receiving a substrate to be deposited upon
CN206127420U (en) A wafer carrier system that feels relieved certainly and single -chip base plate carrier for chemical vapor deposition reactor
CN106571323B (en) Substrate carrier, method and processing apparatus
JP5748699B2 (en) Apparatus and method for depositing a layer of material
US7166165B2 (en) Barrier coating for vitreous materials
US4978567A (en) Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2008227487A (en) Microbatch deposition chamber with radiative heating
TWI694493B (en) A coated liner assembly for a semiconductor processing chamber
JP6976725B2 (en) Contour pockets and hybrid susceptors for wafer uniformity
US11387137B2 (en) Self-centering susceptor ring assembly
KR20140005081U (en) Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition system
JP7026795B2 (en) A method for depositing an epitaxial layer on the front side of a semiconductor wafer and a device for carrying out the method.
KR101030422B1 (en) Susceptor
US20080219824A1 (en) Multiple substrate transfer robot
KR20130111029A (en) Susceptor for chemical vapor deposition apparatus and chemical vapor deposition apparatus having the same
JPH09219369A (en) Equipment and method for manufacturing semiconductor device
JP2007258694A (en) Vapor phase deposition apparatus and support table
TWI751564B (en) Method for depositing an epitaxial layer on a front side of a wafer and device for carrying out the method
KR20200071276A (en) Wafer carrier for large wafer
US20060254506A1 (en) Methods of depositing an elemental silicon-comprising material over a substrate
JPWO2006046308A1 (en) Support for semiconductor substrate
JP6587354B2 (en) Susceptor
US20230304187A1 (en) Film deposition method
JP3969484B2 (en) Hot wall heating type chemical vapor deposition system

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION