US20070015892A1 - Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film - Google Patents

Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film Download PDF

Info

Publication number
US20070015892A1
US20070015892A1 US11/484,604 US48460406A US2007015892A1 US 20070015892 A1 US20070015892 A1 US 20070015892A1 US 48460406 A US48460406 A US 48460406A US 2007015892 A1 US2007015892 A1 US 2007015892A1
Authority
US
United States
Prior art keywords
group
propoxysilane
polymer
butoxysilane
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/484,604
Inventor
Hisashi Nakagawa
Masahiro Akiyama
Takahiko Kurosawa
Atsushi Shiota
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JSR Corp
Original Assignee
JSR Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JSR Corp filed Critical JSR Corp
Assigned to JSR CORPORATION reassignment JSR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHIOTA, ATSUSHI, AKIYAMA, MASAHIRO, KUROSAWA, TAKAHIKO, NAKAGAWA, HISASHI
Publication of US20070015892A1 publication Critical patent/US20070015892A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/20Manufacture of shaped structures of ion-exchange resins
    • C08J5/22Films, membranes or diaphragms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/14Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/46Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes silicones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds

Definitions

  • the present invention relates to a method for producing a polymer, a polymer, an insulating-film-forming composition, a method for producing an insulating film, and an insulating film.
  • a silica (SiO 2 ) film formed by a vacuum process such as chemical vapor deposition (CVD) has been widely used as an interlayer dielectric for semiconductor devices or the like.
  • CVD chemical vapor deposition
  • a coating-type insulating film called a spin-on-glass (SOG) film which contains a tetraalkoxysilane hydrolysate as the major component has also been used in order to form a more uniform interlayer dielectric.
  • SOG low-relative-dielectric-constant interlayer dielectric
  • organic SOG which contains a polyorganosiloxane as the major component has been developed.
  • an improved electrical insulation between conductors has been demanded accompanying a further increase in the degree of integration and the number of layers of semiconductor devices. Therefore, an interlayer dielectric material has been demanded which exhibits a lower relative dielectric constant and excellent crack resistance, mechanical strength, and adhesion.
  • a composition containing fine particles obtained by condensing an alkoxysilane in the presence of ammonia and a basic partial hydrolysate of an alkoxysilane JP-A-5-263045 and JP-A-5-315319
  • a coating liquid obtained by condensing a basic hydrolysate of a polyalkoxysilane in the presence of ammonia JP-A-11-340219 and JP-A-11-340220
  • the material obtained by the above method is not suitable for production on an industrial scale, since the reaction product exhibits unstable properties and the resulting coating varies to a large extent in relative dielectric constant, crack resistance, mechanical strength, adhesion, and the like.
  • a method of forming a low-dielectric-constant insulating film using a coating liquid prepared by mixing a polycarbosilane solution and a polysiloxane solution has also been proposed (JP-A-2001-127152).
  • this method has a problem in which the carbosilane and the siloxane having nonuniform domains are dispersed in the coating.
  • a method has also been proposed which uses an organic silicate polymer obtained by preparing a carbon-bridge-containing silane oligomer from an organometallic silane compound and hydrolyzing and condensing the carbon-bridge-containing silane oligomer (WO2002-098955).
  • the material obtained by this method cannot be stored for a long time due to the poor stability of the reaction product.
  • this material exhibits poor adhesion to a substrate.
  • An object of the invention is to provide a method for producing a polymer and a polymer capable of forming a film which is suitably used as an interlayer dielectric for semiconductor devices or the like and exhibits a low relative dielectric constant, excellent mechanical strength and adhesion, and uniform quality.
  • Another object of the invention is to provide an insulating-film-forming composition using the polymer according to the invention, a method for producing an insulating film, and an insulating film.
  • a method for producing a polymer according to one aspect of the invention comprises hydrolyzing and condensing (B) a hydrolyzable-group-containing silane monomer in the presence of (A) a polycarbosilane, the polycarbosilane (A) being a polymer (I) obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of a compound shown by the following general formula (2) and a compound shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkaline earth metal, R 1 k CX 4-k (1) R 2 k SiY 4-k (2) R 3 m Y 3-m SiCR 4 n X 3-n (3) wherein R 1 to R 4 individually represent a monovalent organic group or a hydrogen atom, X represents a halogen atom, Y represents a halogen atom or an alkoxy group, k represents an integer from 0 to 3, and m
  • the hydrolyzable-group-containing silane monomer (B) may be at least one silane compound selected from the group consisting of a compound shown by the following general formula (4), R 5 a SiX 4-a (4) wherein R 5 represents a hydrogen atom, a fluorine atom, or a monovalent organic group, X represents a halogen atom or an alkoxy group, and a represents an integer from 0 to 3, and a compound shown by the following general formula (5), R 3 b Y 3-b Si—(R 8 ) d —SiZ 3-c R 7 c (5) wherein R 6 and R 7 individually represent monovalent organic groups, b and c individually represent integers from 0 to 2, R 8 represents an oxygen atom, a phenylene group, or a group shown by —(CH 2 ) e — (wherein e represents an integer from 1 to 6), Y and Z individually represent a halogen atom or an alkoxy group,
  • a polymer according to one aspect of the invention is obtained by the above-described method for producing a polymer.
  • An insulating-film-forming composition according to one aspect of the invention comprises the above-described polymer and an organic solvent.
  • a method for producing an insulating film according to one aspect of the invention comprises applying the above-described insulating-film-forming composition to a substrate, and heating the applied composition at 30 to 450° C.
  • a silica-based insulating film according to one aspect of the invention is obtained by the above-described method for producing an insulating film.
  • the above method for producing a polymer allows a polymer in which the polysiloxane derived from the hydrolyzable-group-containing silane monomer (B) is reacted with the polycarbosilane (A) to be obtained by reacting the hydrolyzable-group-containing silane monomer (B) in the presence of the polycarbosilane (A).
  • a partially cocondensed polymer may be obtained by hydrolyzing and condensing the hydrolyzable-group-containing polymer (B) in the presence of the polycarbosilane (A).
  • An insulating film which exhibits a low relative dielectric constant and excellent mechanical strength and adhesion and does not show a phase separation in the film can be obtained by forming a film by using a film-forming composition including such a specific polymer.
  • the polymer according to one embodiment of the invention is obtained by hydrolyzing and condensing the hydrolyzable-group-containing silane monomer (B) in the presence of the polycarbosilane (A), wherein the polycarbosilane (A) is the following polymer (I).
  • the polymer (I) is obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of a compound shown by the following general formula (2) and a compound shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkali earth metal, R 1 k CX 4-k (1) R 2 k SiY 4-k (2) R 3 m Y 3-m SiCR 4 n X 3-n (3) wherein R 1 to R 4 individually represent a monovalent organic group or a hydrogen atom, X represents a halogen atom, Y represents a halogen atom or an alkoxy group, k represents an integer from 0 to 3, and m and n individually represent integers from 0 to 2.
  • the polycarbosilane (A) may be the polymer (I).
  • the polymer (I) is obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of compounds shown by the following general formula (2) and compounds shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkaline earth metal.
  • R 1 to R 4 individually represent a hydrogen atom or a monovalent organic group.
  • a linear or branched aliphatic group having 1 to 10 carbon atoms such as an alkyl group, alkenyl group, and alkynyl group; an alicyclic group having 3 to 20 carbon atoms such as a cycloalkyl group, cycloalkenyl group, and bicycloalkyl group; an aryl group having 6 to 20 carbon atoms; and an aralkyl group having 6 to 20 carbon atoms can be given.
  • alkyl group examples include a methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group, t-butyl group, n-pentyl group, isopentyl group, neopentyl group, and the like.
  • alkenyl group examples include a vinyl group, propenyl group, 3-butenyl group, 3-pentenyl group, 3-hexenyl group, and the like.
  • alkynyl group examples include a propargyl group, 3-methylpropargyl group, 3-ethylpropargyl group, and the like.
  • cycloalkyl group examples include a cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, norbornyl group, and the like.
  • aryl group examples include a phenyl group, tolyl group, xylyl group, alpha-naphthyl group, beta-naphthyl group, alpha-thiophene group, beta-thiophene group, and the like.
  • aralkyl group examples include a benzyl group, phenethyl group, phenylpropyl group, phenylbutyl group, and the like.
  • halogen atom represented by X and Y a fluorine atom, chlorine atom, bromine atom, and iodine atom can be given.
  • R of the alkoxy group (—OR) represented by Y the alkyl group and the aryl group given as examples for R 1 to R 4 can be given.
  • the polystyrene-reduced number average molecular weight of the polycarbosilane (A) is preferably 400 to 50,000, more preferably 500 to 10,000, and particularly preferably 500 to 3,000. If the polystyrene-reduced number average molecular weight of the component (B) exceeds 50,000, the component (A) and the component (B) may undergo phase separation, whereby a uniform film may not be formed.
  • Examples of the compound shown by the general formula (1) include carbon compounds such as tetrachlorocarbon, tetrabromocarbon, tetraiodocarbon, chloroform, bromoform, iodoform, methyltrichlorocarbon, ethyltrichlorocarbon, n-propyltrichlorocarbon, isopropyltrichlorocarbon, n-butyltrichlorocarbon, t-butyltrichlorocarbon, cyclohexyltrichlorocarbon, phenethyltrichlorocarbon, 2-norbornyltrichlorocarbon, vinyltrichlorocarbon, phenyltrichlorocarbon, methyltribromocarbon, ethyltribromocarbon, n-propyltribromocarbon, isopropyltribromocarbon, n-butyltribromocarbon, t-butyltribromocarbon,
  • the compound 1 may be used either individually or in combination of two or more.
  • Examples of the compound shown by the general formula (2) include silicon compounds such as tetrachlorosilane, tetrabromosilane, tetraiodosilane, trichlorosilane, tribromosilane, triiodosilane, methyltrichlorosilane, ethyltrichlorosilane, n-propyltrichlorosilane, isopropyltrichlorosilane, n-butyltrichlorosilane, t-butyltrichlorosilane, cyclohexyltrichlorosilane, phenethyltrichlorosilane, 2-norbornyltrichlorosilane, vinyltrichlorosilane, phenyltrichlorosilane, methyltribromosilane, ethyltribromosilane, n-propyltribromosilane, is
  • the compound 2 may be used either individually or in combination of two or more.
  • Examples of the compound shown by the general formula (3) include silicon compounds such as chloromethyltrichlorosilane, bromomethyltrichlorosilane, iodomethyltrichlorosilane, chloromethylmethyldichlorosilane, chloromethylethyldichlorosilane, chloromethyl-n-propyldichlorosilane, chloromethylisopropyldichlorosilane, chloromethyl-n-butyldichlorosilane, chloromethyl-t-butyldichlorosilane, chloromethylcyclohexyldichlorosilane, chloromethylphenethyldichlorosilane, chloromethylvinyldichlorosilane, chloromethylphenyldichlorosilane, bromomethylmethyldichlorosilane, bromomethylethyldichlorosilane, bromomethyl-n-propy
  • the compound 3 may be used either individually or in combination of two or more.
  • the polycarbosilane (A) is the following polymer (I), as described above.
  • the molar ratio of the compound 1 to the compound 2 and/or the compound 3 is preferably 0.01 to 100, still more preferably 0.1 to 10, and particularly preferably 0.5 to 5. If the molar ratio is within this range, the degree of polymerization of the resulting polymer can be increased.
  • alkali metal lithium, potassium, and sodium
  • alkaline earth metal which may be used in this embodiment
  • magnesium can be given. In this embodiment, it is preferable to use magnesium.
  • the alkali metal and the alkaline earth metal are used to reductively eliminate the halogen atom or the alkoxy group from the compound 1 and the compound 2 and/or the compound 3 to form a carbon-silicon bond.
  • the alkali metal and the alkaline earth metal are preferably used in an amount of 1.0 to 1.5 molar equivalents for the total amount of carbon-halogen bond and carbon-alkoxy group bond of the compound 1 and the compound 2 and/or the compound 3.
  • the reaction may be promoted by applying ultrasonic waves to the reaction liquid from outside, as required.
  • the frequency of the ultrasonic waves used to promote the reaction is preferably about 10 to 70 kHz.
  • an ether solvent may be preferably used.
  • a hydrocarbon solvent generally used for a Kipping reaction the yield of the objective soluble silicon oligomer tends to be decreased.
  • ether solvent examples include diethyl ether, di-n-propyl ether, diisopropyl ether, dibutyl ether, ethyl propyl ether, anisole, phenetole, diphenyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, diethylene glycol dibutyl ether, diethylene glycol methyl ethyl ether, dipropylene glycol dimethyl ether, dipropylene glycol diethyl ether, dipropylene glycol dibutyl ether, dipropylene glycol methyl ethyl ether, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, ethylene glycol dibutyl ether, ethylene glycol methyl ethyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, propylene glycol dibutyl ether, propylene glycol dimethyl ether, propy
  • water is preferably removed from the ether solvent by degassing/distillation in the presence of sodium-benzophenone ketyl.
  • the amount of the solvent to be used is not particularly limited.
  • the solvent is used in an amount of preferably 1 to 30 parts by weight, and still more preferably 2 to 20 parts by weight for the total amount of the compound 1 and the compound 2 and/or the compound 3.
  • the reaction temperature of the polymer (I) is preferably 0 to 150° C., and still more preferably 30 to 100° C. If the reaction temperature is lower than 0° C., the productivity may be decreased due to low reaction rate. If the reaction temperature is higher than 150° C., the reaction becomes complicated, whereby the solubility of the resulting polymer tends to be decreased.
  • the reaction is preferably carried out in an inert gas such as argon or nitrogen.
  • the polymer (I) obtained by the above method may be reacted with an alcohol or an organic acid in an organic solvent when Y in the polymer (I) includes a halogen atom, or (ii) the polymer (I) obtained by the above method may be reacted with a reducing agent in an organic solvent.
  • a halogen atom may be replaced with a stable alkoxy group or ester group by reacting the polymer (I) including an unreacted hydrolyzable halogen atom at the molecular terminal or in the side chain with an alcohol or an organic acid.
  • Examples of the alcohol include monohydric alcohols such as methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, t-butanol, n-pentanol, i-pentanol, 2-methylbutanol, sec-pentanol, t-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethylhexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol, n-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol
  • organic acid examples include acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacic acid, gallic acid, butyric acid, mellitic acid, arachidonic acid, shikimic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linolic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfonic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid
  • the alcohol or the acid may be used either individually or in combination of two or more.
  • the alcohol or the acid is used so that the amount of hydroxyl groups contained in the alcohol or the acid is at least 1.0 equivalent, and preferably 1.0 to 4.0 equivalents for 1.0 equivalent of residual halogen atoms contained in the polymer.
  • the solvent used in the method (i) is not particularly limited insofar as the solvent does not react with the alcohol or the acid used.
  • the solvent is preferably an aromatic solvent such as benzene, toluene, xylene, or mesitylene. These solvents may be used either individually or in combination of two or more.
  • an organic amine which makes a pair with the hydrogen halide to generate a salt and does not contain active hydrogen.
  • organic amine pyridine, pyrrole, picoline, diazabicyclooctane, diazabicyclononane, diazabicycloundecene, trimethylamine, triethylamine, tripropylamine, tributylamine, and the like can be given.
  • alkali catalysts may be used either individually or in combination of two or more.
  • a substituent on a silicon atom can be replaced with a stable hydrogen atom by reducing the polymer (I) including an unreacted hydrolyzable halogen atom at the molecular terminal or the polymer obtained by the method (i) using a reducing agent.
  • LiAlH 4 , NaH, LiBu 3 BH, (C 5 H 11 ) 2 BH, B 2 H 6 , NaBH 4 , Zn(BH 4 ) 2 , NaBH 3 CN, Bu 2 AlH, Li(OBu) 3 AlH, and the like can be given.
  • LiAlH 4 , NaH, B 2 H 6 , and NaBH 4 are preferable.
  • the reducing agent is used so that the amount of hydrogen atoms contained in the reducing agent is at least 1.0 equivalent, and preferably 1.0 to 4.0 equivalents for 1.0 equivalent of halogen atoms contained in the polymer.
  • the solvent used in the method (ii) is not particularly limited insofar as the solvent does not react with the reducing agent.
  • the solvent is preferably ether solvent.
  • the ether solvent given above may be used.
  • the solvent may be used either individually or in combination of two or more.
  • the reaction temperature is preferably ⁇ 78 to 60° C. If the reaction temperature is lower than ⁇ 78° C., the productivity may be decreased due to low reaction rate. If the reaction temperature is higher than 60° C., the solubility of the reaction product may be decreased, whereby the yield of the polymer may be decreased.
  • the reaction is preferably carried out in an inert gas such as argon or nitrogen.
  • the polymer is obtained by hydrolyzing and condensing the hydrolyzable-group-containing polymer (B) in the presence of the polycarbosilane (A), as described above.
  • hydrolyzable group used herein refers to a group which may be hydrolyzed during the production of the polymer in this embodiment. Specific examples of the hydrolyzable group include a hydrogen atom bonded to a silicon atom, a halogen atom, a hydroxyl group, alkoxy group, acyloxy group, sulfone group, methanesulfone group, and trifluoromethanesulfone group. Note that the hydrolyzable group is not limited thereto.
  • the hydrolyzable-group-containing polymer (B) may be at least one silane compound selected from the group consisting of a compound shown by the following general formula (4), R 5 a SiX 4-a (4) wherein R 5 represents a hydrogen atom, a fluorine atom, or a monovalent organic group, X represents a halogen atom or an alkoxy group, and a represents an integer from 0 to 3, and a compound shown by the following general formula (5), R 6 b Y 3-b Si—(R 8 ) d —SiZ 3-c (5) wherein R 6 and R 7 individually represent monovalent organic groups, b and c individually represent integers from 0 to 2, R 8 represents an oxygen atom, a phenylene group, or a group shown by —(CH 2 ) e — (wherein e represents an integer from 1 to 6), Y and Z individually represent a halogen atom or an alkoxy group, and d represents 0 or 1. 1.3.1. Compound Shown
  • R 5 represents a hydrogen atom, a fluorine atom, or a monovalent organic group.
  • the monovalent organic group an alkyl group, aryl group, allyl group, glycidyl group, vinyl group, and the like can be given.
  • R 5 preferably represents the monovalent organic group, and particularly preferably an alkyl group or a phenyl group.
  • alkyl group a methyl group, ethyl group, propyl group, butyl group, and the like can be given.
  • the alkyl group preferably has 1 to 5 carbon atoms.
  • These alkyl groups may be either linear or branched, and may be replaced with a hydrogen atom, fluorine atom, amino group, or the like.
  • aryl group a phenyl group, naphthyl group, methylphenyl group, ethylphenyl group, chlorophenyl group, bromophenyl group, fluorophenyl group, and the like can be given.
  • hydrocarbon portion of the alkoxy group represented by X the groups given as examples of the monovalent organic group represented by R 5 may be applied.
  • Examples of the compound shown by the general formula (4) include silicon compounds such as tetramethoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-iso-propoxysilane, tetra-n-butoxysilane, tetra-sec-butoxysilane, tetra-tert-butoxysilane, tetraphenoxysilane, trimethoxysilane, triethoxysilane, tri-n-propoxysilane, tri-iso-propoxysilane, tri-n-butoxysilane, tri-sec-butoxysilane, tri-tert-butoxysilane, triphenoxysilane, fluorotrimethoxysilane, fluorotriethoxysilane, fluorotri-n-propoxysilane, fluorotri-iso-propoxysilane, fluorotri-n-n-
  • R 8 in the general formula (5) is an oxygen atom
  • R 8 in the general formula (5) is an oxygen atom
  • hexachlorodisiloxane hexabromodisiloxane
  • hexaiodedisiloxane hexamethoxydisiloxane
  • hexaethoxydisiloxane hexaphenoxydisiloxane
  • R 8 in the general formula (5) is the group shown by —(CH 2 ) e —, bis(trichlorosilyl)methane, bis(tribromosilyl)methane,
  • the compounds 4 and 5 may be used individually or in combination of two or more.
  • a specific catalyst may be used when hydrolyzing and condensing at least one silane compound selected from the group consisting of the compounds 4 and 5 in the presence of the polycarbosilane (A) (polymer (I)).
  • the catalyst at least one catalyst selected from the group consisting of an alkali catalyst, metal chelate catalyst, and acid catalyst may be used.
  • alkali catalyst sodium hydroxide, potassium hydroxide, lithium hydroxide, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, monoethanolamine, diethanolamine, dimethyl monoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diazabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, ammonia, methylamine, ethylamine, propylamine, butylamine, pentylamine, hexylamine, pentylamine, octylamine, nonylamine, decylamine, N,N-dimethylamine, N,N-diethylamine, N,N-dipropylamine,
  • the amine or the amine salt is preferable.
  • the organic amine or the organic amine salt is particularly preferable, with the alkylamine and the tetraalkylammonium hydroxide being most preferable.
  • These alkali catalysts may be used either individually or in combination of two or more.
  • titanium chelate compounds such as
  • titanium or aluminum chelate compounds are preferable, with the titanium chelate compounds being particularly preferable.
  • These metal chelate catalysts may be used either individually or in combination of two or more.
  • inorganic acids such as hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid, and boric acid
  • organic acids such as acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacic acid, gallic acid, butyric acid, mellitic acid, arachidonic acid, shikimic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linolic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfonic acid, monochloroacetic acid
  • the above catalyst is used in an amount of usually 0.00001 to 10 mol, and preferably 0.00005 to 5 mol for one mol of the total amount of the groups represented by X, Y, and Z in the compounds 4 and 5. If the amount of the catalyst is in the above range, precipitation or gelation of the polymer occurs to only a small extent during the reaction.
  • the temperature when hydrolyzing the compounds 4 and 5 is usually 0 to 100° C., and preferably 15 to 80° C.
  • the term “complete hydrolysis-condensation product” refers to a product in which the hydrolyzable groups in the polycarbosilane (A) and the compounds 4 and 5 are completely hydrolyzed into SiOH groups and are completely condensed to form a siloxane structure.
  • the condensation product is preferably a hydrolysis-condensation product of the polycarbosilane (A) and the compound 4 since the resulting composition exhibits excellent storage stability.
  • the compounds 4 and 5 are used so that the total amount of the compounds 4 and 5 is 500 to 4,000 parts by weight, and preferably 1,000 to 3,000 parts by weight for 100 parts by weight of the polycarbosilane (A).
  • the polystyrene-reduced weight average molecular weight of the polymer is preferably 1,500 to 500,000, more preferably 2,000 to 200,000, and still more preferably 2,000 to 100,000. If the polystyrene-reduced weight average molecular weight of the polymer is less than 1,500, the target relative dielectric constant may not be obtained. If the polystyrene-reduced weight average molecular weight of the polymer exceeds 500,000, the resulting coating may exhibit inferior inplane uniformity.
  • the insulating-film-forming composition (hereinafter called “film-forming composition”) according to one embodiment of the invention may include the above-described polymer and components such as an organic polymer or a surfactant.
  • organic polymer a (meth)acrylic polymer, a compound having a polyalkylene oxide structure, and the like can be given.
  • compounds having a polymethylene oxide structure examples of the compound having a polyalkylene oxide structure
  • compounds having a polymethylene oxide structure examples of the compound having a polyalkylene oxide structure
  • polyethylene oxide structure examples of the compound having a polyalkylene oxide structure
  • polypropylene oxide structure examples of the compound having a polypropylene oxide structure
  • polytetramethylene oxide structure examples of the compound having a polybutylene oxide structure
  • ether compounds such as a polyoxymethylene alkyl ether, polyoxyethylene alkyl ether, polyoxyethylene alkylphenyl ether, polyoxyethylene sterol ether, polyoxyethylene lanolin derivatives, ethylene oxide derivatives of alkylphenol formalin condensate, polyoxyethylene polyoxypropylene block copolymers, and polyoxyethylene polyoxypropylene alkyl ethers; ether-ester compounds such as polyoxyethylene glyceride, polyoxyethylene sorbitan fatty acid ester, polyoxyethylene sorbitol fatty acid ester, and polyoxyethylene fatty acid alkanolamide sulfate; and ester compounds such as polyethylene glycol fatty acid ester, ethylene glycol fatty acid ester, fatty acid monoglyceride, polyglycerol fatty acid ester, sorbitan fatty acid ester, propylene glycol fatty acid ester, and sucrose fatty acid ester
  • a compound having the following block structure can be given.
  • X′ represents a group shown by —CH 2 CH 2 O—
  • Y′ represents a group shown by —CH 2 CH(CH 3 )O—
  • j represents an integer from 1 to 90
  • k represents an integer from 10 to 99
  • 1 represents an integer from 0 to 90.
  • the ether compounds such as the polyoxyethylene alkyl ether, polyoxyethylene-polyoxypropylene block copolymer, polyoxyethylene polyoxypropylene alkyl ether, polyoxyethylene glyceride, polyoxyethylene sorbitan fatty acid ester, and polyoxyethylene sorbitol fatty acid ester are preferable. These compounds may be used either individually or in combination of two or more.
  • the surfactant a nonionic surfactant, anionic surfactant, cationic surfactant, amphoteric surfactant, and the like can be given.
  • the surfactant may be a fluorine-containing surfactant, silicone surfactant, polyalkylene oxide surfactant, poly(meth)acrylate surfactant, or the like. Of these, the fluorine-containing surfactant and the silicone surfactant are preferable.
  • fluorine-containing surfactant compounds having a fluoroalkyl or fluoroalkylene group in at least one of the molecular terminal, main chain, and side chain, such as 1,1,2,2-tetrafluorooctyl (1,1,2,2-tetrafluoropropyl) ether, 1,1,2,2-tetrafluorooctyl hexyl ether, octaethylene glycol di(1,1,2,2-tetrafluorobutyl) ether, hexaethylene glycol (1,1,2,2,3,3-hexafluoropentyl) ether, octapropylene glycol di(1,1,2,2-tetrafluorobutyl) ether, hexapropylene glycol di(1,1,2,2,3,3-hexafluoropentyl) ether, sodium perfluorododecylsulfonate, 1,1,2,2,8,8,9,9,10,10-decafluor
  • Fluorad FC-430, FC-431 manufactured by Sumitomo 3M Ltd.
  • Asahi Guard AG710 Surflon S-382, SC-101, SC-102, SC-103, SC-104, SC-105, SC-106 (manufactured by Asahi Glass Co., Ltd.)
  • BM-1000, BM-1100 manufactured by BM Chemie
  • NBX-15 manufactured by NEOS Co., Ltd.
  • Megafac F172, BM-1000, BM-1100, and NBX-15 are particularly preferable.
  • silicone surfactant SH7PA, SH21PA, SH30PA, ST94PA (manufactured by Dow Corning Toray Silicone Co., Ltd.), and the like may be used. Of these, SH28PA and SH30PA are preferable.
  • the surfactant is usually used in an amount of 0.0001 to 10 parts by weight for 100 parts by weight of the polymer (complete hydrolysis-condensation product).
  • the surfactant may be used either individually or in combination of two or more.
  • the above-described polymer (hydrolysis-condensation product) may be dissolved or dispersed in an organic solvent together with an optional additive.
  • organic solvent at least one solvent selected from the group consisting of an alcohol solvent, ketone solvent, amide solvent, ester solvent, and nonprotic solvent can be given.
  • the alcohol solvent examples include monohydric alcohols such as methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, t-butanol, n-pentanol, i-pentanol, 2-methylbutanol, sec-pentanol, t-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethylhexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol, n-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol
  • These alcohol solvents may be used either individually or in combination of two or more.
  • ketone solvent examples include acetone, methyl ethyl ketone, methyl n-propyl ketone, methyl n-butyl ketone, diethyl ketone, methyl i-butyl ketone, methyl n-pentyl ketone, ethyl n-butyl ketone, methyl n-hexyl ketone, di-i-butyl ketone, trimethylnonane, cyclohexanone, 2-hexanone, methylcyclohexanone, 2,4-pentanedione, acetonylacetone, acetophenone, and fenchone; beta-diketones such as acetylacetone, 2,4-hexanedione, 2,4-heptanedione, 3,5-heptanedione, 2,4-octanedione, 3,5-octanedione, 2,4-n
  • amide solvent examples include formamide, N-methylformamide, N,N-dimethylformamide, N-ethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamnide, N-ethylacetamide, N,N-diethylacetamide, N-methylpropioneamide, N-methylpyrrolidone, N-formylmorpholine, N-formylpiperidine, N-formylpyrrolidine, N-acetylmorpholine, N-acetylpiperidine, N-acetylpyrrolidine, and the like. These amide solvents may be used either individually or in combination of two or more.
  • ester solvent examples include diethyl carbonate, ethylene carbonate, propylene carbonate, diethyl carbonate, methyl acetate, ethyl acetate, gamma-butyrolactone, gamma-valerolactone, n-propyl acetate, i-propyl acetate, n-butyl acetate, i-butyl acetate, sec-butyl acetate, n-pentyl acetate, sec-pentyl acetate, 3-methoxybutyl acetate, methylpentyl acetate, 2-ethylbutyl acetate, 2-ethylhexyl acetate, benzyl acetate, cyclohexyl acetate, methylcyclohexyl acetate, n-nonyl acetate, methyl acetoacetate, ethyl acetoacetate, ethylene glycol monomethyl ether
  • nonprotic solvent examples include acetonitrile, dimethylsulfoxide, N,N,N′,N′-tetraethylsulfonamide, hexamethylphosphoric acid triamide, N-methylmorphorone, N-methylpyrrole, N-ethylpyrrole, N-methyl-delta3-pyrroline, N-methylpiperidine, N-ethylpiperidine, N,N-dimethylpiperazine, N-methylimidazole, N-methyl-4-piperidone, N-methyl-2-piperidone, N-methyl-2-pyrrolidone, 1,3-dimethyl-2-imidazolidinone, 1,3-dimethyltetrahydro-2(1H)-pyrimidinone, and the like. These nonprotic solvents may be used either individually or in combination of two or more.
  • the total solid content of the film-forming composition thus obtained may be appropriately adjusted according to the target application.
  • the total solid content is preferably 2 to 30 wt %. If the total solid content of the film-forming composition is 2 to 30 wt %, the resulting coating has an appropriate thickness, and the composition exhibits excellent storage stability.
  • the total solid content may be adjusted by concentration or dilution with the above organic solvent, as required.
  • the insulating film according to one embodiment of the invention is obtained by applying the above-described film-forming composition to a substrate to form a coating, and heating the resulting coating.
  • the film-forming composition When applying the above-described film-forming composition to a substrate such as a silicon wafer, SiO 2 wafer, or SiN wafer, the film-forming composition is applied by spin coating, dip coating, roll coating, spraying, or the like.
  • a coating with a dry thickness of about 0.05 to 2.5 micrometers may be obtained by single application, and a coating with a dry thickness of about 0.1 to 5.0 micrometers may be obtained by double application.
  • the coating is then dried at an ordinary temperature or dried by heating at about 80 to 600° C. for 5 to 240 minutes to form a glass-like or high-molecular-weight polymer coating.
  • a hot plate, oven, furnace, or the like may be used as the heating method.
  • the coating may be heated in air, nitrogen, or argon, under vacuum, or under reduced pressure in which the oxygen concentration is controlled.
  • the coating may be heated stepwise, or the atmosphere such as nitrogen, air, oxygen, and reduced pressure may be selected, if necessary.
  • the film-forming composition may be applied to a substrate and heated at 30 to 450° C. while applying high-energy rays.
  • the silica-based insulating film according to this embodiment of the invention thus obtained has a density of usually 0.35 to 1.2 g/cm 3 , preferably 0.4 to 1.1 g/cm 3 , and still more preferably 0.5 to 1.0 g/cm 3 . If the density of the film is less than 0.35 g/cm 3 , the coating may exhibit insufficient mechanical strength. If the density of the film is more than 1.2 g/cm 3 , a low relative dielectric constant may not be obtained.
  • the relative dielectric constant of the insulating film in this embodiment is usually 3.2 to 1.2, preferably 3.0 to 1.5, and still more preferably 2.7 to 1.8.
  • the insulating film according to this embodiment of the invention includes a number of silicon-carbon bonds in the film structure. This ensures excellent insulating properties, excellent coating uniformity, excellent dielectric constant properties, excellent modulus of elasticity, and adhesion of the coating.
  • the insulating film in this embodiment exhibits a low relative dielectric constant and excellent crack resistance, mechanical strength, and adhesion
  • the insulating film according to this embodiment is useful for applications such as an interlayer dielectric or an etching stopper film for semiconductor devices such as an LSI, system LSI, DRAM, SDRAM, RDRAM, and D-RDRAM, a protective film such as a surface coating film for semiconductor devices, an intermediate layer used in the semiconductor manufacture using a multilayer resist, an interlayer dielectric for multilayer wiring boards, and a protective film or an insulating film for liquid crystal display devices.
  • the weight average molecular weight (Mw) of the polymer was measured by gel permeation chromatography (GPC) under the following conditions.
  • a relative dielectric constant measurement sample was prepared by forming an aluminum electrode pattern on the resulting insulating film by deposition.
  • the relative dielectric constant of the sample was measured at room temperature by a CV method at a frequency of 100 kHz using an electrode “HP16451B” and a precision LCR meter “HP4284A” manufactured by Yokogawa-Hewlett-Packard, Ltd.
  • the modulus of elasticity and the hardness of the resulting insulating film were measured by a continuous stiffness measurement method using a Nano Indenter XP (manufactured by Nano Instruments).
  • SiO 2 film was formed on the resulting insulating film by sputtering to a thickness of 400 nm.
  • the SiO 2 film was cut to an appropriate size.
  • a blank silicon wafer of the same size was bonded to the wafer using an epoxy resin, and heated at 135° C. for two hours in an oven.
  • the resulting product was cut into small pieces using a dicing machine. Each piece was then subjected to a peeling test using a four-point bend adhesion measurement method. The results were classified as follows.
  • the cross section of the insulating film was processed for observation by a focused ion beam method, and the appearance of the cross section was observed using a transmission electron microscope (TEM) at a magnification of 18,000. The observation results were classified as follows.
  • the weight average molecular weight of the resulting polymer (2) was 420.
  • the weight average molecular weight of the resulting polymer (3) was 860.
  • reaction liquid A The weight average molecular weight of the polymer (hereinafter called “condensate”) thus obtained was 27,000.
  • reaction liquid B After allowing the mixture to react at 55° C. for four hours, 10 g of a 10% propylene glycol monopropyl ether solution of acetic acid was added to the mixture. After allowing the mixture to react for 30 minutes, the reaction liquid was cooled to room temperature. 299 g of a solution containing methanol and water was evaporated from the reaction liquid at 50° C. to obtain a reaction liquid B. The weight average molecular weight of the condensate thus obtained was 31,000.
  • the reaction liquid A obtained in Example 1 was filtered through a Teflon (registered trademark) filter with a pore size of 0.2 micrometers to obtain the above-described film-forming composition.
  • the resulting composition was applied to a silicon wafer by spin coating.
  • the substrate was dried on a hot plate at 90° C. for three minutes and at 200° C. for three minutes in a nitrogen atmosphere, and sintered on a hot plate at 400° C. for 60 minutes in a nitrogen atmosphere.
  • the resulting insulating film (hereinafter called “silica-based film”) was evaluated according to the evaluation methods described in 5.1. The evaluation results are shown in Table 1.
  • a silica-based film was formed in the same manner as in Example 4 except for using a reaction liquid E prepared by dissolving 1.0 g of the polymer (1) obtained in Synthesis Example 1 in 4.0 g of propylene glycol monopropyl ether as the coating solution. The resulting silica-based film was evaluated. The evaluation results are shown in Table 1.
  • a separable flask made of quartz was charged with 430 g of distilled ethanol, 211 g of ion-exchanged water, and 15.2 g of a 25% tetramethylammonium hydroxide aqueous solution. The mixture was uniformly stirred. A mixture of 40 g of methyltrimethoxysilane and 61.1 g of tetraethoxysilane was added to the solution. The mixture was allowed to react for two hours while maintaining the solution at 60° C. After the addition of 300 g of propylene glycol monopropyl ether to the solution, the mixture was concentrated at 50° C. using an evaporator until the solid content was 20% (as complete hydrolysis-condensation product).
  • reaction liquid F 20 g of a 10% propylene glycol monopropyl ether solution of maleic acid was added to the concentrate to obtain a reaction liquid F.
  • a silica-based film was formed in the same manner as in Example 4 except for using the reaction liquid F instead of the reaction liquid A.
  • the resulting silica-based film was evaluated. The evaluation results are shown in Table 1.
  • reaction liquid G A silica-based film was formed in the same manner as in Example 4 except for using the reaction liquid G instead of the reaction liquid A. The resulting silica-based film was evaluated. The evaluation results are shown in Table 1.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Silicon Polymers (AREA)
  • Formation Of Insulating Films (AREA)
  • Paints Or Removers (AREA)
  • Organic Insulating Materials (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

A method for producing a polymer includes hydrolyzing and condensing (B) a hydrolyzable-group-containing silane monomer in the presence of (A) a polycarbosilane, the polycarbosilane (A) being a polymer (I) obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of a compound shown by the following general formula (2) and a compound shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkaline earth metal,
R1 kCX4-k  (1)
R2 kSiY4-k  (2)
R3 mY3-mSiCR4 nX3-n  (3)
wherein R1 to R4 individually represent a monovalent organic group or a hydrogen atom, X represents a halogen atom, Y represents a halogen atom or an alkoxy group, k represents an integer from 0 to 3, and m and n individually represent integers from 0 to 2.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a continuation of International Patent Application No. PCT/JP2005/000372, having an international filing date of Jan. 14, 2005, which designated the United States, the entirety of which is incorporated herein by reference. Japanese Patent Application No. 2004-009205, filed on Jan. 16, 2004, is also incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to a method for producing a polymer, a polymer, an insulating-film-forming composition, a method for producing an insulating film, and an insulating film.
  • A silica (SiO2) film formed by a vacuum process such as chemical vapor deposition (CVD) has been widely used as an interlayer dielectric for semiconductor devices or the like. In recent years, a coating-type insulating film called a spin-on-glass (SOG) film which contains a tetraalkoxysilane hydrolysate as the major component has also been used in order to form a more uniform interlayer dielectric. Along with an increase in the degree of integration of semiconductor devices, a low-relative-dielectric-constant interlayer dielectric called an organic SOG which contains a polyorganosiloxane as the major component has been developed.
  • In particular, an improved electrical insulation between conductors has been demanded accompanying a further increase in the degree of integration and the number of layers of semiconductor devices. Therefore, an interlayer dielectric material has been demanded which exhibits a lower relative dielectric constant and excellent crack resistance, mechanical strength, and adhesion.
  • A composition containing fine particles obtained by condensing an alkoxysilane in the presence of ammonia and a basic partial hydrolysate of an alkoxysilane (JP-A-5-263045 and JP-A-5-315319), and a coating liquid obtained by condensing a basic hydrolysate of a polyalkoxysilane in the presence of ammonia (JP-A-11-340219 and JP-A-11-340220) have been proposed as low-relative-dielectric-constant materials. However, the material obtained by the above method is not suitable for production on an industrial scale, since the reaction product exhibits unstable properties and the resulting coating varies to a large extent in relative dielectric constant, crack resistance, mechanical strength, adhesion, and the like. A method of forming a low-dielectric-constant insulating film using a coating liquid prepared by mixing a polycarbosilane solution and a polysiloxane solution has also been proposed (JP-A-2001-127152). However, this method has a problem in which the carbosilane and the siloxane having nonuniform domains are dispersed in the coating.
  • A method has also been proposed which uses an organic silicate polymer obtained by preparing a carbon-bridge-containing silane oligomer from an organometallic silane compound and hydrolyzing and condensing the carbon-bridge-containing silane oligomer (WO2002-098955). However, the material obtained by this method cannot be stored for a long time due to the poor stability of the reaction product. Moreover, this material exhibits poor adhesion to a substrate.
  • SUMMARY
  • An object of the invention is to provide a method for producing a polymer and a polymer capable of forming a film which is suitably used as an interlayer dielectric for semiconductor devices or the like and exhibits a low relative dielectric constant, excellent mechanical strength and adhesion, and uniform quality.
  • Another object of the invention is to provide an insulating-film-forming composition using the polymer according to the invention, a method for producing an insulating film, and an insulating film.
  • A method for producing a polymer according to one aspect of the invention comprises hydrolyzing and condensing (B) a hydrolyzable-group-containing silane monomer in the presence of (A) a polycarbosilane, the polycarbosilane (A) being a polymer (I) obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of a compound shown by the following general formula (2) and a compound shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkaline earth metal,
    R1 kCX4-k  (1)
    R2 kSiY4-k  (2)
    R3 mY3-mSiCR4 nX3-n  (3)
    wherein R1 to R4 individually represent a monovalent organic group or a hydrogen atom, X represents a halogen atom, Y represents a halogen atom or an alkoxy group, k represents an integer from 0 to 3, and m and n individually represent integers from 0 to 2.
  • In this method for producing a polymer, the hydrolyzable-group-containing silane monomer (B) may be at least one silane compound selected from the group consisting of a compound shown by the following general formula (4),
    R5 aSiX4-a  (4)
    wherein R5 represents a hydrogen atom, a fluorine atom, or a monovalent organic group, X represents a halogen atom or an alkoxy group, and a represents an integer from 0 to 3, and a compound shown by the following general formula (5),
    R3 bY3-bSi—(R8)d—SiZ3-cR7 c  (5)
    wherein R6 and R7 individually represent monovalent organic groups, b and c individually represent integers from 0 to 2, R8 represents an oxygen atom, a phenylene group, or a group shown by —(CH2)e— (wherein e represents an integer from 1 to 6), Y and Z individually represent a halogen atom or an alkoxy group, and d represents 0 or 1.
  • A polymer according to one aspect of the invention is obtained by the above-described method for producing a polymer.
  • An insulating-film-forming composition according to one aspect of the invention comprises the above-described polymer and an organic solvent.
  • A method for producing an insulating film according to one aspect of the invention comprises applying the above-described insulating-film-forming composition to a substrate, and heating the applied composition at 30 to 450° C.
  • A silica-based insulating film according to one aspect of the invention is obtained by the above-described method for producing an insulating film.
  • The above method for producing a polymer allows a polymer in which the polysiloxane derived from the hydrolyzable-group-containing silane monomer (B) is reacted with the polycarbosilane (A) to be obtained by reacting the hydrolyzable-group-containing silane monomer (B) in the presence of the polycarbosilane (A). For example, a partially cocondensed polymer may be obtained by hydrolyzing and condensing the hydrolyzable-group-containing polymer (B) in the presence of the polycarbosilane (A). An insulating film which exhibits a low relative dielectric constant and excellent mechanical strength and adhesion and does not show a phase separation in the film can be obtained by forming a film by using a film-forming composition including such a specific polymer.
  • DETAILED DESCRIPTION OF THE EMBODIMENT
  • Some embodiments of the invention will be described below in more detail.
  • 1. Polymer
  • The polymer according to one embodiment of the invention is obtained by hydrolyzing and condensing the hydrolyzable-group-containing silane monomer (B) in the presence of the polycarbosilane (A), wherein the polycarbosilane (A) is the following polymer (I).
  • The polymer (I) is obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of a compound shown by the following general formula (2) and a compound shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkali earth metal,
    R1 kCX4-k  (1)
    R2 kSiY4-k  (2)
    R3 mY3-mSiCR4 nX3-n  (3)
    wherein R1 to R4 individually represent a monovalent organic group or a hydrogen atom, X represents a halogen atom, Y represents a halogen atom or an alkoxy group, k represents an integer from 0 to 3, and m and n individually represent integers from 0 to 2.
    1.1. Polycarbosilane (A)
  • The polycarbosilane (A) may be the polymer (I). The polymer (I) is obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of compounds shown by the following general formula (2) and compounds shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkaline earth metal.
  • In the general formulas (1) to (3), R1 to R4 individually represent a hydrogen atom or a monovalent organic group. As specific examples of the monovalent organic group, a linear or branched aliphatic group having 1 to 10 carbon atoms such as an alkyl group, alkenyl group, and alkynyl group; an alicyclic group having 3 to 20 carbon atoms such as a cycloalkyl group, cycloalkenyl group, and bicycloalkyl group; an aryl group having 6 to 20 carbon atoms; and an aralkyl group having 6 to 20 carbon atoms can be given.
  • Examples of the alkyl group include a methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group, t-butyl group, n-pentyl group, isopentyl group, neopentyl group, and the like.
  • Examples of the alkenyl group include a vinyl group, propenyl group, 3-butenyl group, 3-pentenyl group, 3-hexenyl group, and the like.
  • Examples of the alkynyl group include a propargyl group, 3-methylpropargyl group, 3-ethylpropargyl group, and the like.
  • Examples of the cycloalkyl group include a cyclopropyl group, cyclobutyl group, cyclopentyl group, cyclohexyl group, norbornyl group, and the like.
  • Examples of the aryl group include a phenyl group, tolyl group, xylyl group, alpha-naphthyl group, beta-naphthyl group, alpha-thiophene group, beta-thiophene group, and the like.
  • Examples of the aralkyl group include a benzyl group, phenethyl group, phenylpropyl group, phenylbutyl group, and the like.
  • As examples of the halogen atom represented by X and Y, a fluorine atom, chlorine atom, bromine atom, and iodine atom can be given. As R of the alkoxy group (—OR) represented by Y, the alkyl group and the aryl group given as examples for R1 to R4 can be given.
  • The polystyrene-reduced number average molecular weight of the polycarbosilane (A) is preferably 400 to 50,000, more preferably 500 to 10,000, and particularly preferably 500 to 3,000. If the polystyrene-reduced number average molecular weight of the component (B) exceeds 50,000, the component (A) and the component (B) may undergo phase separation, whereby a uniform film may not be formed.
  • 1.1.1. Compound 1
  • Examples of the compound shown by the general formula (1) (hereinafter called “compound 1”) include carbon compounds such as tetrachlorocarbon, tetrabromocarbon, tetraiodocarbon, chloroform, bromoform, iodoform, methyltrichlorocarbon, ethyltrichlorocarbon, n-propyltrichlorocarbon, isopropyltrichlorocarbon, n-butyltrichlorocarbon, t-butyltrichlorocarbon, cyclohexyltrichlorocarbon, phenethyltrichlorocarbon, 2-norbornyltrichlorocarbon, vinyltrichlorocarbon, phenyltrichlorocarbon, methyltribromocarbon, ethyltribromocarbon, n-propyltribromocarbon, isopropyltribromocarbon, n-butyltribromocarbon, t-butyltribromocarbon, cyclohexyltribromocarbon, phenethyltribromocarbon, 2-norbornyltribromocarbon, vinyltribromocarbon, phenyltribromocarbon, methyltriiodocarbon, ethyltriiodocarbon, n-propyltriiodocarbon, isopropyltriiodocarbon, n-butyltriiodocarbon, t-butyltriiodocarbon, cyclohexyltriiodocarbon, phenethyltriiodocarbon, 2-norbornyltriiodocarbon, vinyltriiodocarbon, phenyltriiodocarbon, dimethyldichlorocarbon, diethyldichlorocarbon, di-n-propyldichlorocarbon, diisopropyldichlorocarbon, di-n-butyldichlorocarbon, di-t-butyldichlorocarbon, dicyclohexyldichlorocarbon, diphenethyldichlorocarbon, di-2-norbornyldichlorocarbon, divinyldichlorocarbon, diphenyldichlorocarbon, dimethyldibromocarbon, diethyldibromocarbon, di-n-propyldibromocarbon, diisopropyldibromocarbon, di-n-butyldibromocarbon, di-t-butyldibromocarbon, dicyclohexyldibromocarbon, diphenethyldibromocarbon, di-2-norbornyldibromocarbon, divinyldibromocarbon, diphenyldibromocarbon, dimethyldiiodocarbon, diethyldiiodocarbon, di-n-propyldiiodocarbon, diisopropyldiiodocarbon, di-n-butyldiiodocarbon, di-t-butyldiiodocarbon, dicyclohexyldiiodocarbon, diphenethyldiiodocarbon, di-2-norbornyldiiodocarbon, divinyldiiodocarbon, diphenyldiiodocarbon, trimethylchlorocarbon, triethylchlorocarbon, tri-n-propylchlorocarbon, triisopropylchlorocarbon, tri-n-butylchlorocarbon, tri-t-butylchlorocarbon, tricyclohexylchlorocarbon, triphenethylchlorocarbon, tri-2-norbornylchlorocarbon, trivinylchlorocarbon, triphenylchlorocarbon, trimethylbromocarbon, triethylbromocarbon, tri-n-propylbromocarbon, triisopropylbromocarbon, tri-n-butylbromocarbon, tri-t-butylbromocarbon, tricyclohexylbromocarbon, triphenethylbromocarbon, tri-2-norbornylbromocarbon, trivinylbromocarbon, triphenylbromocarbon, trimethyliodocarbon, triethyliodocarbon, tri-n-propyliodocarbon, triisopropyliodocarbon, tri-n-butyliodocarbon, tri-t-butyliodocarbon, tricyclohexyliodocarbon, triphenethyliodocarbon, tri-2-norbornyliodocarbon, trivinyliodocarbon, and triphenyliodocarbon.
  • Of these compounds 1, tetrachlorocarbon, tetrabromocarbon, chloroform, bromoform, methyltrichlorocarbon, ethyltrichlorocarbon, vinyltrichlorocarbon, phenyltrichlorocarbon, methyltribromocarbon, ethyltribromocarbon, vinyltribromocarbon, phenyltribromocarbon, dichloromethane, dibromoethane, diiodomethane, dimethyldichlorocarbon, diethyldichlorocarbon, divinyldichlorocarbon, dimethyldibromocarbon, diethyldibromocarbon, divinyldibromocarbon, and the like are preferable.
  • The compound 1 may be used either individually or in combination of two or more.
  • 1.1.2. Compound 2
  • Examples of the compound shown by the general formula (2) (hereinafter called “compound 2”) include silicon compounds such as tetrachlorosilane, tetrabromosilane, tetraiodosilane, trichlorosilane, tribromosilane, triiodosilane, methyltrichlorosilane, ethyltrichlorosilane, n-propyltrichlorosilane, isopropyltrichlorosilane, n-butyltrichlorosilane, t-butyltrichlorosilane, cyclohexyltrichlorosilane, phenethyltrichlorosilane, 2-norbornyltrichlorosilane, vinyltrichlorosilane, phenyltrichlorosilane, methyltribromosilane, ethyltribromosilane, n-propyltribromosilane, isopropyltribromosilane, n-butyltribromosilane, t-butyltribromosilane, cyclohexyltribromosilane, phenethyltribromosilane, 2-norbornyltribromosilane, vinyltribromosilane, phenyltribromosilane, methyltriiodosilane, ethyltriiodosilane, n-propyltriiodosilane, isopropyltriiodosilane, n-butyltriiodosilane, t-butyltriiodosilane, cyclohexyltriiodosilane, phenethyltriiodosilane, 2-norbornyltriiodosilane, vinyltriiodosilane, phenyltriiodosilane, dimethyldichlorosilane, diethyldichlorosilane, di-n-propyldichlorosilane, diisopropyldichlorosilane, di-n-butyldichlorosilane, di-t-butyldichlorosilane, dicyclohexyldichlorosilane, diphenethyldichlorosilane, di-2-norbornyldichlorosilane, divinyldichlorosilane, diphenyldichlorosilane, dimethyldibromosilane, diethyldibromosilane, di-n-propyldibromosilane, diisopropyldibromosilane, di-n-butyldibromosilane, di-t-butyldibromosilane, dicyclohexyldibromosilane, diphenethyldibromosilane, di-2-norbornyldibromosilane, divinyldibromosilane, diphenyldibromosilane, dimethyldiiodosilane, diethyldiiodosilane, di-n-propyldiiodosilane, diisopropyldiiodosilane, di-n-butyldiiodosilane, di-t-butyldiiodosilane, dicyclohexyldiiodosilane, diphenethyldiiodosilane, di-2-norbornyldiiodosilane, divinyldiiodosilane, diphenyldiiodosilane, trimethylchlorosilane, triethylchlorosilane, tri-n-propylchlorosilane, triisopropylchlorosilane, tri-n-butylchlorosilane, tri-t-butylchlorosilane, tricyclohexylchlorosilane, triphenethylchlorosilane, tri-2-norbornylchlorosilane, trivinylchlorosilane, triphenylchlorosilane, trimethylbromosilane, triethylbromosilane, tri-n-propylbromosilane, triisopropylbromosilane, tri-n-butylbromosilane, tri-t-butylbromosilane, tricyclohexylbromosilane, triphenethylbromosilane, tri-2-norbornylbromosilane, trivinylbromosilane, triphenylbromosilane, trimethyliodosilane, triethyliodosilane, tri-n-propyliodosilane, triisopropyliodosilane, tri-n-butyliodosilane, tri-t-butyliodosilane, tricyclohexyliodosilane, triphenethyliodosilane, tri-2-norbornyliodosilane, trivinyliodosilane, triphenyliodosilane, tetramethoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-iso-propoxysilane, tetra-n-butoxysilane, tetra-sec-butoxysilane, tetra-t-butoxysilane, tetraphenoxysilane, trimethoxysilane, triethoxysilane, tri-n-propoxysilane, tri-iso-propoxysilane, tri-n-butoxysilane, tri-sec-butoxysilane, tri-tert-butoxysilane, triphenoxysilane, fluorotrimethoxysilane, fluorotriethoxysilane, fluorotri-n-propoxysilane, fluorotri-iso-propoxysilane, fluorotri-n-butoxysilane, fluorotri-sec-butoxysilane, fluorotri-t-butoxysilane, fluorotriphenoxysilane, methyltrimethoxysilane, methyltriethoxysilane, methyltri-n-propoxysilane, methyltri-iso-propoxysilane, methyltri-n-butoxysilane, methyltri-sec-butoxysilane, methyltri-tert-butoxysilane, methyltriphenoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, ethyltri-n-propoxysilane, ethyltri-iso-propoxysilane, ethyltri-n-butoxysilane, ethyltri-sec-butoxysilane, ethyltri-tert-butoxysilane, ethyltriphenoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, vinyltri-n-propoxysilane, vinyltri-iso-propoxysilane, vinyltri-n-butoxysilane, vinyltri-sec-butoxysilane, vinyltri-tert-butoxysilane, vinyltriphenoxysilane, n-propyltrimethoxysilane, n-propyltriethoxysilane, n-propyltri-n-propoxysilane, n-propyltri-iso-propoxysilane, n-propyltri-n-butoxysilane, n-propyltri-sec-butoxysilane, n-propyltri-tert-butoxysilane, n-propyltriphenoxysilane, i-propyltrimethoxysilane, i-propyltriethoxysilane, i-propyltri-n-propoxysilane, i-propyltri-iso-propoxysilane, i-propyltri-n-butoxysilane, i-propyltri-sec-butoxysilane, i-propyltri-tert-butoxysilane, i-propyltriphenoxysilane, n-butyltrimethoxysilane, n-butyltriethoxysilane, n-butyltri-n-propoxysilane, n-butyltri-iso-propoxysilane, n-butyltri-n-butoxysilane, n-butyltri-sec-butoxysilane, n-butyltri-tert-butoxysilane, n-butyltriphenoxysilane, sec-butyltrimethoxysilane, sec-butyltriethoxysilane, sec-butyltri-n-propoxysilane, sec-butyltri-iso-propoxysilane, sec-butyltri-n-butoxysilane, sec-butyltri-sec-butoxysilane, sec-butyltri-tert-butoxysilane, sec-butyl-triphenoxysilane, t-butyltrimethoxysilane, t-butyltriethoxysilane, t-butyltri-n-propoxysilane, t-butyltri-iso-propoxysilane, t-butyltri-n-butoxysilane, t-butyltri-sec-butoxysilane, t-butyltri-tert-butoxysilane, t-butyltriphenoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, phenyltri-n-propoxysilane, phenyltri-iso-propoxysilane, phenyltri-n-butoxysilane, phenyltri-sec-butoxysilane, phenyltri-tert-butoxysilane, phenyltriphenoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, gamma-aminopropyltrimethoxysilane, gamma-aminopropyltriethoxysilane, gamma-glycidoxypropyltrimethoxysilane, gamma-glycidoxypropyltriethoxysilane, gamma-trifluoropropyltrimethoxysilane, gamma-trifluoropropyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, dimethyl-di-n-propoxysilane, dimethyl-di-iso-propoxysilane, dimethyl-di-n-butoxysilane, dimethyl-di-sec-butoxysilane, dimethyl-di-tert-butoxysilane, dimethyldiphenoxysilane, diethyldimethoxysilane, diethyldiethoxysilane, diethyl-di-n-propoxysilane, diethyl-di-iso-propoxysilane, diethyl-di-n-butoxysilane, diethyl-di-sec-butoxysilane, diethyl-di-tert-butoxysilane, diethyl-di-phenoxysilane, di-n-propyldimethoxysilane, di-n-propyldiethoxysilane, di-n-propyl-di-n-propoxysilane, di-n-propyl-di-iso-propoxysilane, di-n-propyl-di-n-butoxysilane, di-n-propyl-di-sec-butoxysilane, di-n-propyl-di-tert-butoxysilane, di-n-propyl-di-phenoxysilane, di-iso-propyldimethoxysilane, di-iso-propyldiethoxysilane, di-iso-propyl-di-n-propoxysilane, di-iso-propyl-di-iso-propoxysilane, di-iso-propyl-di-n-butoxysilane, di-iso-propyl-di-sec-butoxysilane, di-iso-propyl-di-tert-butoxysilane, di-iso-propyl-di-phenoxysilane, di-n-butyldimethoxysilane, di-n-butyldiethoxysilane, di-n-butyl-di-n-propoxysilane, di-n-butyl-di-iso-propoxysilane, di-n-butyl-di-n-butoxysilane, di-n-butyl-di-sec-butoxysilane, di-n-butyl-di-tert-butoxysilane, di-n-butyl-di-phenoxysilane, di-sec-butyldimethoxysilane, di-sec-butyldiethoxysilane, di-sec-butyl-di-n-propoxysilane, di-sec-butyl-di-iso-propoxysilane, di-sec-butyl-di-n-butoxysilane, di-sec-butyl-di-sec-butoxysilane, di-sec-butyl-di-tert-butoxysilane, di-sec-butyl-di-phenoxysilane, di-tert-butyldimethoxysilane, di-tert-butyldiethoxysilane, di-tert-butyl-di-n-propoxysilane, di-tert-butyl-di-iso-propoxysilane, di-tert-butyl-di-n-butoxysilane, di-t-butyl-di-sec-butoxysilane, di-tert-butyl-di-tert-butoxysilane, di-tert-butyl-di-phenoxysilane, diphenyldimethoxysilane, diphenyl-di-ethoxysilane, diphenyl-di-n-propoxysilane, diphenyl-di-iso-propoxysilane, diphenyl-di-n-butoxysilane, diphenyl-di-sec-butoxysilane, diphenyl-di-tert-butoxysilane, diphenyldiphenoxysilane, and divinyltrimethoxysilane.
  • Of these compounds 2, tetrachlorosilane, tetrabromosilane, trichlorosilane, tribromosilane, methyltrichlorosilane, ethyltrichlorosilane, vinyltrichlorosilane, phenyltrichlorosilane, methyltribromosilane, ethyltribromosilane, vinyltribromosilane, phenyltribromosilane, dichlorosilane, dibromosilane, diiodosilane, dimethyldichlorosilane, diethyldichlorosilane, divinyldichlorosilane, dimethyldibromosilane, diethyldibromosilane, divinyldibromosilane, diphenyldichlorosilane, diphenyldibromosilane, tetramethoxysilane, tetraethoxysilane, trimethoxysilane, triethoxysilane, methyltrimethoxysilane, methyltriethoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, vinyltrimethoxysilane, viyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, and the like are preferable.
  • The compound 2 may be used either individually or in combination of two or more.
  • 1.1.3. Compound 3
  • Examples of the compound shown by the general formula (3) (hereinafter called “compound 3”) include silicon compounds such as chloromethyltrichlorosilane, bromomethyltrichlorosilane, iodomethyltrichlorosilane, chloromethylmethyldichlorosilane, chloromethylethyldichlorosilane, chloromethyl-n-propyldichlorosilane, chloromethylisopropyldichlorosilane, chloromethyl-n-butyldichlorosilane, chloromethyl-t-butyldichlorosilane, chloromethylcyclohexyldichlorosilane, chloromethylphenethyldichlorosilane, chloromethylvinyldichlorosilane, chloromethylphenyldichlorosilane, bromomethylmethyldichlorosilane, bromomethylethyldichlorosilane, bromomethyl-n-propyldichlorosilane, bromomethylisopropyldichlorosilane, bromomethyl-n-butyldichlorosilane, bromomethyl-t-butyldichlorosilane, bromomethylcyclohexyldichlorosilane, bromomethylphenethyldichlorosilane, bromomethylvinyldichlorosilane, bromomethylphenyldichlorosilane, iodomethylmethyldichlorosilane, iodomethylethyldichlorosilane, iodomethyl-n-propyldichlorosilane, iodomethylisopropyldichlorosilane, iodomethyl-n-butyldichlorosilane, iodomethyl-t-butyldichlorosilane, iodomethylcyclohexyldichlorosilane, iodomethylphenethyldichlorosilane, iodomethylvinyldichlorosilane, iodomethylphenyldichlorosilane, chloromethyldimethylchlorosilane, chloromethyldiethylchlorosilane, chloromethyldi-n-propylchlorosilane, chloromethyldiisopropylchlorosilane, chloromethyl-n-dibutylchlorosilane, chloromethyldi-t-butylchlorosilane, chloromethyldicyclohexylchlorosilane, chloromethyldiphenethylchlorosilane, chloromethyldivinylchlorosilane, chloromethyldiphenylchlorosilane, bromomethyldimethylchlorosilane, bromomethyldiethylchlorosilane, bromomethyldi-n-propylchlorosilane, bromomethyldiisopropylchlorosilane, bromomethyldi-n-butylchlorosilane, bromomethyldi-t-butylchlorosilane, bromomethyldicyclohexylchlorosilane, bromomethyldiphenethylchlorosilane, bromomethyldivinylchlorosilane, bromomethyldiphenylchlorosilane, iodomethyldimethylchlorosilane, iodomethyldiethylchlorosilane, iodomethyldi-n-propylchlorosilane, iodomethyldiisopropylchlorosilane, iodomethyldi-n-butylchlorosilane, iodomethyldi-t-butylchlorosilane, iodomethyldicyclohexylchlorosilane, iodomethyldiphenethylchlorosilane, iodomethyldivinylchlorosilane, iodomethyldiphenylchlorosilane, (1-chloroethyl)trichlorosilane, (1-chloropropyl)trichlorosilane, (2-chloro-2-propyl)trichlorosilane, (1-chlorobutyl)trichlorosilane, (2-chloro-2-butyl)trichlorosilane, (3-chloro-3-pentyl)trichlorosilane, (1-chloro-2-propenyl)trichlorosilane, (alpha-chlorobenzyl)trichlorosilane, dichloromethyltrichlorosilane, trichloromethyltrichlorosilane, (1-chloroethyl)methyldichlorosilane, (1-chloropropyl)methyldichlorosilane, (2-chloro-2-propyl)methyldichlorosilane, (1-chlorobutyl)methyldichlorosilane, (2-chloro-2-butyl)methyldichlorosilane, (3-chloro-3-pentyl)methyldichlorosilane, (1-chloro-2-propenyl)methyldichlorosilane, (alpha-chlorobenzyl)methyldichlorosilane, dichloromethylmethyldichlorosilane, trichloromethylmethyldichlorosilane, (1-chloroethyl)dimethylchlorosilane, (1-chloropropyl)dimethylchlorosilane, (2-chloro-2-propyl)dimethylchlorosilane, (1-chlorobutyl)dimethylchlorosilane, (2-chloro-2-butyl)dimethylchlorosilane, (3-chloro-3-pentyl)dimethylchlorosilane, (1-chloro-2-propenyl)dimethylchlorosilane, (alpha -chlorobenzyl)dimethylchlorosilane, dichloromethyldimethylchlorosilane, trichloromethyldimethylchlorosilane, chloromethyltrimethoxysilane, bromomethyltrimethoxysilane, iodomethyltrimethoxysilane, chloromethylmethyldimethoxysilane, chloromethylethyldimethoxysilane, chloromethyl-n-propyldimethoxysilane, chloromethylisopropyldimethoxysilane, chloromethyl-n-butyldimethoxysilane, chloromethyl-t-butyldimethoxysilane, chloromethylcyclohexyldimethoxysilane, chloromethylphenethyldimethoxysilane, chloromethylvinyldimethoxysilane, chloromethylphenyldimethoxysilane, bromomethylmethyldimethoxysilane, bromomethylethyldimethoxysilane, bromomethyl-n-propyldimethoxysilane, bromomethylisopropyldimethoxysilane, bromomethyl-n-butyldimethoxysilane, bromomethyl-t-butyldimethoxysilane, bromomethylcyclohexyldimethoxysilane, bromomethylphenethyldimethoxysilane, bromomethylvinyldimethoxysilane, bromomethylphenyldimethoxysilane, iodomethylmethyldimethoxysilane, iodomethylethyldimethoxysilane, iodomethyl-n-propyldimethoxysilane, iodomethylisopropyldimethoxysilane, iodomethyl-n-butyldimethoxysilane, iodomethyl-t-butyldimethoxysilane, iodomethylcyclohexyldimethoxysilane, iodomethylphenethyldimethoxysilane, iodomethylvinyldimethoxysilane, iodomethylphenyldimethoxysilane, chloromethyldimethylmethoxysilane, chloromethyldiethylmethoxysilane, chloromethyldi-n-propylmethoxysilane, chloromethyldiisopropylmethoxysilane, chloromethyl-n-dibutylmethoxysilane, chloromethyldi-t-butylmethoxysilane, chloromethyldicyclohexylmethoxysilane, chloromethyldiphenethylmethoxysilane, chloromethyldivinylmethoxysilane, chloromethyldiphenylmethoxysilane, bromomethyldimethylmethoxysilane, bromomethyldiethylmethoxysilane, bromomethyldi-n-propylmethoxysilane, bromomethyldiisopropylmethoxysilane, bromomethyldi-n-butylmethoxysilane, bromomethyldi-t-butylmethoxysilane, bromomethyldicyclohexylmethoxysilane, bromomethyldiphenethylmethoxysilane, bromomethyldivinylmethoxysilane, bromomethyldiphenylmethoxysilane, iodomethyldimethylmethoxysilane, iodomethyldiethylmethoxysilane, iodomethyldi-n-propylmethoxysilane, iodomethyldiisopropylmethoxysilane, iodomethyldi-n-butylmethoxysilane, iodomethyldi-t-butylmethoxysilane, iodomethyldicyclohexylmethoxysilane, iodomethyldiphenethylmethoxysilane, iodomethyldivinylmethoxysilane, iodomethyldiphenylmethoxysilane, chloromethyltriethoxysilane, bromomethyltriethoxysilane, iodomethyltriethoxysilane, chloromethylmethyldiethoxysilane, chloromethylethyldiethoxysilane, chloromethyl-n-propyldiethoxysilane, chloromethylisopropyldiethoxysilane, chloromethyl-n-butyldiethoxysilane, chloromethyl-t-butyldiethoxysilane, chloromethylcyclohexyldiethoxysilane, chloromethylphenethyldiethoxysilane, chloromethylvinyldiethoxysilane, chloromethylphenyldiethoxysilane, bromomethylmethyldiethoxysilane, bromomethylethyldiethoxysilane, bromomethyl-n-propyldiethoxysilane, bromomethylisopropyldiethoxysilane, bromomethyl-n-butyldiethoxysilane, bromomethyl-t-butyldiethoxysilane, bromomethylcyclohexyldiethoxysilane, bromomethylphenethyldiethoxysilane, bromomethylvinyldiethoxysilane, bromomethylphenyldiethoxysilane, iodomethylmethyldiethoxysilane, iodomethylethyldiethoxysilane, iodomethyl-n-propyldiethoxysilane, iodomethylisopropyldiethoxysilane, iodomethyl-n-butyldiethoxysilane, iodomethyl-t-butyldiethoxysilane, iodomethylcyclohexyldiethoxysilane, iodomethylphenethyldiethoxysilane, iodomethylvinyldiethoxysilane, iodomethylphenyldiethoxysilane, chloromethyldimethylethoxysilane, chloromethyldiethylethoxysilane, chloromethyldi-n-propylethoxysilane, chloromethyldiisopropylethoxysilane, chloromethyl-n-dibutylethoxysilane, chloromethyldi-t-butylethoxysilane, chloromethyldicyclohexylethoxysilane, chloromethyldiphenethylethoxysilane, chloromethyldivinylethoxysilane, chloromethyldiphenylethoxysilane, bromomethyldimethylethoxysilane, bromomethyldiethylethoxysilane, bromomethyldi-n-propylethoxysilane, bromomethyldiisopropylethoxysilane, bromomethyldi-n-butylethoxysilane, bromomethyldi-t-butylethoxysilane, bromomethyldicyclohexylethoxysilane, bromomethyldiphenethylethoxysilane, bromomethyldivinylethoxysilane, bromomethyldiphenylethoxysilane, iodomethyldimethylethoxysilane, iodomethyldiethylethoxysilane, iodomethyldi-n-propylethoxysilane, iodomethyldiisopropylethoxysilane, iodomethyldi-n-butylethoxysilane, iodomethyldi-t-butylethoxysilane, iodomethyldicyclohexylethoxysilane, iodomethyldiphenethylethoxysilane, iodomethyldivinylethoxysilane, iodomethyldiphenylethoxysilane, chloromethyltri-n-propoxysilane, bromomethyltri-n-propoxysilane, iodomethyltri-n-propoxysilane, chloromethylmethyldi-n-propoxysilane, chloromethylethyldi-n-propoxysilane, chloromethyl-n-propyldi-n-propoxysilane, chloromethylisopropyldi-n-propoxysilane, chloromethyl-n-butyldi-n-propoxysilane, chloromethyl-t-butyldi-n-propoxysilane, chloromethylcyclohexyldi-n-propoxysilane, chloromethylphenethyldi-n-propoxysilane, chloromethylvinyldi-n-propoxysilane, chloromethylphenyldi-n-propoxysilane, bromomethylmethyldi-n-propoxysilane, bromomethylethyldi-n-propoxysilane, bromomethyl-n-propyldi-n-propoxysilane, bromomethylisopropyldi-n-propoxysilane, bromomethyl-n-butyldi-n-propoxysilane, bromomethyl-t-butyldi-n-propoxysilane, bromomethylcyclohexyldi-n-propoxysilane, bromomethylphenethyldi-n-propoxysilane, bromomethylvinyldi-n-propoxysilane, bromomethylphenyldi-n-propoxysilane, iodomethylmethyldi-n-propoxysilane, iodomethylethyldi-n-propoxysilane, iodomethyl-n-propyldi-n-propoxysilane, iodomethylisopropyldi-n-propoxysilane, iodomethyl-n-butyldi-n-propoxysilane, iodomethyl-t-butyldi-n-propoxysilane, iodomethylcyclohexyldi-n-propoxysilane, iodomethylphenethyldi-n-propoxysilane, iodomethylvinyldi-n-propoxysilane, iodomethylphenyldi-n-propoxysilane, chloromethyldimethyl-n-propoxysilane, chloromethyldiethyl-n-propoxysilane, chloromethyldi-n-propyl-n-propoxysilane, chloromethyldiisopropyl-n-propoxysilane, chloromethyl-n-dibutyl-n-propoxysilane, chloromethyldi-t-butyl-n-propoxysilane, chloromethyldicyclohexyl-n-propoxysilane, chloromethyldiphenethyl-n-propoxysilane, chloromethyldivinyl-n-propoxysilane, chloromethyldiphenyl-n-propoxysilane, bromomethyldimethyl-n-propoxysilane, bromomethyldiethyl-n-propoxysilane, bromomethyldi-n-propyl-n-propoxysilane, bromomethyldiisopropyl-n-propoxysilane, bromomethyldi-n-butyl-n-propoxysilane, bromomethyldi-t-butyl-n-propoxysilane, bromomethyldicyclohexyl-n-propoxysilane, bromomethyldiphenethyl-n-propoxysilane, bromomethyldivinyl-n-propoxysilane, bromomethyldiphenyl-n-propoxysilane, iodomethyldimethyl-n-propoxysilane, iodomethyldiethyl-n-propoxysilane, iodomethyldi-n-propyl-n-propoxysilane, iodomethyldiisopropyl-n-propoxysilane, iodomethyldi-n-butyl-n-propoxysilane, iodomethyldi-t-butyl-n-propoxysilane, iodomethyldicyclohexyl-n-propoxysilane, iodomethyldiphenethyl-n-propoxysilane, iodomethyldivinyl-n-propoxysilane, iodomethyldiphenyl-n-propoxysilane, chloromethyltriisopropoxysilane, bromomethyltriisopropoxysilane, iodomethyltriisopropoxysilane, chloromethylmethyldiisopropoxysilane, chloromethylethyldiisopropoxysilane, chloromethyl-n-propyldiisopropoxysilane, chloromethylisopropyldiisopropoxysilane, chloromethyl-n-butyldiisopropoxysilane, chloromethyl-t-butyldiisopropoxysilane, chloromethylcyclohexyldiisopropoxysilane, chloromethylphenethyldiisopropoxysilane, chloromethylvinyldiisopropoxysilane, chloromethylphenyldiisopropoxysilane, bromomethylmethyldiisopropoxysilane, bromomethylethyldiisopropoxysilane, bromomethyl-n-propyldiisopropoxysilane, bromomethylisopropyldiisopropoxysilane, bromomethyl-n-butyldiisopropoxysilane, bromomethyl-t-butyldiisopropoxysilane, bromomethylcyclohexyldiisopropoxysilane, bromomethylphenethyldiisopropoxysilane, bromomethylvinyldiisopropoxysilane, bromomethylphenyldiisopropoxysilane, iodomethylmethyldiisopropoxysilane, iodomethylethyldiisopropoxysilane, iodomethyl-n-propyldiisopropoxysilane, iodomethylisopropyldiisopropoxysilane, iodomethyl-n-butyldiisopropoxysilane, iodomethyl-t-butyldiisopropoxysilane, iodomethylcyclohexyldiisopropoxysilane, iodomethylphenethyldiisopropoxysilane, iodomethylvinyldiisopropoxysilane, iodomethylphenyldiisopropoxysilane, chloromethyldimethylisopropoxysilane, chloromethyldiethylisopropoxysilane, chloromethyldi-n-propylisopropoxysilane, chloromethyldiisopropylisopropoxysilane, chloromethyl-n-dibutylisopropoxysilane, chloromethyldi-t-butylisopropoxysilane, chloromethyldicyclohexylisopropoxysilane, chloromethyldiphenethylisopropoxysilane, chloromethyldivinylisopropoxysilane, chloromethyldiphenylisopropoxysilane, bromomethyldimethylisopropoxysilane, bromomethyldiethylisopropoxysilane, bromomethyldi-n-propylisopropoxysilane, bromomethyldiisopropylisopropoxysilane, bromomethyldi-n-butylisopropoxysilane, bromomethyldi-t-butylisopropoxysilane, bromomethyldicyclohexylisopropoxysilane, bromomethyldiphenethylisopropoxysilane, bromomethyldivinylisopropoxysilane, bromomethyldiphenylisopropoxysilane, iodomethyldimethylisopropoxysilane, iodomethyldiethylisopropoxysilane, iodomethyldi-n-propylisopropoxysilane, iodomethyldiisopropylisopropoxysilane, iodomethyldi-n-butylisopropoxysilane, iodomethyldi-t-butylisopropoxysilane, iodomethyldicyclohexylisopropoxysilane, iodomethyldiphenethylisopropoxysilane, iodomethyldivinylisopropoxysilane, and iodomethyldiphenylisopropoxysilane.
  • Of these compounds 3, chloromethyltrichlorosilane, bromomethyltrichlorosilane, chloromethylmethyldichlorosilane, chloromethylethyldichlorosilane, chloromethylvinyldichlorosilane, chloromethylphenyldichlorosilane, bromomethylmethyldichlorosilane, bromomethylvinyldichlorosilane, chloromethyldimethylchlorosilane, chloromethyldivinylchlorosilane, bromomethyldimethylchlorosilane, (1-chloroethyl)trichlorosilane, (1-chloropropyl)trichlorosilane, chloromethyltrimethoxysilane, bromomethyltrimethoxysilane, chloromethylmethyldimethoxysilane, chloromethylvinyldimethoxysilane, chloromethylphenyldimethoxysilane, bromomethylmethyldimethoxysilane, bromomethylvinyldimethoxysilane, bromomethylphenyldimethoxysilane, chloromethyldimethylmethoxysilane, chloromethyldivinylmethoxysilane, chloromethyldiphenylmethoxysilane, bromomethyldimethylmethoxysilane, bromomethyldiisopropylmethoxysilane, chloromethyltriethoxysilane, bromomethyltriethoxysilane, chloromethylmethyldiethoxysilane, chloromethylethyldiethoxysilane, chloromethylvinyldiethoxysilane, chloromethylphenyldiethoxysilane, bromomethylmethyldiethoxysilane, bromomethylvinyldiethoxysilane, bromomethylphenyldiethoxysilane, chloromethyldimethylethoxysilane, chloromethyldiethylethoxysilane, bromomethyldivinylethoxysilane, chloromethyltriisopropoxysilane, bromomethyltriisopropoxysilane, and the like are preferable.
  • The compound 3 may be used either individually or in combination of two or more.
  • 1.2. Production of Polycarbosilane (A)
  • The polycarbosilane (A) is the following polymer (I), as described above.
  • (I) The polymer obtained by reacting (a) the compound 1 with (b) at least one compound selected from the compound 2 and the compound 3 in an organic solvent in the presence of at least one of an alkali metal and an alkaline earth metal (polymer (I)).
  • In the polymer (I), the molar ratio of the compound 1 to the compound 2 and/or the compound 3 (compound 1/(compound 2 and/or compound 3)) is preferably 0.01 to 100, still more preferably 0.1 to 10, and particularly preferably 0.5 to 5. If the molar ratio is within this range, the degree of polymerization of the resulting polymer can be increased.
  • As the alkali metal which may be used in this embodiment, lithium, potassium, and sodium can be given. As the alkaline earth metal which may be used in this embodiment, magnesium can be given. In this embodiment, it is preferable to use magnesium.
  • The alkali metal and the alkaline earth metal are used to reductively eliminate the halogen atom or the alkoxy group from the compound 1 and the compound 2 and/or the compound 3 to form a carbon-silicon bond. The alkali metal and the alkaline earth metal are preferably used in an amount of 1.0 to 1.5 molar equivalents for the total amount of carbon-halogen bond and carbon-alkoxy group bond of the compound 1 and the compound 2 and/or the compound 3.
  • In the method for producing the polymer (I), the reaction may be promoted by applying ultrasonic waves to the reaction liquid from outside, as required. The frequency of the ultrasonic waves used to promote the reaction is preferably about 10 to 70 kHz.
  • As the organic solvent used in the production of the polymer (I), an ether solvent may be preferably used. When using a hydrocarbon solvent generally used for a Kipping reaction, the yield of the objective soluble silicon oligomer tends to be decreased.
  • Examples of the ether solvent include diethyl ether, di-n-propyl ether, diisopropyl ether, dibutyl ether, ethyl propyl ether, anisole, phenetole, diphenyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, diethylene glycol dibutyl ether, diethylene glycol methyl ethyl ether, dipropylene glycol dimethyl ether, dipropylene glycol diethyl ether, dipropylene glycol dibutyl ether, dipropylene glycol methyl ethyl ether, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, ethylene glycol dibutyl ether, ethylene glycol methyl ethyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, propylene glycol dibutyl ether, propylene glycol methyl ethyl ether, tetrahydrofuran, dioxane, and the like. Of these ether solvents, diethyl ether, tetrahydrofuran, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, and the like are preferable in view of the solubility of the resulting polymer.
  • It is preferable to remove water from the ether solvent in advance. For example, water is preferably removed from the ether solvent by degassing/distillation in the presence of sodium-benzophenone ketyl. The amount of the solvent to be used is not particularly limited. The solvent is used in an amount of preferably 1 to 30 parts by weight, and still more preferably 2 to 20 parts by weight for the total amount of the compound 1 and the compound 2 and/or the compound 3.
  • The reaction temperature of the polymer (I) is preferably 0 to 150° C., and still more preferably 30 to 100° C. If the reaction temperature is lower than 0° C., the productivity may be decreased due to low reaction rate. If the reaction temperature is higher than 150° C., the reaction becomes complicated, whereby the solubility of the resulting polymer tends to be decreased. The reaction is preferably carried out in an inert gas such as argon or nitrogen.
  • In this embodiment, (i) the polymer (I) obtained by the above method may be reacted with an alcohol or an organic acid in an organic solvent when Y in the polymer (I) includes a halogen atom, or (ii) the polymer (I) obtained by the above method may be reacted with a reducing agent in an organic solvent. According to the method (i), a halogen atom may be replaced with a stable alkoxy group or ester group by reacting the polymer (I) including an unreacted hydrolyzable halogen atom at the molecular terminal or in the side chain with an alcohol or an organic acid.
  • Examples of the alcohol include monohydric alcohols such as methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, t-butanol, n-pentanol, i-pentanol, 2-methylbutanol, sec-pentanol, t-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethylhexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol, n-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol, sec-heptadecyl alcohol, phenol, cyclohexanol, methylcyclohexanol, 3,3,5-trimethylcyclohexanol, benzyl alcohol, and diacetone alcohol; polyhydric alcohols such as ethylene glycol, 1,2-polyethylene glycol, 1,3-butylene glycol, 2,4-pentanediol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, 2,4-heptanediol, 2-ethyl-1,3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, and tripropylene glycol; polyhydric alcohol partial ethers such as ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monohexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethylbutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monobutyl ether, diethylene glycol monohexyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether; and the like.
  • Examples of the organic acid include acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacic acid, gallic acid, butyric acid, mellitic acid, arachidonic acid, shikimic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linolic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfonic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid, succinic acid, fumaric acid, itaconic acid, mesaconic acid, citraconic acid, malic acid, glutaric acid, and the like.
  • The alcohol or the acid may be used either individually or in combination of two or more.
  • The alcohol or the acid is used so that the amount of hydroxyl groups contained in the alcohol or the acid is at least 1.0 equivalent, and preferably 1.0 to 4.0 equivalents for 1.0 equivalent of residual halogen atoms contained in the polymer. The solvent used in the method (i) is not particularly limited insofar as the solvent does not react with the alcohol or the acid used. The solvent is preferably an aromatic solvent such as benzene, toluene, xylene, or mesitylene. These solvents may be used either individually or in combination of two or more. In order to capture a hydrogen halide produced during the above reaction, it is preferable to add an organic amine which makes a pair with the hydrogen halide to generate a salt and does not contain active hydrogen. As specific examples of the organic amine, pyridine, pyrrole, picoline, diazabicyclooctane, diazabicyclononane, diazabicycloundecene, trimethylamine, triethylamine, tripropylamine, tributylamine, and the like can be given. These alkali catalysts may be used either individually or in combination of two or more.
  • In the method (ii), a substituent on a silicon atom can be replaced with a stable hydrogen atom by reducing the polymer (I) including an unreacted hydrolyzable halogen atom at the molecular terminal or the polymer obtained by the method (i) using a reducing agent.
  • As examples of the reducing agent, LiAlH4, NaH, LiBu3BH, (C5H11)2BH, B2H6, NaBH4, Zn(BH4)2, NaBH3CN, Bu2AlH, Li(OBu)3AlH, and the like can be given. Of these, LiAlH4, NaH, B2H6, and NaBH4 are preferable.
  • The reducing agent is used so that the amount of hydrogen atoms contained in the reducing agent is at least 1.0 equivalent, and preferably 1.0 to 4.0 equivalents for 1.0 equivalent of halogen atoms contained in the polymer. The solvent used in the method (ii) is not particularly limited insofar as the solvent does not react with the reducing agent. The solvent is preferably ether solvent. The ether solvent given above may be used. The solvent may be used either individually or in combination of two or more.
  • The reaction temperature is preferably −78 to 60° C. If the reaction temperature is lower than −78° C., the productivity may be decreased due to low reaction rate. If the reaction temperature is higher than 60° C., the solubility of the reaction product may be decreased, whereby the yield of the polymer may be decreased. The reaction is preferably carried out in an inert gas such as argon or nitrogen.
  • 1.3. Production of Polymer
  • The polymer is obtained by hydrolyzing and condensing the hydrolyzable-group-containing polymer (B) in the presence of the polycarbosilane (A), as described above. The term “hydrolyzable group” used herein refers to a group which may be hydrolyzed during the production of the polymer in this embodiment. Specific examples of the hydrolyzable group include a hydrogen atom bonded to a silicon atom, a halogen atom, a hydroxyl group, alkoxy group, acyloxy group, sulfone group, methanesulfone group, and trifluoromethanesulfone group. Note that the hydrolyzable group is not limited thereto.
  • The hydrolyzable-group-containing polymer (B) may be at least one silane compound selected from the group consisting of a compound shown by the following general formula (4),
    R5 aSiX4-a  (4)
    wherein R5 represents a hydrogen atom, a fluorine atom, or a monovalent organic group, X represents a halogen atom or an alkoxy group, and a represents an integer from 0 to 3, and a compound shown by the following general formula (5),
    R6 bY3-bSi—(R8)d—SiZ3-c  (5)
    wherein R6 and R7 individually represent monovalent organic groups, b and c individually represent integers from 0 to 2, R8 represents an oxygen atom, a phenylene group, or a group shown by —(CH2)e— (wherein e represents an integer from 1 to 6), Y and Z individually represent a halogen atom or an alkoxy group, and d represents 0 or 1.
    1.3.1. Compound Shown by General Formula (4)
  • In the general formula (4), R5 represents a hydrogen atom, a fluorine atom, or a monovalent organic group. As examples of the monovalent organic group, an alkyl group, aryl group, allyl group, glycidyl group, vinyl group, and the like can be given. In the general formula (4), R5 preferably represents the monovalent organic group, and particularly preferably an alkyl group or a phenyl group.
  • As examples of the alkyl group, a methyl group, ethyl group, propyl group, butyl group, and the like can be given. The alkyl group preferably has 1 to 5 carbon atoms. These alkyl groups may be either linear or branched, and may be replaced with a hydrogen atom, fluorine atom, amino group, or the like.
  • As examples of the aryl group, a phenyl group, naphthyl group, methylphenyl group, ethylphenyl group, chlorophenyl group, bromophenyl group, fluorophenyl group, and the like can be given.
  • As the hydrocarbon portion of the alkoxy group represented by X, the groups given as examples of the monovalent organic group represented by R5 may be applied.
  • Examples of the compound shown by the general formula (4) (hereinafter called “compound 4”) include silicon compounds such as tetramethoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-iso-propoxysilane, tetra-n-butoxysilane, tetra-sec-butoxysilane, tetra-tert-butoxysilane, tetraphenoxysilane, trimethoxysilane, triethoxysilane, tri-n-propoxysilane, tri-iso-propoxysilane, tri-n-butoxysilane, tri-sec-butoxysilane, tri-tert-butoxysilane, triphenoxysilane, fluorotrimethoxysilane, fluorotriethoxysilane, fluorotri-n-propoxysilane, fluorotri-iso-propoxysilane, fluorotri-n-butoxysilane, fluorotri-sec-butoxysilane, fluorotri-tert-butoxysilane, fluorotriphenoxysilane, methyltrimethoxysilane, methyltriethoxysilane, methyltri-n-propoxysilane, methyltri-iso-propoxysilane, methyltri-n-butoxysilane, methyltri-sec-butoxysilane, methyltri-tert-butoxysilane, methyltriphenoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, ethyltri-n-propoxysilane, ethyltri-iso-propoxysilane, ethyltri-n-butoxysilane, ethyltri-sec-butoxysilane, ethyltri-tert-butoxysilane, ethyltriphenoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, vinyltri-n-propoxysilane, vinyltri-iso-propoxysilane, vinyltri-n-butoxysilane, vinyltri-sec-butoxysilane, vinyltri-tert-butoxysilane, vinyltriphenoxysilane, n-propyltrimethoxysilane, n-propyltriethoxysilane, n-propyltri-n-propoxysilane, n-propyltri-iso-propoxysilane, n-propyltri-n-butoxysilane, n-propyltri-sec-butoxysilane, n-propyltri-tert-butoxysilane, n-propyltriphenoxysilane, i-propyltrimethoxysilane, i-propyltriethoxysilane, i-propyltri-n-propoxysilane, i-propyltri-iso-propoxysilane, i-propyltri-n-butoxysilane, i-propyltri-sec-butoxysilane, i-propyltri-tert-butoxysilane, i-propyltriphenoxysilane, n-butyltrimethoxysilane, n-butyltriethoxysilane, n-butyltri-n-propoxysilane, n-butyltri-iso-propoxysilane, n-butyltri-n-butoxysilane, n-butyltri-sec-butoxysilane, n-butyltri-tert-butoxysilane, n-butyltriphenoxysilane, sec-butyltrimethoxysilane, sec-butyltriethoxysilane, sec-butyltri-n-propoxysilane, sec-butyltri-iso-propoxysilane, sec-butyltri-n-butoxysilane, sec-butyltri-sec-butoxysilane, sec-butyltri-tert-butoxysilane, sec-butyl-triphenoxysilane, t-butyltrimethoxysilane, t-butyltriethoxysilane, t-butyltri-n-propoxysilane, t-butyltri-iso-propoxysilane, t-butyltri-n-butoxysilane, t-butyltri-sec-butoxysilane, t-butyltri-t-butoxysilane, t-butyltriphenoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, phenyltri-n-propoxysilane, phenyltri-iso-propoxysilane, phenyltri-n-butoxysilane, phenyltri-sec-butoxysilane, phenyltri-tert-butoxysilane, phenyltriphenoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, gamma-aminopropyltrimethoxysilane, gamma-aminopropyltriethoxysilane, gamma-glycidoxypropyltrimethoxysilane, gamma-glycidoxypropyltriethoxysilane, gamma-trifluoropropyltrimethoxysilane, gamma-trifluoropropyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, dimethyl-di-n-propoxysilane, dimethyl-di-iso-propoxysilane, dimethyl-di-n-butoxysilane, dimethyl-di-sec-butoxysilane, dimethyl-di-tert-butoxysilane, dimethyldiphenoxysilane, diethyldimethoxysilane, diethyldiethoxysilane, diethyl-di-n-propoxysilane, diethyl-di-iso-propoxysilane, diethyl-di-n-butoxysilane, diethyl-di-sec-butoxysilane, diethyl-di-tert-butoxysilane, diethyl-di-phenoxysilane, di-n-propyldimethoxysilane, di-n-propyldiethoxysilane, di-n-propyl-di-n-propoxysilane, di-n-propyl-di-iso-propoxysilane, di-n-propyl-di-n-butoxysilane, di-n-propyl-di-sec-butoxysilane, di-n-propyl-di-tert-butoxysilane, di-n-propyl-di-phenoxysilane, di-iso-propyldimethoxysilane, di-iso-propyldiethoxysilane, di-iso-propyl-di-n-propoxysilane, di-iso-propyl-di-iso-propoxysilane, di-iso-propyl-di-n-butoxysilane, di-iso-propyl-di-sec-butoxysilane, di-iso-propyl-di-tert-butoxysilane, di-iso-propyl-di-phenoxysilane, di-n-butyldimethoxysilane, di-n-butyldiethoxysilane, di-n-butyl-di-n-propoxysilane, di-n-butyl-di-iso-propoxysilane, di-n-butyl-di-n-butoxysilane, di-n-butyl-di-sec-butoxysilane, di-n-butyl-di-tert-butoxysilane, di-n-butyl-di-phenoxysilane, di-sec-butyldimethoxysilane, di-sec-butyldiethoxysilane, di-sec-butyl-di-n-propoxysilane, di-sec-butyl-di-iso-propoxysilane, di-sec-butyl-di-n-butoxysilane, di-sec-butyl-di-sec-butoxysilane, di-sec-butyl-di-tert-butoxysilane, di-sec-butyl-di-phenoxysilane, di-tert-butyldimethoxysilane, di-tert-butyldiethoxysilane, di-tert-butyl-di-n-propoxysilane, di-tert-butyl-di-iso-propoxysilane, di-tert-butyl-di-n-butoxysilane, di-tert-butyl-di-sec-butoxysilane, di-tert-butyl-di-tert-butoxysilane, di-tert-butyl-di-phenoxysilane, diphenyldimethoxysilane, diphenyl-di-ethoxysilane, diphenyl-di-n-propoxysilane, diphenyl-di-iso-propoxysilane, diphenyl-di-n-butoxysilane, diphenyl-di-sec-butoxysilane, diphenyl-di-tert-butoxysilane, diphenyldiphenoxysilane, divinyltrimethoxysilane, tetrachlorosilane, tetrabromosilane, tetraiodosilane, trichlorosilane, tribromosilane, triiodosilane, methyltrichlorosilane, ethyltrichlorosilane, n-propyltrichlorosilane, isopropyltrichlorosilane, n-butyltrichlorosilane, t-butyltrichlorosilane, cyclohexyltrichlorosilane, phenethyltrichlorosilane, 2-norbornyltrichlorosilane, vinyltrichlorosilane, phenyltrichlorosilane, methyltribromosilane, ethyltribromosilane, n-propyltribromosilane, isopropyltribromosilane, n-butyltribromosilane, t-butyltribromosilane, cyclohexyltribromosilane, phenethyltribromosilane, 2-norbornyltribromosilane, vinyltribromosilane, phenyltribromosilane, methyltriiodosilane, ethyltriiodosilane, n-propyltriiodosilane, isopropyltriiodosilane, n-butyltriiodosilane, t-butyltriiodosilane, cyclohexyltriiodosilane, phenethyltriiodosilane, 2-norbornyltriiodosilane, vinyltriiodosilane, phenyltriiodosilane, dimethyldichlorosilane, diethyldichlorosilane, di-n-propyldichlorosilane, diisopropyldichlorosilane, di-n-butyldichlorosilane, di-t-butyldichlorosilane, dicyclohexyldichlorosilane, diphenethyldichlorosilane, di-2-norbornyldichlorosilane, divinyldichlorosilane, diphenyldichlorosilane, dimethyldibromosilane, diethyldibromosilane, di-n-propyldibromosilane, diisopropyldibromosilane, di-n-butyldibromosilane, di-t-butyldibromosilane, dicyclohexyldibromosilane, diphenethyldibromosilane, di-2-norbornyldibromosilane, divinyldibromosilane, diphenyldibromosilane, dimethyldiiodosilane, diethyldiiodosilane, di-n-propyldiiodosilane, diisopropyldiiodosilane, di-n-butyldiiodosilane, di-t-butyldiiodosilane, dicyclohexyldiiodosilane, diphenethyldiiodosilane, di-2-norbornyldiiodosilane, divinyldiiodosilane, diphenyldiiodosilane, trimethylchlorosilane, triethylchlorosilane, tri-n-propylchlorosilane, triisopropylchlorosilane, tri-n-butylchlorosilane, tri-t-butylchlorosilane, tricyclohexylchlorosilane, triphenethylchlorosilane, tri-2-norbornylchlorosilane, trivinylchlorosilane, triphenylchlorosilane, trimethylbromosilane, triethylbromosilane, tri-n-propylbromosilane, triisopropylbromosilane, tri-n-butylbromosilane, tri-t-butylbromosilane, tricyclohexylbromosilane, triphenethylbromosilane, tri-2-norbornylbromosilane, trivinylbromosilane, triphenylbromosilane, trimethyliodosilane, triethyliodosilane, tri-n-propyliodosilane, triisopropyliodosilane, tri-n-butyliodosilane, tri-t-butyliodosilane, tricyclohexyliodosilane, triphenethyliodosilane, tri-2-norbornyliodosilane, trivinyliodosilane, and triphenyliodosilane. These compounds may be used either individually or in combination of two or more.
  • As the compound 4, methyltrimethoxysilane, methyltriethoxysilane, methyltri-n-propoxysilane, methyltri-iso-propoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, diethyldimethoxysilane, diethyldiethoxysilane, diphenyldimethoxysilane, diphenyldiethoxysilane, and the like are preferable.
  • These compounds may be used either individually or in combination of two or more.
  • 1.3.2. Compound Shown by General Formula (5) (Hereinafter Also Called “Compound 5”)
  • As examples of the monovalent organic groups represented by R6 and R7 in the general formula (5), the organic groups given as examples for the general formula (4) can be given.
  • As examples of the compound 5 in which R8 in the general formula (5) is an oxygen atom, hexachlorodisiloxane, hexabromodisiloxane, hexaiodedisiloxane, hexamethoxydisiloxane, hexaethoxydisiloxane, hexaphenoxydisiloxane,
    • 1,1,1,3,3-pentamethoxy-3-methyldisiloxane,
    • 1,1,1,3,3-pentaethoxy-3-methyldisiloxane,
    • 1,1,1,3,3-pentaphenoxy-3-methyldisiloxane,
    • 1,1,1,3,3-pentamethoxy-3-ethyldisiloxane,
    • 1,1,1,3,3-pentaethoxy-3-ethyldisiloxane,
    • 1,1,1,3,3-pentaphenoxy-3-ethyldisiloxane,
    • 1,1,1,3,3-pentamethoxy-3-phenyldisiloxane,
    • 1,1,1,3,3-pentaethoxy-3-phenyldisiloxane,
    • 1,1,1,3,3-pentaphenoxy-3-phenyldisiloxane,
    • 1,1,3,3-tetramethoxy-1,3-dimethyldisiloxane,
    • 1,1,3,3-tetraethoxy-1,3-dimethyldisiloxane,
    • 1,1,3,3-tetraphenoxy-1,3-dimethyldisiloxane,
    • 1,1,3,3-tetramethoxy-1,3-diethyldisiloxane,
    • 1,1,3,3-tetraethoxy-1,3-diethyldisiloxane, 1,1,3,3-tetraphenoxy-1,3-diethyldisiloxane,
    • 1,1,3,3-tetramethoxy-1,3-diphenyldisiloxane,
    • 1,1,3,3-tetraethoxy-1,3-diphenyldisiloxane,
    • 1,1,3,3-tetraphenoxy-1,3-diphenyldisiloxane,
    • 1,1,3-trimethoxy-1,3,3-trimethyldisiloxane,
    • 1,1,3-triethoxy-1,3,3-trimethyldisiloxane,
    • 1,1,3-triphenoxy-1,3,3-trimethyldisiloxane,
    • 1,1,3-trimethoxy-1,3,3-triethyldisiloxane,
    • 1,1,3-triethoxy-1,3,3-triethyldisiloxane,
    • 1,1,3-triphenoxy-1,3,3-triethyldisiloxane,
    • 1,1,3-trimethoxy-1,3,3-triphenyldisiloxane,
    • 1,1,3-triethoxy-1,3,3-triphenyldisiloxane,
    • 1,1,3-triphenoxy-1,3,3-triphenyldisiloxane,
    • 1,3-dimethoxy-1,1,3,3-tetramethyldisiloxane,
    • 1,3-diethoxy-1,1,3,3-tetramethyldisiloxane,
    • 1,3-diphenoxy-1,1,3,3-tetramethyldisiloxane,
    • 1,3-dimethoxy-1,1,3,3-tetraethyldisiloxane,
    • 1,3-diethoxy-1,1,3,3-tetraethyldisiloxane,
    • 1,3-diphenoxy-1,1,3,3-tetraethyldisiloxane,
    • 1,3-dimethoxy-1,1,3,3-tetraphenyldisiloxane,
    • 1,3-diethoxy-1,1,3,3-tetraphenyldisiloxane,
    • 1,3-diphenoxy-1,1,3,3-tetraphenyldisiloxane, and the like can be given.
  • Of these compounds, hexamethoxydisiloxane, hexaethoxydisiloxane,
    • 1,1,3,3-tetramethoxy-1,3-dimethyldisiloxane,
    • 1,1,3,3-tetraethoxy-1,3-dimethyldisiloxane,
    • 1,1,3,3-tetramethoxy-1,3-diphenyldisiloxane,
    • 1,3-dimethoxy-1,1,3,3-tetramethyldisiloxane,
    • 1,3-diethoxy-1,1,3,3-tetramethyldisiloxane,
    • 1,3-dimethoxy-1,1,3,3-tetraphenyldisiloxane,
    • 1,3-diethoxy-1,1,3,3-tetraphenyldisiloxane, and the like are preferable.
  • As examples of the compound 5 in which d is zero in the general formula (5), hexachlorodisilane, hexabromodisilane, hexaiodedisilane, hexamethoxydisilane, hexaethoxydisilane, hexaphenoxydisilane, 1,1,1,2,2-pentamethoxy-2-methyldisilane,
    • 1,1,1,2,2-pentaethoxy-2-methyldisilane, 1,1,1,2,2-pentaphenoxy-2-methyldisilane,
    • 1,1,1,2,2-pentamethoxy-2-ethyldisilane, 1,1,1,2,2-pentaethoxy-2-ethyldisilane,
    • 1,1,1,2,2-pentaphenoxy-2-ethyldisilane, 1,1,1,2,2-pentamethoxy-2-phenyldisilane,
    • 1,1,1,2,2-pentaethoxy-2-phenyldisilane, 1,1,1,2,2-pentaphenoxy-2-phenyldisilane,
    • 1,1,2,2-tetramethoxy-1,2-dimethyldisilane, 1,1,2,2-tetraethoxy-1,2-dimethyldisilane,
    • 1,1,2,2-tetraphenoxy-1,2-dimethyldisilane, 1,1,2,2-tetramethoxy-1,2-diethyldisilane,
    • 1,1,2,2-tetraethoxy-1,2-diethyldisilane, 1,1,2,2-tetraphenoxy-1,2-diethyldisilane,
    • 1,1,2,2-tetramethoxy-1,2-diphenyldisilane, 1,1,2,2-tetraethoxy-1,2-diphenyldisilane,
    • 1,1,2,2-tetraphenoxy-1,2-diphenyldisilane, 1,1,2-trimethoxy-1,2,2-trimethyldisilane,
    • 1,1,2-triethoxy-1,2,2-trimethyldisilane, 1,1,2-triphenoxy-1,2,2-trimethyldisilane,
    • 1,1,2-trimethoxy-1,2,2-triethyldisilane, 1,1,2-triethoxy-1,2,2-triethyldisilane,
    • 1,1,2-triphenoxy-1,2,2-triethyldisilane, 1,1,2-trimethoxy-1,2,2-triphenyldisilane,
    • 1,1,2-triethoxy-1,2,2-triphenyldisilane, 1,1,2-triphenoxy-1,2,2-triphenyldisilane,
    • 1,2-dimethoxy-1,1,2,2-tetramethyldisilane, 1,2-diethoxy-1,1,2,2-tetramethyldisilane,
    • 1,2-diphenoxy-1,1,2,2-tetramethyldisilane, 1,2-dimethoxy-1,1,2,2-tetraethyldisilane,
    • 1,2-diethoxy-1,1,2,2-tetraethyldisilane, 1,2-diphenoxy-1,1,2,2-tetraethyldisilane,
    • 1,2-dimethoxy-1,1,2,2-tetraphenyldisilane, 1,2-diethoxy-1,1,2,2-tetraphenyldisilane,
    • 1,2-diphenoxy-1,1,2,2-tetraphenyldisilane, and the like can be given.
  • Of these compounds, hexamethoxydisilane, hexaethoxydisilane,
    • 1,1,2,2-tetramethoxy-1,2-dimethyldisilane, 1,1,2,2-tetraethoxy-1,2-dimethyldisilane,
    • 1,1,2,2-tetramethoxy-1,2-diphenyldisilane, 1,2-dimethoxy-1,1,2,2-tetramethyldisilane,
    • 1,2-diethoxy-1,1,2,2-tetramethyldisilane, 1,2-dimethoxy-1,1,2,2-tetraphenyldisilane,
    • 1,2-diethoxy-1,1,2,2-tetraphenyldisilane, and the like are preferable.
  • As examples of the compound 5 in which R8in the general formula (5) is the group shown by —(CH2)e—, bis(trichlorosilyl)methane, bis(tribromosilyl)methane,
    • bis(triiodosilyl)methane, bis(trichlorosilyl)ethane, bis(tribromosilyl)ethane,
    • bis(triiodosilyl)ethane, bis(trimethoxysilyl)methane, bis(triethoxysilyl)methane,
    • bis(tri-n-propoxysilyl)methane, bis(tri-i-propoxysilyl)methane,
    • bis(tri-n-butoxysilyl)methane, bis(tri-sec-butoxysilyl)methane,
    • bis(tri-t-butoxysilyl)methane, 1,2-bis(trimethoxysilyl)ethane,
    • 1,2-bis(triethoxysilyl)ethane, 1,2-bis(tri-n-propoxysilyl)ethane,
    • 1,2-bis(tri-i-propoxysilyl)ethane, 1,2-bis(tri-n-butoxysilyl)ethane,
    • 1,2-bis(tri-sec-butoxysilyl)ethane, 1,1,2,2-bis(tri-t-butoxysilyl)ethane,
    • 1-(dimethoxymethylsilyl)-1-(trimethoxysilyl)methane,
    • 1-(diethoxymethylsilyl)-1-(triethoxysilyl)methane,
    • 1-(di-n-propoxymethylsilyl)-1-(tri-n-propoxysilyl)methane,
    • 1-(di-i-propoxymethylsilyl)-1-(tri-i-propoxysilyl)methane,
    • 1-(di-n-butoxymethylsilyl)-1-(tri-n-butoxysilyl)methane,
    • 1-(di-sec-butoxymethylsilyl)-1-(tri-sec-butoxysilyl)methane,
    • 1-(di-t-butoxymethylsilyl)-1-(tri-t-butoxysilyl)methane,
    • 1-(dimethoxymethylsilyl)-2-(trimethoxysilyl)ethane,
    • 1-(diethoxymethylsilyl)-2-(triethoxysilyl)ethane,
    • 1-(di-n-propoxymethylsilyl)-2-(tri-n-propoxysilyl)ethane,
    • 1-(di-i-propoxymethylsilyl)-2-(tri-i-propoxysilyl)ethane,
    • 1-(di-n-butoxymethylsilyl)-2-(tri-n-butoxysilyl)ethane,
    • 1-(di-sec-butoxymethylsilyl)-2-(tri-sec-butoxysilyl)ethane,
    • 1-(di-t-butoxymethylsilyl)-2-(tri-t-butoxysilyl)ethane,
    • bis(dimethoxymethylsilyl)methane, bis(diethoxymethylsilyl)methane,
    • bis(di-n-propoxymethylsilyl)methane, bis(di-i-propoxymethylsilyl)methane,
    • bis(di-n-butoxymethylsilyl)methane, bis(di-sec-butoxymethylsilyl)methane,
    • bis(di-t-butoxymethylsilyl)methane, 1,2-bis(dimethoxymethylsilyl)ethane,
    • 1,2-bis(diethoxymethylsilyl)ethane, 1,2-bis(di-n-propoxymethylsilyl)ethane,
    • 1,2-bis(di-i-propoxymethylsilyl)ethane, 1,2-bis(di-n-butoxymethylsilyl)ethane,
    • 1,2-bis(di-sec-butoxymethylsilyl)ethane, 1,2-bis(di-t-butoxymethylsilyl)ethane,
    • 1,2-bis(trimethoxysilyl)benzene, 1,2-bis(triethoxysilyl)benzene,
    • 1,2-bis(tri-n-propoxysilyl)benzene, 1,2-bis(tri-i-propoxysilyl)benzene,
    • 1,2-bis(tri-n-butoxysilyl)benzene, 1,2-bis(tri-sec-butoxysilyl)benzene,
    • 1,2-bis(tri-t-butoxysilyl)benzene, 1,3-bis(trimethoxysilyl)benzene,
    • 1,3-bis(triethoxysilyl)benzene, 1,3-bis(tri-n-propoxysilyl)benzene,
    • 1,3-bis(tri-i-propoxysilyl)benzene, 1,3-bis(tri-n-butoxysilyl)benzene,
    • 1,3-bis(tri-sec-butoxysilyl)benzene, 1,3-bis(tri-t-butoxysilyl)benzene,
    • 1,4-bis(trimethoxysilyl)benzene, 1,4-bis(triethoxysilyl)benzene,
    • 1,4-bis(tri-n-propoxysilyl)benzene, 1,4-bis(tri-i-propoxysilyl)benzene,
    • 1,4-bis(tri-n-butoxysilyl)benzene, 1,4-bis(tri-sec-butoxysilyl)benzene,
    • 1,4-bis(tri-t-butoxysilyl)benzene, and the like can be given.
  • Of these compounds, bis(trimethoxysilyl)methane, bis(triethoxysilyl)methane,
    • 1,2-bis(trimethoxysilyl)ethane, 1,2-bis(triethoxysilyl)ethane,
    • 1-(dimethoxymethylsilyl)-1-(trimethoxysilyl)methane,
    • 1-(diethoxymethylsilyl)-1-(triethoxysilyl)methane,
    • 1-(dimethoxymethylsilyl)-2-(trimethoxysilyl)ethane,
    • 1-(diethoxymethylsilyl)-2-(triethoxysilyl)ethane, bis(dimethoxymethylsilyl)methane,
    • bis(diethoxymethylsilyl)methane, 1,2-bis(dimethoxymethylsilyl)ethane,
    • 1,2-bis(diethoxymethylsilyl)ethane, 1,2-bis(trimethoxysilyl)benzene,
    • 1,2-bis(triethoxysilyl)benzene, 1,3-bis(trimethoxysilyl)benzene,
    • 1,3-bis(triethoxysilyl)benzene, 1,4-bis(trimethoxysilyl)benzene,
    • 1,4-bis(triethoxysilyl)benzene, and the like are preferable.
  • The compounds 4 and 5 may be used individually or in combination of two or more.
  • When hydrolyzing and condensing at least one silane compound selected from the group consisting of the compounds 4 and 5 in the presence of the polymer (I), it is preferably to use water in an amount of more than 0.5 mol and 150 mol or less, and particularly preferably more than 0.5 mol and 130 mol or less for 0.5 mol of the compounds 4 and 5.
  • When producing the polymer in this embodiment, a specific catalyst may be used when hydrolyzing and condensing at least one silane compound selected from the group consisting of the compounds 4 and 5 in the presence of the polycarbosilane (A) (polymer (I)). As the catalyst, at least one catalyst selected from the group consisting of an alkali catalyst, metal chelate catalyst, and acid catalyst may be used.
  • As examples of the alkali catalyst, sodium hydroxide, potassium hydroxide, lithium hydroxide, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, monoethanolamine, diethanolamine, dimethyl monoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diazabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, ammonia, methylamine, ethylamine, propylamine, butylamine, pentylamine, hexylamine, pentylamine, octylamine, nonylamine, decylamine, N,N-dimethylamine, N,N-diethylamine, N,N-dipropylamine, N,N-dibutylamine, trimethylamine, triethylamine, tripropylamine, tributylamine, cyclohexylamine, trimetylimidine, 1-amino-3-methylbutane, dimethylglycine, 3-amino-3-methylamine, and the like can be given. Of these, the amine or the amine salt is preferable. The organic amine or the organic amine salt is particularly preferable, with the alkylamine and the tetraalkylammonium hydroxide being most preferable. These alkali catalysts may be used either individually or in combination of two or more.
  • As examples of the metal chelate catalyst, titanium chelate compounds such as
    • triethoxy.mono(acetylacetonato)titanium,
    • tri-n-propoxy.mono(acetylacetonato)titanium,
    • tri-i-propoxy.mono(acetylacetonato)titanium,
    • tri-n-butoxy.mono(acetylacetonato)titanium,
    • tri-sec-butoxy.mono(acetylacetonato)titanium,
    • tri-t-butoxy.mono(acetylacetonato)titanium,
    • diethoxy.bis(acetylacetonato)titanium,
    • di-n-propoxy.bis(acetylacetonato)titanium,
    • di-i-propoxy.bis(acetylacetonato)titanium,
    • di-n-butoxy.bis(acetylacetonato)titanium,
    • di-sec-butoxy.bis(acetylacetonato)titanium,
    • di-t-butoxy.bis(acetylacetonato)titanium,
    • monoethoxy.tris(acetylacetonato)titanium,
    • mono-n-propoxy.tris(acetylacetonato)titanium,
    • mono-i-propoxy.tris(acetylacetonato)titanium,
    • mono-n-butoxy.tris(acetylacetonato)titanium,
    • mono-sec-butoxy.tris(acetylacetonato)titanium,
    • mono-t-butoxy.tris(acetylacetonato)titanium,
    • tetrakis(acetylacetonato)titanium,
    • triethoxy.mono(ethyl acetoacetate)titanium,
    • tri-n-propoxy.mono(ethyl acetoacetate)titanium,
    • tri-i-propoxy.mono(ethyl acetoacetate)titanium,
    • tri-n-butoxy.mono(ethyl acetoacetate)titanium,
    • tri-sec-butoxy.mono(ethyl acetoacetate)titanium,
    • tri-t-butoxy.mono(ethyl acetoacetate)titanium,
    • diethoxy.bis(ethyl acetoacetate)titanium,
    • di-n-propoxy.bis(ethyl acetoacetate)titanium,
    • di-i-propoxy.bis(ethyl acetoacetate)titanium,
    • di-n-butoxy.bis(ethyl acetoacetate)titanium,
    • di-sec-butoxy.bis(ethyl acetoacetate)titanium,
    • di-t-butoxy.bis(ethyl acetoacetate)titanium,
    • monoethoxy.tris(ethyl acetoacetate)titanium,
    • mono-n-propoxy.tris(ethyl acetoacetate)titanium,
    • mono-i-propoxy.tris(ethyl acetoacetate)titanium,
    • mono-n-butoxy.tris(ethyl acetoacetate)titanium,
    • mono-sec-butoxy.tris(ethyl acetoacetate)titanium,
    • mono-t-butoxy.tris(ethyl acetoacetate)titanium,
    • tetrakis(ethyl acetoacetate)titanium,
    • mono(acetylacetonato)tris(ethyl acetoacetate)titanium,
    • bis(acetylacetonato)bis(ethyl acetoacetate)titanium, and
    • tris(acetylacetonato)mono(ethyl acetoacetate)titanium;
    • zirconium chelate compounds such as triethoxy.mono(acetylacetonato)zirconium,
    • tri-n-propoxy.mono(acetylacetonato)zirconium,
    • tri-i-propoxy.mono(acetylacetonato) zirconium,
    • tri-n-butoxy.mono(acetylacetonato)zirconium,
    • tri-sec-butoxy.mono(acetylacetonato)zirconium,
    • tri-t-butoxy.mono(acetylacetonato)zirconium,
    • diethoxy.bis(acetylacetonato)zirconium,
    • di-n-propoxy.bis(acetylacetonato)zirconium,
    • di-i-propoxy.bis(acetylacetonato)zirconium,
    • di-n-butoxy.bis(acetylacetonato)zirconium,
    • di-sec-butoxy.bis(acetylacetonato)zirconium,
    • di-t-butoxy.bis(acetylacetonato)zirconium,
    • monoethoxy.tris(acetylacetonato)zirconium,
    • mono-n-propoxy.tris(acetylacetonato)zirconium,
    • mono-i-propoxy.tris(acetylacetonato)zirconium,
    • mono-n-butoxy.tris(acetylacetonato)zirconium,
    • mono-sec-butoxy.tris(acetylacetonato)zirconium,
    • mono-t-butoxy.tris(acetylacetonato)zirconium,
    • tetrakis(acetylacetonato)zirconium,
    • triethoxy.mono(ethyl acetoacetate)zirconium,
    • tri-n-propoxy.mono(ethyl acetoacetate)zirconium,
    • tri-i-propoxy.mono(ethyl acetoacetate)zirconium,
    • tri-n-butoxy.mono(ethyl acetoacetate)zirconium,
    • tri-sec-butoxy.mono(ethyl acetoacetate)zirconium,
    • tri-t-butoxy.mono(ethyl acetoacetate)zirconium,
    • diethoxy.bis(ethyl acetoacetate)zirconium,
    • di-n-propoxy.bis(ethyl acetoacetate)zirconium,
    • di-i-propoxy.bis(ethyl acetoacetate)zirconium,
    • di-n-butoxy.bis(ethyl acetoacetate)zirconium,
    • di-sec-butoxy.bis(ethyl acetoacetate)zirconium,
    • di-t-butoxy.bis(ethyl acetoacetate)zirconium,
    • monoethoxy.tris(ethyl acetoacetate)zirconium,
    • mono-n-propoxy.tris(ethyl acetoacetate)zirconium,
    • mono-i-propoxy.tris(ethyl acetoacetate)zirconium,
    • mono-n-butoxy.tris(ethyl acetoacetate)zirconium,
    • mono-sec-butoxy.tris(ethyl acetoacetate)zirconium,
    • mono-t-butoxy.tris(ethyl acetoacetate)zirconium,
    • tetrakis(ethyl acetoacetate)zirconium,
    • mono(acetylacetonato)tris(ethyl acetoacetate)zirconium,
    • bis(acetylacetonato)bis(ethyl acetoacetate)zirconium, and
    • tris(acetylacetonato)mono(ethyl acetoacetate)zirconium;
    • aluminum chelate compounds such as tris(acetylacetonato)aluminum,
    • tris(ethyl acetoacetate)aluminum, and the like can be given.
  • Of these, the titanium or aluminum chelate compounds are preferable, with the titanium chelate compounds being particularly preferable. These metal chelate catalysts may be used either individually or in combination of two or more.
  • As examples of the acid catalyst, inorganic acids such as hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid, and boric acid; and organic acids such as acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacic acid, gallic acid, butyric acid, mellitic acid, arachidonic acid, shikimic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linolic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfonic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, oxalic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid, succinic acid, fumaric acid, itaconic acid, mesaconic acid, citraconic acid, malic acid, hydrolysate of glutaric acid, hydrolysate of maleic anhydride, and hydrolysate of phthalic anhydride can be given. Of these, the organic carboxylic acids are preferable. These acid catalysts may be used either individually or in combination of two or more.
  • The above catalyst is used in an amount of usually 0.00001 to 10 mol, and preferably 0.00005 to 5 mol for one mol of the total amount of the groups represented by X, Y, and Z in the compounds 4 and 5. If the amount of the catalyst is in the above range, precipitation or gelation of the polymer occurs to only a small extent during the reaction. In this embodiment, the temperature when hydrolyzing the compounds 4 and 5 is usually 0 to 100° C., and preferably 15 to 80° C.
  • In this embodiment, the term “complete hydrolysis-condensation product” refers to a product in which the hydrolyzable groups in the polycarbosilane (A) and the compounds 4 and 5 are completely hydrolyzed into SiOH groups and are completely condensed to form a siloxane structure.
  • The condensation product is preferably a hydrolysis-condensation product of the polycarbosilane (A) and the compound 4 since the resulting composition exhibits excellent storage stability. In this embodiment, the compounds 4 and 5 are used so that the total amount of the compounds 4 and 5 is 500 to 4,000 parts by weight, and preferably 1,000 to 3,000 parts by weight for 100 parts by weight of the polycarbosilane (A).
  • In this embodiment, the polystyrene-reduced weight average molecular weight of the polymer is preferably 1,500 to 500,000, more preferably 2,000 to 200,000, and still more preferably 2,000 to 100,000. If the polystyrene-reduced weight average molecular weight of the polymer is less than 1,500, the target relative dielectric constant may not be obtained. If the polystyrene-reduced weight average molecular weight of the polymer exceeds 500,000, the resulting coating may exhibit inferior inplane uniformity.
  • 2. Insulating-Film-Forming Composition
  • The insulating-film-forming composition (hereinafter called “film-forming composition”) according to one embodiment of the invention may include the above-described polymer and components such as an organic polymer or a surfactant.
  • As examples of the organic polymer, a (meth)acrylic polymer, a compound having a polyalkylene oxide structure, and the like can be given.
  • As examples of the compound having a polyalkylene oxide structure, compounds having a polymethylene oxide structure, polyethylene oxide structure, polypropylene oxide structure, polytetramethylene oxide structure, polybutylene oxide structure, and the like can be given.
  • As specific examples of the compound having the polyalkylene oxide structure, ether compounds such as a polyoxymethylene alkyl ether, polyoxyethylene alkyl ether, polyoxyethylene alkylphenyl ether, polyoxyethylene sterol ether, polyoxyethylene lanolin derivatives, ethylene oxide derivatives of alkylphenol formalin condensate, polyoxyethylene polyoxypropylene block copolymers, and polyoxyethylene polyoxypropylene alkyl ethers; ether-ester compounds such as polyoxyethylene glyceride, polyoxyethylene sorbitan fatty acid ester, polyoxyethylene sorbitol fatty acid ester, and polyoxyethylene fatty acid alkanolamide sulfate; and ester compounds such as polyethylene glycol fatty acid ester, ethylene glycol fatty acid ester, fatty acid monoglyceride, polyglycerol fatty acid ester, sorbitan fatty acid ester, propylene glycol fatty acid ester, and sucrose fatty acid ester, and the like can be given.
  • As a polyoxyethylene-polyoxypropylene block copolymer, a compound having the following block structure can be given.
    —(X′)j—(Y′)k
    —(X′)j—(Y′)k—(X′)1
    wherein X′ represents a group shown by —CH2CH2O—, Y′ represents a group shown by —CH2CH(CH3)O—, j represents an integer from 1 to 90, k represents an integer from 10 to 99, and 1 represents an integer from 0 to 90.
  • Of these compounds, the ether compounds such as the polyoxyethylene alkyl ether, polyoxyethylene-polyoxypropylene block copolymer, polyoxyethylene polyoxypropylene alkyl ether, polyoxyethylene glyceride, polyoxyethylene sorbitan fatty acid ester, and polyoxyethylene sorbitol fatty acid ester are preferable. These compounds may be used either individually or in combination of two or more.
  • As examples of the surfactant, a nonionic surfactant, anionic surfactant, cationic surfactant, amphoteric surfactant, and the like can be given. The surfactant may be a fluorine-containing surfactant, silicone surfactant, polyalkylene oxide surfactant, poly(meth)acrylate surfactant, or the like. Of these, the fluorine-containing surfactant and the silicone surfactant are preferable.
  • As examples of the fluorine-containing surfactant, compounds having a fluoroalkyl or fluoroalkylene group in at least one of the molecular terminal, main chain, and side chain, such as 1,1,2,2-tetrafluorooctyl (1,1,2,2-tetrafluoropropyl) ether, 1,1,2,2-tetrafluorooctyl hexyl ether, octaethylene glycol di(1,1,2,2-tetrafluorobutyl) ether, hexaethylene glycol (1,1,2,2,3,3-hexafluoropentyl) ether, octapropylene glycol di(1,1,2,2-tetrafluorobutyl) ether, hexapropylene glycol di(1,1,2,2,3,3-hexafluoropentyl) ether, sodium perfluorododecylsulfonate, 1,1,2,2,8,8,9,9,10,10-decafluorododecane, 1,1,2,2,3,3-hexafluorodecane, N-[3-(perfluorooctanesulfonamide)-propyl]-N,N′-dimethyl-N-carboxymethylene ammonium betaine, perfluoroalkylsulfonamide propyltrimethyl ammonium salt, perfluoroalkyl-N-ethylsulfonyl glycine salt, bis(N-perfluorooctylsulfonyl-N-ethylaminoethyl) phosphate, and monoperfluoroalkylethyl phosphate can be given.
  • As examples of commercially available products of the fluorine-containing surfactant, Megafac F142D, F172, F173, F183 (manufactured by Dainippon Ink and Chemicals, Inc.), Eftop EF301, EF303, EF352 (manufactured by Sin-Akita Kasei Co., Ltd.). Fluorad FC-430, FC-431 (manufactured by Sumitomo 3M Ltd.), Asahi Guard AG710, Surflon S-382, SC-101, SC-102, SC-103, SC-104, SC-105, SC-106 (manufactured by Asahi Glass Co., Ltd.), BM-1000, BM-1100 (manufactured by BM Chemie), NBX-15 (manufactured by NEOS Co., Ltd.), and the like can be given. Of these, Megafac F172, BM-1000, BM-1100, and NBX-15 are particularly preferable.
  • As the silicone surfactant, SH7PA, SH21PA, SH30PA, ST94PA (manufactured by Dow Corning Toray Silicone Co., Ltd.), and the like may be used. Of these, SH28PA and SH30PA are preferable.
  • The surfactant is usually used in an amount of 0.0001 to 10 parts by weight for 100 parts by weight of the polymer (complete hydrolysis-condensation product). The surfactant may be used either individually or in combination of two or more.
  • In the film-forming composition, the above-described polymer (hydrolysis-condensation product) may be dissolved or dispersed in an organic solvent together with an optional additive.
  • As examples of the organic solvent, at least one solvent selected from the group consisting of an alcohol solvent, ketone solvent, amide solvent, ester solvent, and nonprotic solvent can be given.
  • Examples of the alcohol solvent include monohydric alcohols such as methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, t-butanol, n-pentanol, i-pentanol, 2-methylbutanol, sec-pentanol, t-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethylhexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol, n-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol, sec-heptadecyl alcohol, phenol, cyclohexanol, methylcyclohexanol, 3,3,5-trimethylcyclohexanol, benzyl alcohol, and diacetone alcohol; polyhydric alcohols such as ethylene glycol, 1,2-polyethylene glycol, 1,3-butylene glycol, 2,4-pentanediol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, 2,4-heptanediol, 2-ethyl-1,3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, and tripropylene glycol; polyhydric alcohol partial ether solvents such as ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monohexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethylbutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monobutyl ether, diethylene glycol monohexyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, and dipropylene glycol monopropyl ether.
  • These alcohol solvents may be used either individually or in combination of two or more.
  • Examples of the ketone solvent include acetone, methyl ethyl ketone, methyl n-propyl ketone, methyl n-butyl ketone, diethyl ketone, methyl i-butyl ketone, methyl n-pentyl ketone, ethyl n-butyl ketone, methyl n-hexyl ketone, di-i-butyl ketone, trimethylnonane, cyclohexanone, 2-hexanone, methylcyclohexanone, 2,4-pentanedione, acetonylacetone, acetophenone, and fenchone; beta-diketones such as acetylacetone, 2,4-hexanedione, 2,4-heptanedione, 3,5-heptanedione, 2,4-octanedione, 3,5-octanedione, 2,4-nonanedione, 3,5-nonanedione, 5-methyl-2,4-hexanedione, 2,2,6,6-tetramethyl-3,5-heptanedione, and 1,1,1,5,5,5-hexafluoro-2,4-heptanedione; and the like. These ketone solvents may be used either individually or in combination of two or more.
  • Examples of the amide solvent include formamide, N-methylformamide, N,N-dimethylformamide, N-ethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamnide, N-ethylacetamide, N,N-diethylacetamide, N-methylpropioneamide, N-methylpyrrolidone, N-formylmorpholine, N-formylpiperidine, N-formylpyrrolidine, N-acetylmorpholine, N-acetylpiperidine, N-acetylpyrrolidine, and the like. These amide solvents may be used either individually or in combination of two or more.
  • Examples of the ester solvent include diethyl carbonate, ethylene carbonate, propylene carbonate, diethyl carbonate, methyl acetate, ethyl acetate, gamma-butyrolactone, gamma-valerolactone, n-propyl acetate, i-propyl acetate, n-butyl acetate, i-butyl acetate, sec-butyl acetate, n-pentyl acetate, sec-pentyl acetate, 3-methoxybutyl acetate, methylpentyl acetate, 2-ethylbutyl acetate, 2-ethylhexyl acetate, benzyl acetate, cyclohexyl acetate, methylcyclohexyl acetate, n-nonyl acetate, methyl acetoacetate, ethyl acetoacetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol mono-n-butyl ether acetate, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, dipropylene glycol monomethyl ether acetate, dipropylene glycol monoethyl ether acetate, glycol diacetate, methoxy triglycol acetate, ethyl propionate, n-butyl propionate, i-amyl propionate, diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, n-amyl lactate, diethyl malonate, dimethyl phthalate, diethyl phthalate, and the like. These ester solvents may be used either individually or in combination of two or more.
  • Examples of the nonprotic solvent include acetonitrile, dimethylsulfoxide, N,N,N′,N′-tetraethylsulfonamide, hexamethylphosphoric acid triamide, N-methylmorphorone, N-methylpyrrole, N-ethylpyrrole, N-methyl-delta3-pyrroline, N-methylpiperidine, N-ethylpiperidine, N,N-dimethylpiperazine, N-methylimidazole, N-methyl-4-piperidone, N-methyl-2-piperidone, N-methyl-2-pyrrolidone, 1,3-dimethyl-2-imidazolidinone, 1,3-dimethyltetrahydro-2(1H)-pyrimidinone, and the like. These nonprotic solvents may be used either individually or in combination of two or more.
  • The total solid content of the film-forming composition thus obtained may be appropriately adjusted according to the target application. The total solid content is preferably 2 to 30 wt %. If the total solid content of the film-forming composition is 2 to 30 wt %, the resulting coating has an appropriate thickness, and the composition exhibits excellent storage stability. The total solid content may be adjusted by concentration or dilution with the above organic solvent, as required.
  • 3. Insulating Film
  • The insulating film according to one embodiment of the invention is obtained by applying the above-described film-forming composition to a substrate to form a coating, and heating the resulting coating.
  • When applying the above-described film-forming composition to a substrate such as a silicon wafer, SiO2 wafer, or SiN wafer, the film-forming composition is applied by spin coating, dip coating, roll coating, spraying, or the like.
  • A coating with a dry thickness of about 0.05 to 2.5 micrometers may be obtained by single application, and a coating with a dry thickness of about 0.1 to 5.0 micrometers may be obtained by double application. The coating is then dried at an ordinary temperature or dried by heating at about 80 to 600° C. for 5 to 240 minutes to form a glass-like or high-molecular-weight polymer coating.
  • As the heating method, a hot plate, oven, furnace, or the like may be used. The coating may be heated in air, nitrogen, or argon, under vacuum, or under reduced pressure in which the oxygen concentration is controlled.
  • In order to control the curing speed of the coating, the coating may be heated stepwise, or the atmosphere such as nitrogen, air, oxygen, and reduced pressure may be selected, if necessary.
  • In this embodiment, the film-forming composition may be applied to a substrate and heated at 30 to 450° C. while applying high-energy rays.
  • The silica-based insulating film according to this embodiment of the invention thus obtained has a density of usually 0.35 to 1.2 g/cm3, preferably 0.4 to 1.1 g/cm3, and still more preferably 0.5 to 1.0 g/cm3. If the density of the film is less than 0.35 g/cm3, the coating may exhibit insufficient mechanical strength. If the density of the film is more than 1.2 g/cm3, a low relative dielectric constant may not be obtained. The relative dielectric constant of the insulating film in this embodiment is usually 3.2 to 1.2, preferably 3.0 to 1.5, and still more preferably 2.7 to 1.8.
  • The insulating film according to this embodiment of the invention includes a number of silicon-carbon bonds in the film structure. This ensures excellent insulating properties, excellent coating uniformity, excellent dielectric constant properties, excellent modulus of elasticity, and adhesion of the coating.
  • Since the insulating film in this embodiment exhibits a low relative dielectric constant and excellent crack resistance, mechanical strength, and adhesion, the insulating film according to this embodiment is useful for applications such as an interlayer dielectric or an etching stopper film for semiconductor devices such as an LSI, system LSI, DRAM, SDRAM, RDRAM, and D-RDRAM, a protective film such as a surface coating film for semiconductor devices, an intermediate layer used in the semiconductor manufacture using a multilayer resist, an interlayer dielectric for multilayer wiring boards, and a protective film or an insulating film for liquid crystal display devices.
  • 4. EXAMPLES
  • The invention is described below in more detail by way of examples. Note that the invention is not limited to the following examples. In the examples and comparative examples, “part” and “%” respectively refer to “part by weight” and “wt %” unless otherwise indicated.
  • 4.1. Evaluation Method
  • Each item was evaluated as follows.
  • 4.1.1. Weight Average Molecular Weight (Mw) of Polymer
  • The weight average molecular weight (Mw) of the polymer was measured by gel permeation chromatography (GPC) under the following conditions.
    • Sample: A sample was prepared by dissolving 1 g of the polymer (hydrolysis-condensation product) in 100 cc of tetrahydrofuran (solvent).
    • Standard polystyrene: standard polystyrene manufactured by Pressure Chemical Company
    • Instrument: high-temperature high-speed gel permeation chromatogram (Model 150-C ALC/GPC) manufactured by Waters Corporation
    • Column: SHODEX A-80M (length: 50 cm) manufactured by Showa Denko K. K.
    • Measurement temperature: 40° C.
    • Flow rate: 1 cc/min
      4.1.2. Relative Dielectric Constant
  • A relative dielectric constant measurement sample was prepared by forming an aluminum electrode pattern on the resulting insulating film by deposition. The relative dielectric constant of the sample was measured at room temperature by a CV method at a frequency of 100 kHz using an electrode “HP16451B” and a precision LCR meter “HP4284A” manufactured by Yokogawa-Hewlett-Packard, Ltd.
  • 4.1.3. Mechanical Strength (Modulus of Elasticity and Hardness)
  • The modulus of elasticity and the hardness of the resulting insulating film were measured by a continuous stiffness measurement method using a Nano Indenter XP (manufactured by Nano Instruments).
  • 4.1.4. Adhesion
  • An SiO2 film was formed on the resulting insulating film by sputtering to a thickness of 400 nm. The SiO2 film was cut to an appropriate size. A blank silicon wafer of the same size was bonded to the wafer using an epoxy resin, and heated at 135° C. for two hours in an oven. The resulting product was cut into small pieces using a dicing machine. Each piece was then subjected to a peeling test using a four-point bend adhesion measurement method. The results were classified as follows.
    • A: The adhesion energy was 3.0 J/m2 or more.
    • B: The adhesion energy was less than 3.0 J/m2.
      4.1.5. Phase Separation in Film
  • The cross section of the insulating film was processed for observation by a focused ion beam method, and the appearance of the cross section was observed using a transmission electron microscope (TEM) at a magnification of 18,000. The observation results were classified as follows.
    • A: A uniform coating was obtained by cross-sectional shape observation.
    • B: An island-like domain phase separation was observed in the coating.
    4.2. Synthesis Example of Polycarbosilane (A) 4.2.1 Synthesis Example 1
  • A 3-liter four-neck flask equipped with a thermometer, a condenser, a dripping funnel, and a stirrer, of which the atmosphere was replaced with argon gas, was charged with 1 liter of dry tetrahydrofuran and 60.8 g of magnesium. Argon gas was then bubbled into the mixture. A mixture of 189.1 g of dichlorodiethoxysilane and 173.8 g of dibromomethane was slowly added to the mixture through the dripping funnel while stirring the mixture at 20° C.
  • After the addition, the mixture was stirred at 45° C. for 24 hours. After cooling the mixture, magnesium salts produced were filtered out from the reaction liquid. The filtrate was then heated under reduced pressure to remove tetrahydrofuran to obtain 119 g of a viscous brown liquid polymer. The weight average molecular weight of the resulting polymer (1) was 1,200.
  • 4.2.2. Synthesis Example 2
  • A 4-liter four-neck flask equipped with a thermometer, a condenser, a dripping funnel, and a stirrer, of which the atmosphere was replaced with argon gas, was charged with 1.5 liters of dry tetrahydrofuran and 71 g of magnesium. Argon gas was then bubbled into the mixture. 500 g of chloromethyltriethoxysilane was slowly added to the mixture through the dripping funnel while stirring the mixture at 0° C.
  • After the addition, the mixture was stirred at 0° C. for 12 hours. After the addition of hexane to the reaction liquid, the mixture was filtered through celite. The organic solvent was completely removed using a vacuum oven to obtain 414 g of a brown liquid polymer (2).
  • The weight average molecular weight of the resulting polymer (2) was 420.
  • 4.2.3. Synthesis Example 3
  • A 3-liter four-neck flask equipped with a thermometer, a condenser, a dripping funnel, and a stirrer, of which the atmosphere was replaced with argon gas, was charged with 1 liter of dry tetrahydrofuran and 60.8 g of magnesium. Argon gas was then bubbled into the mixture. A mixture of 74.8 g of methyltrichlorosilane, 86.9 g of dibromomethane, and 92.0 g of chloromethyltrichlorosilane was slowly added to the mixture through the dripping funnel while stirring the mixture at 20° C.
  • After the addition, the mixture was stirred at 45° C. for 24 hours. After cooling the mixture, magnesium salts produced were filtered out from the reaction liquid. The filtrate was then heated under reduced pressure to remove tetrahydrofuran to obtain 46 g of a brown liquid polymer.
  • The weight average molecular weight of the resulting polymer (3) was 860.
  • 4.3. Example and Comparative Example 4.3.1. Example 1
  • In a separable flask made of quartz, 5.1 g of the polymer (1) obtained in Synthesis Example 1, 50 g of methyltrimethoxysilane, and 0.0031 g of triethylamine were dissolved in 250 g of methanol. The mixture was stirred using a three-one motor, and the solution temperature was stabilized at 55° C. A mixed solution of 50.4 g of ion-exchanged water and 203 g of propylene glycol monoethyl ether was then added to the solution in one hour.
  • After allowing the mixture to react at 55° C. for four hours, 10 g of a 10% propylene glycol monopropyl ether solution of acetic acid was added to the mixture. After allowing the mixture to react for 30 minutes, the reaction liquid was cooled to room temperature. 298 g of a solution containing methanol and water was evaporated from the reaction liquid at 50° C. to obtain a reaction liquid A. The weight average molecular weight of the polymer (hereinafter called “condensate”) thus obtained was 27,000.
  • 4.3.2. Example 2
  • In a separable flask made of quartz, 5 g of the polymer (1) obtained in Synthesis Example 1, 49 g of tetraethoxysilane, and 0.003 g of triethylamine were dissolved in 253 g of methanol. The mixture was stirred using a three-one motor, and the solution temperature was stabilized at 55° C. A mixed solution of 50.2 g of ion-exchanged water and 200 g of propylene glycol monoethyl ether was then added to the solution in one hour.
  • After allowing the mixture to react at 55° C. for four hours, 10 g of a 10% propylene glycol monopropyl ether solution of acetic acid was added to the mixture. After allowing the mixture to react for 30 minutes, the reaction liquid was cooled to room temperature. 299 g of a solution containing methanol and water was evaporated from the reaction liquid at 50° C. to obtain a reaction liquid B. The weight average molecular weight of the condensate thus obtained was 31,000.
  • 4.3.3. Example 3
  • In a separable flask made of quartz, 5.1 g of the polymer (1) obtained in Synthesis Example 1, 50 g of methyltrimethoxysilane, and 248 g of methanol were dissolved in 201 g of a propylene glycol monopropyl ether solution. The mixture was stirred using a three-one motor, and the solution temperature was stabilized at 55° C. 24 g of ion-exchanged water in which 0.12 g of succinic acid was dissolved was then added to the solution in one hour. After allowing the mixture to react at 50° C. for three hours, the reaction liquid was cooled to room temperature. 272 g of a solution containing water was evaporated from the reaction liquid at 50° C. to obtain a reaction liquid C. The weight average molecular weight of the condensate thus obtained was 3,200.
  • 4.3.4. Example 4
  • The reaction liquid A obtained in Example 1 was filtered through a Teflon (registered trademark) filter with a pore size of 0.2 micrometers to obtain the above-described film-forming composition.
  • The resulting composition was applied to a silicon wafer by spin coating. The substrate was dried on a hot plate at 90° C. for three minutes and at 200° C. for three minutes in a nitrogen atmosphere, and sintered on a hot plate at 400° C. for 60 minutes in a nitrogen atmosphere. The resulting insulating film (hereinafter called “silica-based film”) was evaluated according to the evaluation methods described in 5.1. The evaluation results are shown in Table 1.
  • 4.3.5. Examples 5 and 6
  • Silica-based films were respectively formed in the same manner as in Example 4 except for using the reaction liquids B and C instead of the reaction liquid A. The evaluation results are shown in Table 1.
  • 4.3.6. Example 7
  • In a separable flask made of quartz, 6.2 g of the polymer (3) obtained in Synthesis Example 3 was dissolved in 50 g of toluene. Then, 50 g of methyltrimethoxysilane was slowly added dropwise to the mixture. After the dropwise addition of a mixed solution of 0.12 g of succinic acid, 24 g of ion-exchanged water, and 201 g of a tetrahydrofuran solution in one hour, the mixture was allowed to react at 50° C. for three hours. The reaction liquid was then cooled to room temperature. After the addition of 300 g of diethyl ether to the solution, the mixture was washed with ultrapure water until the pH of the mixture became neutral. After the addition of 300 g of propylene glycol monoethyl ether to the organic phase, the mixture was concentrated until the solid content became 20% to obtain a reaction liquid D. The weight average molecular weight of the condensate thus obtained was 2,640. A silica-based film was formed in the same manner as in Example 4 except for using the reaction liquid D instead of the reaction liquid A. The resulting silica-based film was evaluated. The evaluation results are shown in Table 1.
  • 4.3.7. Comparative Example 1
  • A silica-based film was formed in the same manner as in Example 4 except for using a reaction liquid E prepared by dissolving 1.0 g of the polymer (1) obtained in Synthesis Example 1 in 4.0 g of propylene glycol monopropyl ether as the coating solution. The resulting silica-based film was evaluated. The evaluation results are shown in Table 1.
  • 4.3.8. Comparative Example 2
  • A separable flask made of quartz was charged with 430 g of distilled ethanol, 211 g of ion-exchanged water, and 15.2 g of a 25% tetramethylammonium hydroxide aqueous solution. The mixture was uniformly stirred. A mixture of 40 g of methyltrimethoxysilane and 61.1 g of tetraethoxysilane was added to the solution. The mixture was allowed to react for two hours while maintaining the solution at 60° C. After the addition of 300 g of propylene glycol monopropyl ether to the solution, the mixture was concentrated at 50° C. using an evaporator until the solid content was 20% (as complete hydrolysis-condensation product). Then, 20 g of a 10% propylene glycol monopropyl ether solution of maleic acid was added to the concentrate to obtain a reaction liquid F. A silica-based film was formed in the same manner as in Example 4 except for using the reaction liquid F instead of the reaction liquid A. The resulting silica-based film was evaluated. The evaluation results are shown in Table 1.
  • 4.3.9. Comparative Example 3
  • A 25% propylene glycol monopropyl ether solution of polycarbosilane (weight average molecular weight: 20,000) containing a repeating unit shown by the formula “—[Si(CH3)(H)—CH2]—” (hereinafter called “reaction liquid I”) and the reaction liquid F obtained in Comparative Example 2 were mixed at a weight ratio of I:F=2:8 to obtain a reaction liquid G. A silica-based film was formed in the same manner as in Example 4 except for using the reaction liquid G instead of the reaction liquid A. The resulting silica-based film was evaluated. The evaluation results are shown in Table 1.
  • 4.3.10. Comparative Example 4
  • 9 g of the polymer (2) obtained in Synthesis Example 2, 30 g of methyltrimethoxysilane, and 3.4 g of tetramethoxysilane were mixed with 60 ml of tetrahydrofuran. After cooling the mixed solution to 0° C., 37 ml of 0.01N nitric acid was slowly added to the mixed solution. The mixture was allowed to react for 30 minutes. After heating the mixture to 70° C., the mixture was allowed to react for 16 hours under reflux. The reaction liquid was allowed to cool and then diluted with 250 ml of diethyl ether. The resulting solution was washed with distilled water three or four times until the pH of the solution became neutral. After the addition of 200 g of propylene glycol monopropyl ether to the solution, the mixture was concentrated at 50° C. using an evaporator until the solid content was 20% (as complete hydrolysis-condensation product). Then, 20 g of a 10% propylene glycol monopropyl ether solution of maleic acid was added to the concentrate to obtain a reaction liquid H. A silica-based film was formed in the same manner as in Example 4 except for using the reaction liquid H instead of the reaction liquid A. The resulting silica-based film was evaluated. The evaluation results are shown in Table 1.
    TABLE 1
    Coating Thick- Relative Modulus of
    Reaction treatment ness dielectric elasticity Hardness TEM ob-
    liquid condition (μm) constant (GPa) (GPa) Adhesion servation
    Example 4 A Heating/ 0.50 2.53 9.1 0.9 A A
    sintering
    Example 5 B Heating/ 0.50 2.47 9.3 0.9 A A
    sintering
    Example 6 C Heating/ 0.50 2.91 9.6 1.0 A A
    sintering
    Example 7 D Heating/ 0.50 2.85 9.3 1.0 A A
    sintering
    Comparative E Heating/ 0.50 3.50 7.4 0.6 A A
    Example 1 sintering
    Comparative F Heating/ 0.50 2.86 7.2 0.7 B A
    Example 2 sintering
    Comparative G Heating/ 0.50 2.90 8.1 0.6 A B
    Example 3 sintering
    Comparative H Heating/ 0.50 3.10 9.1 0.9 B A
    Example 4 sintering

Claims (10)

1. A method for producing a polymer, comprising hydrolyzing and condensing (B) a hydrolyzable-group-containing silane monomer in the presence of (A) a polycarbosilane, the polycarbosilane (A) being a polymer (I) obtained by reacting (a) a compound shown by the following general formula (1) and (b) at least one compound selected from the group consisting of a compound shown by the following general formula (2) and a compound shown by the following general formula (3) in an organic solvent in the presence of at least one of an alkali metal and an alkaline earth metal,

R1 kCX4-k  (1)
R2 kSiY4-k  (2)
R3 mY3-mSiCR4 nX3-n  (3)
wherein R1 to R4 individually represent a monovalent organic group or a hydrogen atom, X represents a halogen atom, Y represents a halogen atom or an alkoxy group, k represents an integer from 0 to 3, and m and n individually represent integers from 0to 2.
2. The method according to claim 1,
wherein the hydrolyzable-group-containing silane monomer (B) is at least one silane compound selected from the group consisting of a compound shown by the following general formula (4),

R5 aSiX4-a  (4)
wherein R5 represents a hydrogen atom, a fluorine atom, or a monovalent organic group, X represents a halogen atom or an alkoxy group, and a represents an integer from 0 to 3, and a compound shown by the following general formula (5),

R6 bY3-bSi—(R8)d—SiZ3-cR7 c  (5)
wherein R6 and R7 individually represent monovalent organic groups, b and c individually represent integers from 0 to 2, R8 represents an oxygen atom, a phenylene group, or a group shown by —(CH2)e— (wherein e represents an integer from 1 to 6), Y and Z individually represent a halogen atom or an alkoxy group, and d represents 0 or 1.
3. A polymer obtained by the method according to claim 1.
4. A polymer obtained by the method according to claim 2.
5. An insulating-film-forming composition, comprising the polymer according to claim 3 and an organic solvent.
6. An insulating-film-forming composition, comprising the polymer according to claim 4 and an organic solvent.
7. A method for producing an insulating film, comprising applying the insulating-film-forming composition according to claim 5 to a substrate, and heating the applied composition at 30 to 450° C.
8. A method for producing an insulating film, comprising applying the insulating-film-forming composition according to claim 6 to a substrate, and heating the applied composition at 30 to 450° C.
9. A silica-based insulating film obtained by the method according to claim 7.
10. A silica-based insulating film obtained by the method according to claim 8.
US11/484,604 2004-01-16 2006-07-12 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film Abandoned US20070015892A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004009205 2004-01-16
JP2004-9205 2004-01-16
PCT/JP2005/000372 WO2005068538A1 (en) 2004-01-16 2005-01-14 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/000372 Continuation WO2005068538A1 (en) 2004-01-16 2005-01-14 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film

Publications (1)

Publication Number Publication Date
US20070015892A1 true US20070015892A1 (en) 2007-01-18

Family

ID=34792263

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/484,604 Abandoned US20070015892A1 (en) 2004-01-16 2006-07-12 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film
US11/485,508 Active US7528207B2 (en) 2004-01-16 2006-07-13 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/485,508 Active US7528207B2 (en) 2004-01-16 2006-07-13 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film

Country Status (6)

Country Link
US (2) US20070015892A1 (en)
EP (2) EP1705206A4 (en)
JP (2) JP5110243B2 (en)
KR (2) KR101129875B1 (en)
TW (2) TWI265172B (en)
WO (2) WO2005068538A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020467A1 (en) * 2004-01-16 2007-01-25 Jsr Corporation Composition for forming insulating film, method for producing same, silica-based insulating film, and method for forming same
US20070027287A1 (en) * 2004-02-26 2007-02-01 Jsr Corporation Polymer and process for producing the same, composition for forming insulating film, and insulating film and method of forming the same
US20070031687A1 (en) * 2004-05-11 2007-02-08 Jsr Corporation Insulating-film-forming composition, method of producing the same, silica-based insulating film, and method of forming the same
US20080038527A1 (en) * 2004-05-11 2008-02-14 Jsr Corporation Method for Forming Organic Silica Film, Organic Silica Film, Wiring Structure, Semiconductor Device, and Composition for Film Formation
US20080241748A1 (en) * 2007-03-30 2008-10-02 Shahrokh Motallebi Etch-resistant disilane and saturated hydrocarbon bridged silicon-containing polymers, method of making the same, and method of using the same
US20090281237A1 (en) * 2005-11-11 2009-11-12 Jsr Corporation Polycarbosilane, method for producing same, silica composition for coating application, and silica film
US20090299086A1 (en) * 2008-05-30 2009-12-03 Jsr Corporation Method of producing organosilicon compound
US20100007031A1 (en) * 2007-03-16 2010-01-14 Fujitsu Limited Agent for post-etch treatment of silicon dielectric film, method of manufacturing semiconductor device, and semiconductor device
US20100007025A1 (en) * 2006-02-02 2010-01-14 Jsr Corporation Organic silica film and method for forming same, composition for forming insulating film of semiconductor device and method for producing same, wiring structure and semiconductor device
US20100174103A1 (en) * 2007-02-14 2010-07-08 Jsr Corporation Material for forming silicon-containing film, and silicon-containing insulating film and method for forming the same
US20100261925A1 (en) * 2007-07-10 2010-10-14 Jsr Corporation Method for producing silicon compound
US8097745B2 (en) 2009-03-30 2012-01-17 Jsr Corporation Method of producing organosilicon compound
US8268403B2 (en) 2004-05-11 2012-09-18 Jsr Corporation Method for forming organic silica film, organic silica film, wiring structure, semiconductor device, and composition for film formation
US11059994B2 (en) * 2017-07-31 2021-07-13 Dow Silicones Corporation Silicone resin, related methods, and film formed therewith

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101129875B1 (en) * 2004-01-16 2012-03-28 제이에스알 가부시끼가이샤 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
JP2007045966A (en) * 2005-08-11 2007-02-22 Fujifilm Corp Composition for forming insulating film, insulating film and method for producing the same
US7714092B2 (en) * 2006-01-13 2010-05-11 Starfire Systems, Inc. Composition, preparation of polycarbosilanes and their uses
JP5007511B2 (en) * 2006-02-14 2012-08-22 富士通株式会社 Exposure light shielding film forming material, multilayer wiring, manufacturing method thereof, and semiconductor device
JP5423937B2 (en) * 2006-03-23 2014-02-19 Jsr株式会社 Insulating film forming composition manufacturing method, polymer manufacturing method
JP5218765B2 (en) * 2006-03-29 2013-06-26 Jsr株式会社 Method for producing polymer, polymer, composition for forming polymer film, method for forming polymer film, and polymer film
JP4877486B2 (en) * 2006-05-31 2012-02-15 Jsr株式会社 Insulating film forming composition and method for producing the same, and silica-based insulating film and method for forming the same
JPWO2008096656A1 (en) * 2007-02-07 2010-05-20 Jsr株式会社 Silicon-containing polymer and method for synthesizing the same, film-forming composition, and silica-based film and method for forming the same
JP5348373B2 (en) * 2008-05-29 2013-11-20 Jsr株式会社 Method for producing polycarbosilane
JP5376118B2 (en) * 2008-10-29 2013-12-25 Jsr株式会社 Method for manufacturing composition for forming insulating film, and method for forming insulating film
CN112094414B (en) * 2020-09-24 2022-01-07 宁波曙翔新材料股份有限公司 Preparation method of novel liquid silicon carbide ceramic precursor

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4220600A (en) * 1977-10-26 1980-09-02 The Foundation: The Research Institute For Special Inorganic Materials Polycarbosilane, process for its production, and its use as material for producing silicon carbide fibers
US5087685A (en) * 1988-12-08 1992-02-11 Kali-Chemie Aktiengesellschaft Process for preparing polycarbosilanes and new polycarbosilanes
US5198518A (en) * 1990-11-15 1993-03-30 Shin-Etsu Chemical Company, Ltd. Preparation of polyorganosiloxane resins
US5623030A (en) * 1994-12-01 1997-04-22 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Curable composition and process for producing molded articles using the same
US20030003288A1 (en) * 2001-03-23 2003-01-02 Fujitsu Limited Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film
US6559590B1 (en) * 1999-05-10 2003-05-06 Sony Corporation Paint for heat absorbing film, heat absorbing film and color CRT
US6613834B2 (en) * 2000-03-29 2003-09-02 Fujitsu Limited Low dielectric constant film material, film and semiconductor device using such material
US20040007753A1 (en) * 2002-04-25 2004-01-15 Kyocera Corporation Photoelectric conversion device and manufacturing process thereof
US20050096415A1 (en) * 2003-09-25 2005-05-05 Jsr Corporation Film forming composition, process for producing film forming composition, insulating film forming material, process for forming film, and silica-based film
US20060006541A1 (en) * 2004-07-09 2006-01-12 Jsr Corporation Organic silica-based film, method of forming the same, composition for forming insulating film for semiconductor device, interconnect structure, and semiconductor device
US20060024980A1 (en) * 2004-07-23 2006-02-02 Jsr Corporation Silica-based film, method of forming the same, composition for forming insulating film for semiconductor device, interconnect structure, and semiconductor device
US20060210812A1 (en) * 2003-12-19 2006-09-21 Jsr Corporation Insulating film and method of forming the same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5461299A (en) * 1977-10-26 1979-05-17 Tokushiyu Muki Zairiyou Kenkiy Polycarbosilane partially containing siloxane linkage and method of making same
JPS5872923A (en) * 1981-10-28 1983-05-02 Hitachi Ltd Liquid crystal display element
JPS6169836A (en) * 1984-09-12 1986-04-10 Chisso Corp Stepladder polymer containing silicon atoms and production thereof
US5202405A (en) * 1990-08-27 1993-04-13 E. I. Du Pont De Nemours And Company Silicon carbide precursors
JPH05105761A (en) * 1991-09-30 1993-04-27 Kanegafuchi Chem Ind Co Ltd Silicon-based hybrid material
JPH05105739A (en) 1991-10-16 1993-04-27 Nippon Steel Chem Co Ltd Resin composition for sealing semiconductor
JP3296440B2 (en) * 1991-10-17 2002-07-02 鐘淵化学工業株式会社 Silicon-based hybrid materials
JP3320440B2 (en) 1992-03-17 2002-09-03 触媒化成工業株式会社 Coating liquid for film formation and method for producing the same
JP3073313B2 (en) 1992-05-12 2000-08-07 触媒化成工業株式会社 Semiconductor device and manufacturing method thereof
JPH08217879A (en) * 1995-02-15 1996-08-27 Mitsubishi Rayon Co Ltd Heat resistant resin and its production
JPH11340220A (en) 1998-05-26 1999-12-10 Tokyo Ohka Kogyo Co Ltd Coating liquid for forming silica film and its manufacture
JP4473352B2 (en) 1998-05-26 2010-06-02 東京応化工業株式会社 Low dielectric constant silica-based coating, coating liquid for forming the same, and method for preparing the coating liquid
JP4305587B2 (en) * 1999-04-27 2009-07-29 Jsr株式会社 Materials for forming interlayer insulation film for semiconductor devices
JP4756526B2 (en) * 1999-10-25 2011-08-24 富士通株式会社 Method for forming porous low dielectric constant insulating film, porous low dielectric constant insulating film formed by the method, and semiconductor device using the porous low dielectric constant insulating film
JP3941327B2 (en) * 2000-02-01 2007-07-04 Jsr株式会社 Method for manufacturing silica-based film, silica-based film, insulating film, and semiconductor device
JP4117436B2 (en) * 2000-04-10 2008-07-16 Jsr株式会社 Film forming composition, film forming method, and silica-based film
JP4507377B2 (en) * 2000-09-25 2010-07-21 Jsr株式会社 Silicon polymer, film forming composition and insulating film forming material
KR100451044B1 (en) * 2001-06-07 2004-10-02 주식회사 엘지화학 Method for preparing organic silicate polymer and method for preparing insulating film using the same
JP2003115482A (en) 2001-10-05 2003-04-18 Asahi Kasei Corp Insulation film forming composition
JPWO2003087228A1 (en) * 2002-04-12 2005-08-18 Azエレクトロニックマテリアルズ株式会社 Silicon-containing copolymer composition, solvent-soluble crosslinked silicon-containing copolymer, and cured products thereof
US6809041B2 (en) 2002-07-01 2004-10-26 Rensselaer Polytechnic Institute Low dielectric constant films derived by sol-gel processing of a hyperbranched polycarbosilane
KR20050024721A (en) * 2003-09-01 2005-03-11 삼성전자주식회사 Novel Siloxane Polymer and a Composition for preparing Dielectric Film by using the Same
WO2005068541A1 (en) * 2004-01-16 2005-07-28 Jsr Corporation Method for forming organic silica film, organic silica film, wiring structure, semiconductor device, and composition for forming film
KR101129875B1 (en) * 2004-01-16 2012-03-28 제이에스알 가부시끼가이샤 Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4220600A (en) * 1977-10-26 1980-09-02 The Foundation: The Research Institute For Special Inorganic Materials Polycarbosilane, process for its production, and its use as material for producing silicon carbide fibers
US5087685A (en) * 1988-12-08 1992-02-11 Kali-Chemie Aktiengesellschaft Process for preparing polycarbosilanes and new polycarbosilanes
US5198518A (en) * 1990-11-15 1993-03-30 Shin-Etsu Chemical Company, Ltd. Preparation of polyorganosiloxane resins
US5623030A (en) * 1994-12-01 1997-04-22 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Curable composition and process for producing molded articles using the same
US6559590B1 (en) * 1999-05-10 2003-05-06 Sony Corporation Paint for heat absorbing film, heat absorbing film and color CRT
US6613834B2 (en) * 2000-03-29 2003-09-02 Fujitsu Limited Low dielectric constant film material, film and semiconductor device using such material
US20030003288A1 (en) * 2001-03-23 2003-01-02 Fujitsu Limited Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film
US20040007753A1 (en) * 2002-04-25 2004-01-15 Kyocera Corporation Photoelectric conversion device and manufacturing process thereof
US20050096415A1 (en) * 2003-09-25 2005-05-05 Jsr Corporation Film forming composition, process for producing film forming composition, insulating film forming material, process for forming film, and silica-based film
US20060210812A1 (en) * 2003-12-19 2006-09-21 Jsr Corporation Insulating film and method of forming the same
US20060006541A1 (en) * 2004-07-09 2006-01-12 Jsr Corporation Organic silica-based film, method of forming the same, composition for forming insulating film for semiconductor device, interconnect structure, and semiconductor device
US20060024980A1 (en) * 2004-07-23 2006-02-02 Jsr Corporation Silica-based film, method of forming the same, composition for forming insulating film for semiconductor device, interconnect structure, and semiconductor device

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020467A1 (en) * 2004-01-16 2007-01-25 Jsr Corporation Composition for forming insulating film, method for producing same, silica-based insulating film, and method for forming same
US7875317B2 (en) * 2004-01-16 2011-01-25 Jsr Corporation Composition for forming insulating film, method for producing same, silica-based insulating film, and method for forming same
US20070027287A1 (en) * 2004-02-26 2007-02-01 Jsr Corporation Polymer and process for producing the same, composition for forming insulating film, and insulating film and method of forming the same
US8404786B2 (en) 2004-02-26 2013-03-26 Jsr Corporation Polymer and process for producing the same, composition for forming insulating film, and insulating film and method of forming the same
US20100168327A1 (en) * 2004-02-26 2010-07-01 Jsr Corporation Polymer and process for producing the same, composition for forming insulating film, and insulating film and method of forming the same
US7736748B2 (en) * 2004-05-11 2010-06-15 Jsr Corporation Insulating-film-forming composition, method of producing the same, silica-based insulating film, and method of forming the same
US20070031687A1 (en) * 2004-05-11 2007-02-08 Jsr Corporation Insulating-film-forming composition, method of producing the same, silica-based insulating film, and method of forming the same
US20080038527A1 (en) * 2004-05-11 2008-02-14 Jsr Corporation Method for Forming Organic Silica Film, Organic Silica Film, Wiring Structure, Semiconductor Device, and Composition for Film Formation
US8268403B2 (en) 2004-05-11 2012-09-18 Jsr Corporation Method for forming organic silica film, organic silica film, wiring structure, semiconductor device, and composition for film formation
US20090281237A1 (en) * 2005-11-11 2009-11-12 Jsr Corporation Polycarbosilane, method for producing same, silica composition for coating application, and silica film
US8017700B2 (en) 2005-11-11 2011-09-13 Jsr Corporation Polycarbosilane, method for producing same, silica composition for coating application, and silica film
US20100007025A1 (en) * 2006-02-02 2010-01-14 Jsr Corporation Organic silica film and method for forming same, composition for forming insulating film of semiconductor device and method for producing same, wiring structure and semiconductor device
US7893538B2 (en) 2006-02-02 2011-02-22 Jsr Corporation Organic silica film and method for forming same, composition for forming insulating film of semiconductor device and method for producing same, wiring structure and semiconductor device
US20100174103A1 (en) * 2007-02-14 2010-07-08 Jsr Corporation Material for forming silicon-containing film, and silicon-containing insulating film and method for forming the same
US8716209B2 (en) * 2007-03-16 2014-05-06 Fujitsu Limited Agent for post-etch treatment of silicon dielectric film, method of manufacturing semiconductor device, and semiconductor device
US20100007031A1 (en) * 2007-03-16 2010-01-14 Fujitsu Limited Agent for post-etch treatment of silicon dielectric film, method of manufacturing semiconductor device, and semiconductor device
US8026035B2 (en) * 2007-03-30 2011-09-27 Cheil Industries, Inc. Etch-resistant disilane and saturated hydrocarbon bridged silicon-containing polymers, method of making the same, and method of using the same
US20080241748A1 (en) * 2007-03-30 2008-10-02 Shahrokh Motallebi Etch-resistant disilane and saturated hydrocarbon bridged silicon-containing polymers, method of making the same, and method of using the same
US20100261925A1 (en) * 2007-07-10 2010-10-14 Jsr Corporation Method for producing silicon compound
US8093419B2 (en) 2008-05-30 2012-01-10 Jsr Corporation Method of producing organosilicon compound
US20090299086A1 (en) * 2008-05-30 2009-12-03 Jsr Corporation Method of producing organosilicon compound
US8097745B2 (en) 2009-03-30 2012-01-17 Jsr Corporation Method of producing organosilicon compound
US11059994B2 (en) * 2017-07-31 2021-07-13 Dow Silicones Corporation Silicone resin, related methods, and film formed therewith

Also Published As

Publication number Publication date
KR20060123548A (en) 2006-12-01
TWI292349B (en) 2008-01-11
KR101129875B1 (en) 2012-03-28
US20070021580A1 (en) 2007-01-25
EP1705207A4 (en) 2009-06-24
WO2005068539A1 (en) 2005-07-28
EP1705207B1 (en) 2012-10-24
TW200536621A (en) 2005-11-16
WO2005068538A1 (en) 2005-07-28
JPWO2005068539A1 (en) 2007-12-27
JP5013045B2 (en) 2012-08-29
TWI265172B (en) 2006-11-01
EP1705207A1 (en) 2006-09-27
US7528207B2 (en) 2009-05-05
KR20060123549A (en) 2006-12-01
TW200538490A (en) 2005-12-01
JP5110243B2 (en) 2012-12-26
JPWO2005068538A1 (en) 2007-12-27
EP1705206A4 (en) 2009-06-24
EP1705206A1 (en) 2006-09-27

Similar Documents

Publication Publication Date Title
US7528207B2 (en) Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film
EP1746122B1 (en) Method for forming organic silica film, organic silica film, wiring structure and semiconductor device
KR100710789B1 (en) Membrane Forming Compositions, Processes for Forming Membranes and Silica-based Membranes
KR101140535B1 (en) Method for forming organic silica film, organic silica film, wiring structure, semiconductor device, and composition for film formation
JPWO2005108469A1 (en) Method for forming organic silica film, organic silica film, wiring structure, semiconductor device, and film forming composition
JP5099302B2 (en) Composition for forming insulating film, polymer and method for producing the same, method for producing insulating film, and silica-based insulating film
JP5218765B2 (en) Method for producing polymer, polymer, composition for forming polymer film, method for forming polymer film, and polymer film
JP4530130B2 (en) Method for forming polymer film
JP4840548B2 (en) Film forming composition and insulating film forming material
JP2002167438A (en) Silicon polymer, composition for forming film and material for forming insulating film
JP2007204626A (en) Method for producing polymer, polymer, composition for forming insulation film, method for producing insulation film and silica-based insulation film
JP4862217B2 (en) Film forming composition, film forming method, and silica-based film
JP5061412B2 (en) Film forming composition, film forming method, and silica-based film
JP4507377B2 (en) Silicon polymer, film forming composition and insulating film forming material
JP4572444B2 (en) Film forming composition, film forming method, and silica-based film
JP4568959B2 (en) Silicone-containing composition and film-forming composition
JP4013055B2 (en) LAMINATED FILM, METHOD FOR FORMING LAMINATED FILM, INSULATING FILM AND SEMICONDUCTOR SUBSTRATE
JP2002285085A (en) Composition for forming film, method for forming film and silica film
JP2002363491A (en) Film-forming composition, method for producing the film, and silica-based film
JP2002105400A (en) Composition for film formation, method for film formation and silica-based film

Legal Events

Date Code Title Description
AS Assignment

Owner name: JSR CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NAKAGAWA, HISASHI;AKIYAMA, MASAHIRO;KUROSAWA, TAKAHIKO;AND OTHERS;REEL/FRAME:018346/0398;SIGNING DATES FROM 20060718 TO 20060731

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION