US20070063261A1 - Necked Finfet device - Google Patents

Necked Finfet device Download PDF

Info

Publication number
US20070063261A1
US20070063261A1 US11/548,772 US54877206A US2007063261A1 US 20070063261 A1 US20070063261 A1 US 20070063261A1 US 54877206 A US54877206 A US 54877206A US 2007063261 A1 US2007063261 A1 US 2007063261A1
Authority
US
United States
Prior art keywords
silicon
device structure
finfet device
sides
shape
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/548,772
Inventor
Haur-Ywh Chen
Fang-Cheng Chen
Yi-Ling Chan
Kuo-Nan Yang
Fu-Liang Yang
Chenming Hu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US11/548,772 priority Critical patent/US20070063261A1/en
Publication of US20070063261A1 publication Critical patent/US20070063261A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to methods used to fabricate semiconductor devices, and more specifically to a process sequence used top fabricate a FINFET device, a field effect transistor formed on an silicon on insulator (SOI) layer, with the mesa type device comprised with fin like features.
  • a process sequence used top fabricate a FINFET device, a field effect transistor formed on an silicon on insulator (SOI) layer, with the mesa type device comprised with fin like features.
  • SOI silicon on insulator
  • Micro-miniaturization or the ability to fabricate semiconductor devices comprised with sub-micron features, have allowed performance increases for devices comprised with the sub-micron features to be realized, while the manufacturing cost of a specific semiconductor chip formed with sub-micron features has been reduced.
  • device features shrink specific parameters such as short channel effects, punch through, and leakage currents become more prevalent for devices formed with sub-micron features than for counterparts formed with larger features.
  • This invention will describe a process sequence in which device performance is further improved via additional decreases in junction capacitance via formation of the sub-micron type device on a silicon on insulator (SOI) layer of the device.
  • SOI silicon on insulator
  • a FINFET device defined in the SOI layer with only the needed elements of the device now overlying the insulator layer of the SOI layer, results in a reduction in capacitance and thus increased performance when compared to counterpart FET devices fabricating within a semiconductor substrate and thus presenting higher parasitic junction capacitance.
  • the ability to form the FINFET device on an SOI layer also reduces punch through leakage and short channel effects when compared to devices formed in a semiconductor substrate.
  • this invention will describe a procedure used to form a wrap up insulator spacer that will protect the exposed sides of the raised, FINFET device from a silicide procedure applied to source/drain regions.
  • Prior art such as Muller et al, in U.S. Pat. No. 6,252,284B1, Shirasaki, in U.S. Pat. No. 4,996,574, Yuzurihara et al, in U.S. Pat. No. 5,428,237, Burroughes et al, in U.S. Pat. No. 5,701,016, Kitajama, in U.S. Pat. No. 5,309,010, and Ohmi et al, in U.S. Pat. No. 6,242,783B1, describe methods of forming FINFET type devices, however none of these prior arts disclose the unique features of this invention, such as the necked channel region, and the wrap up insulator spacer.
  • a method of fabricating a double gate FINFET device structure in a SOI layer wherein features such as a necked channel region and a wrap up insulator spacer are employed to improve device performance, is described.
  • Definition of the silicon layer component of the SOI layer, and of an overlying hard mask insulator layer results in a raised structure comprised of a silicon source/drain shapes connected by a narrower channel region shape wherein a center portion of the raised silicon channel region shape is necked or narrowed.
  • a thermal oxidation procedure results in the formation of a gate insulator layer on both sides of the necked channel region shape, followed by definition of a conductive gate structure formed normal in direction to the channel region shape, overlying the gate insulator layers located on both sides of the necked portion of the channel region shape.
  • Removal of the hard mask insulator layer from portions of the silicon source/drain shapes is followed by formation of a source/drain region in the silicon source/drain shapes.
  • a wrap up, composite insulator spacer is next formed on the sides of the raised silicon structure, protecting against a procedure used to form metal silicide on exposed portions of source/drain regions.
  • FIGS. 1B, 2B , 2 C, 3 , 4 B, 4 C, 5 B, and 6 B which schematically in cross-sectional style show key stages used to fabricate the double gate, FINFET device structure described in this present invention.
  • FIGS. 1A, 2A , 4 A, 5 A and 6 A which schematically show a top view of the double gate FINFET device structure at specific stages of fabrication.
  • Semiconductor substrate 1 comprised of single crystalline silicon with a ⁇ 100> crystallographic orientation, is used and schematically shown in cross-sectional style in FIG. 1B .
  • Silicon layer 3 the silicon component of SOI layer 3 , is formed via oxygen implantation into a portion of semiconductor substrate 1 , followed by an anneal procedure which results in the formation of insulator layer 2 , underlying an non-implanted, and non-oxidized silicon layer 3 .
  • Insulator layer 2 is comprised of silicon dioxide at a thickness between about 100 to 2000 Angstroms, while silicon layer 3 , the top portion of semiconductor substrate 1 , overlying insulator layer 2 , is formed at a thickness between about 100 to 2000 Angstroms.
  • the SOI configuration can be obtained via bonding of a first semiconductor substrate to the top surface of an insulator layer located on a second semiconductor substrate.
  • Insulator layer 4 comprised of silicon oxide at a thickness between about 10 to 500 Angstroms, to be used as a hard mask layer, is deposited on silicon layer 3 , via low pressure chemical vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD), procedures.
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • RIE anisotropic reactive ion etch
  • CHF 3 as an etchant for insulator layer 4
  • Cl 2 as a selective etchant for silicon layer 3
  • the silicon shape is comprised with wide regions 40 , to be used to accommodate the subsequent source/drain regions of the FINFET device structure, while region 30 , located between regions 40 , will be used to accommodate the device channel region.
  • a narrow channel width is desired to optimize device parameters such as sub-threshold voltage.
  • gate insulator layer 5 is thermally grown on the sides of the raised silicon structure.
  • Gate insulator layer 5 comprised of silicon dioxide, is obtained at a thickness between about 6 to 100 Angstroms, via thermal oxidation procedures performed at a temperature between about 500 to 1200° C., in an oxygen-steam ambient.
  • a conductive layer such as polysilicon, is next deposited via LPCVD procedures to a thickness between about 300 to 2000 Angstroms.
  • a photoresist shape, not shown in the drawings, is next used as an etch mask to allow an anisotropic RIE procedure, using Cl 2 as an etchant, to define gate structure 6 .
  • top view 2 A This is shown schematically in top view 2 A, in which gate structure 6 , is located on insulator layer 4 , overlying the top surface of narrow portion 30 , of the channel region, while directly overlying gate insulator layer 5 , on each side of narrow channel region 30 .
  • the presence of gate structure 6 , on gate insulator layer 5 , on each side of the narrow channel region allows formation of a double gate device to be realized.
  • Cross-sectional views of the FINFET device structure at this stage of fabrication are schematically shown in FIGS. 2B and 2C .
  • the photoresist shape used for definition of gate structure 6 is removed via plasma oxygen ashing procedures followed by a final wet clean cycle.
  • the wet clean cycle comprised with a buffered hydrofluoric acid dip, removes portions of gate insulator layer 5 , not covered by gate structure 6 .
  • Selective removal of the portions of hard mask, insulator layer 4 , not covered by gate structure 6 is next accomplished via a RIE procedure, using CHF 3 as a selective etchant for insulator layer 4 , exposing regions of silicon layer 3 , to be used for FINFET source/drain regions.
  • Implantation of arsenic or phosphorous ions, performed at an energy between about 0.1 to 5 KeV, and at a dose between about 1E19 to 5E20 atoms/cm 2 is employed to create source/drain regions 7 , in non-channel portions of silicon layer 3 .
  • the result of these procedures is schematically shown in FIG. 3 .
  • the N type source/drain region 7 is used if an N channel, or NMOS FINFET device is desired. However if a P channel, of PMOS FINFET device is needed, source/drain regions 7 , can be P type regions, obtained via implantation of P type ions such as boron.
  • metal silicide contact regions are formed on top portions of the source/drain region.
  • selective formation of a metal silicide layer on exposed portions of silicon or polysilicon can result in metal silicide formation on the sides of silicon layer 3 , and on the exposed sides of conductive gate structure 6 , resulting in unwanted gate to substrate bridging or shorting. Therefore prior to initiating a metal silicide formation procedure, insulator sidewall spacers are formed on vertical sides of defined silicon layer 3 , as well as on the exposed sides of conductive, or polysilicon gate structure 6 .
  • a composite insulator spacer comprised of an underlying silicon oxide component, and an overlying silicon nitride component, is next formed and schematically shown in cross-sectional style using FIG. 4B , and schematically shown as a top view in FIG. 4A .
  • First silicon oxide layer 8 is conformally deposited, at a thickness between about 50 to 200 Angstroms, via LPCVD or PECVD procedures, followed by conformal deposition of silicon nitride layer 9 , at a thickness between about 100 to 1000 Angstroms, again via use of LPCVD or PECVD procedures.
  • the composite insulator spacers, referred to as wrap up spacers wrap around all exposed sides of the defined FINFET shape in silicon layer 3 , as well as wrapping around the sides of gate structure 6 .
  • the desired metal silicide layer can now be selectively formed on exposed portions of source/drain region 7 , as well as on the top portion of conductive gate structure 6 , without risk of source/drain to gate shorting.
  • a metal layer such as titanium, tungsten, tantalum, cobalt, or nickel, is deposited via plasma vapor deposition (PVD), procedures, to a thickness between about 100 to 500 Angstroms.
  • An anneal procedure is next performed at a temperature between about 450 to 950° C., for a time between about 0.01 to 10 min, in an inert ambient, resulting in the formation of metal silicide layer 11 , a layer such as titanium silicide, tungsten silicide, tantalum silicide, cobalt silicide, or nickel silicide, on the exposed surface of the source/drain and on the top surface of the conductive gate region.
  • This is schematically shown for the top view in FIG. 5A
  • FIG. 5B schematically shows a cross-sectional view of the FINFET device structure at this specific stage of fabrication.
  • Interlevel dielectric (ILD), layer 10 comprised of silicon oxide, or boro-phosphosilicate glass (BPSG), is next deposited to a thickness between about 1000 to 5000 Angstroms, via LPCVD or PECVD procedures. Planarization of ILD layer 10 , is accomplished via a CMP procedure resulting in a smooth top surface topography for ILD layer 10 .
  • a photoresist shape, not shown in the drawings, is next used as a mask allowing a RIE procedure to define contact openings 12 , in ILD layer 10 , exposing a portion of the top surface of metal silicide layer 11 , in a region in the metal silicide layer is located on source/drain region 7 .
  • the RIE procedure is performed using CHF 3 as an etchant for ILD layer 10 .
  • the result of these procedures are shown schematically as top view 6 A, and cross-sectionally using FIG. 6B .
  • Metal contact structures can now be formed in contact openings 12 .
  • the result of the above process sequence is a double gate FINFET device structure, defined from a SOI layer, featuring a low resistance, necked channel region designed to enhance device performance, and featuring a wrap up insulator spacer, used to protect against gate to source/drain shorts.

Abstract

A method of fabricating a double gate, FINFET device structure in a silicon on insulator layer, in which the channel region formed in the SOI layer is defined with a narrowed, or necked shape, and wherein a composite insulator spacer is formed on the sides of the device structure, has been developed. A FINFET device structure shape is formed in an SOI layer via anisotropic RIE procedures, followed by a growth of a silicon dioxide gate insulator layer on the sides of the FINFET device structure shape. A gate structure is fabricated traversing the device structure and overlying the silicon dioxide gate insulator layer located on both sides of the narrowest portion of channel region. After formation of a source/drain region in wider, non-channel regions of the FINFET device structure shape, composite insulator spacers are formed on the sides of the FINFET shape and on the sides of the gate structure. Metal silicide is next formed on source/drain regions resulting in a FINFET device structure featuring a narrow channel region, and surrounded by composite insulator spacers located on the sides of the device structure.

Description

    RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 10/835,789, filed Apr. 30, 2004, and entitled, “Method of Fabricating a Necked Finfet Device,” which is hereby incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • (1) Field of the Invention
  • The present invention relates to methods used to fabricate semiconductor devices, and more specifically to a process sequence used top fabricate a FINFET device, a field effect transistor formed on an silicon on insulator (SOI) layer, with the mesa type device comprised with fin like features.
  • (2) Description of Prior Art
  • Micro-miniaturization, or the ability to fabricate semiconductor devices comprised with sub-micron features, have allowed performance increases for devices comprised with the sub-micron features to be realized, while the manufacturing cost of a specific semiconductor chip formed with sub-micron features has been reduced. The decrease in performance degrading junction capacitance as a result of the use of sub-micron features, as well as the ability to obtain a greater number of semiconductor chips, still providing circuit densities comparable to counterpart semiconductor chips formed with larger features, have made the increased performance, and decreased fabrication cost objectives achievable. However as device features shrink specific parameters such as short channel effects, punch through, and leakage currents become more prevalent for devices formed with sub-micron features than for counterparts formed with larger features.
  • This invention will describe a process sequence in which device performance is further improved via additional decreases in junction capacitance via formation of the sub-micron type device on a silicon on insulator (SOI) layer of the device. A FINFET device defined in the SOI layer, with only the needed elements of the device now overlying the insulator layer of the SOI layer, results in a reduction in capacitance and thus increased performance when compared to counterpart FET devices fabricating within a semiconductor substrate and thus presenting higher parasitic junction capacitance. The ability to form the FINFET device on an SOI layer also reduces punch through leakage and short channel effects when compared to devices formed in a semiconductor substrate. However to maintain a narrow channel region for the mesa like FINFET device the portion of conductive material between the source and drain regions has to be minimized. This can result in unwanted channel resistance, adversely influencing device performance. Therefore a process and design for a FINFET device, reported in this present invention, will describe a necked channel region in which only a portion of conductive material located between the source and drain region will be necked or narrowed, while the other portions of the conductive region located between the source and drain regions will be wider thus minimizing the channel resistance of the FINFET device. In addition this invention will describe a procedure used to form a wrap up insulator spacer that will protect the exposed sides of the raised, FINFET device from a silicide procedure applied to source/drain regions. Prior art, such as Muller et al, in U.S. Pat. No. 6,252,284B1, Shirasaki, in U.S. Pat. No. 4,996,574, Yuzurihara et al, in U.S. Pat. No. 5,428,237, Burroughes et al, in U.S. Pat. No. 5,701,016, Kitajama, in U.S. Pat. No. 5,309,010, and Ohmi et al, in U.S. Pat. No. 6,242,783B1, describe methods of forming FINFET type devices, however none of these prior arts disclose the unique features of this invention, such as the necked channel region, and the wrap up insulator spacer.
  • SUMMARY OF THE INVENTION
  • It is an object of this invention to fabricate a mesa structure in a SOI layer, with the mesa structure comprising a double gate FINFET device.
  • It is another object of this invention to reduce FINFET channel resistance via formation of a necked portion of channel region, only in a portion of a wider conductive region located between source and drain regions.
  • It is still another object of this invention to form a wrap up insulator spacer on the sides of the FINFET device to prevent silicide formation on exposed sides of the mesa like FINFET device.
  • In accordance with the present invention a method of fabricating a double gate FINFET device structure in a SOI layer, wherein features such as a necked channel region and a wrap up insulator spacer are employed to improve device performance, is described. Definition of the silicon layer component of the SOI layer, and of an overlying hard mask insulator layer, results in a raised structure comprised of a silicon source/drain shapes connected by a narrower channel region shape wherein a center portion of the raised silicon channel region shape is necked or narrowed. A thermal oxidation procedure results in the formation of a gate insulator layer on both sides of the necked channel region shape, followed by definition of a conductive gate structure formed normal in direction to the channel region shape, overlying the gate insulator layers located on both sides of the necked portion of the channel region shape. Removal of the hard mask insulator layer from portions of the silicon source/drain shapes is followed by formation of a source/drain region in the silicon source/drain shapes. A wrap up, composite insulator spacer is next formed on the sides of the raised silicon structure, protecting against a procedure used to form metal silicide on exposed portions of source/drain regions.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The object and other advantages of this invention are best described in the preferred embodiment with reference to the attached drawings that include:
  • FIGS. 1B, 2B, 2C, 3, 4B, 4C, 5B, and 6B, which schematically in cross-sectional style show key stages used to fabricate the double gate, FINFET device structure described in this present invention.
  • FIGS. 1A, 2A, 4A, 5A and 6A, which schematically show a top view of the double gate FINFET device structure at specific stages of fabrication.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The method of fabricating a double gate FINFET device structure, defined in an SOI layer, wherein features such as a necked channel region and a wrap up insulator spacer are employed to reduce channel resistance and to prevent silicide formation on the sides of the FINFET device structure, will now be described in detail. Semiconductor substrate 1, comprised of single crystalline silicon with a <100> crystallographic orientation, is used and schematically shown in cross-sectional style in FIG. 1B. Silicon layer 3, the silicon component of SOI layer 3, is formed via oxygen implantation into a portion of semiconductor substrate 1, followed by an anneal procedure which results in the formation of insulator layer 2, underlying an non-implanted, and non-oxidized silicon layer 3. Insulator layer 2, is comprised of silicon dioxide at a thickness between about 100 to 2000 Angstroms, while silicon layer 3, the top portion of semiconductor substrate 1, overlying insulator layer 2, is formed at a thickness between about 100 to 2000 Angstroms. If desired the SOI configuration can be obtained via bonding of a first semiconductor substrate to the top surface of an insulator layer located on a second semiconductor substrate. Removal of the bottom portion of the second semiconductor substrate, via chemical mechanical polishing (CMP), procedures, results in a remaining portion of the second semiconductor substrate, silicon layer 3, on the insulator layer, insulator layer 2, of the first semiconductor substrate, again resulting in the desired SOI configuration.
  • The attainment of the mesa structure in silicon layer 3, to be used to accommodate the FINFET device structure is next addressed and schematically shown as a top view in FIG. 1A, and as a cross-sectional view in FIG. 1B. Insulator layer 4, comprised of silicon oxide at a thickness between about 10 to 500 Angstroms, to be used as a hard mask layer, is deposited on silicon layer 3, via low pressure chemical vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD), procedures. A photoresist shape, not shown in the drawings is then used as an etch mask to define the FINFET shape in silicon layer 3. This is accomplished via an anisotropic reactive ion etch (RIE), procedure, using CHF3 as an etchant for insulator layer 4, and using Cl2 as a selective etchant for silicon layer 3, with the silicon etch cycle selectively terminating at the appearance of underlying insulator layer 2. The silicon shape is comprised with wide regions 40, to be used to accommodate the subsequent source/drain regions of the FINFET device structure, while region 30, located between regions 40, will be used to accommodate the device channel region. To optimize device characteristics for a FINFET device comprised with a double gate configuration, or a gate structure located on each side of the channel region, a narrow channel width is desired to optimize device parameters such as sub-threshold voltage. However if the channel width remains narrow for the entire length between the source/drain region, or between regions 40, a larger than desired channel resistance will result, adversely influencing device performance, thus negating the performance benefits obtained from forming the device in an SOI layer. Therefore a novel design featuring necked channel region 30, is formed only in the portion of the channel region where an overlying gate structure will be subsequently defined, while the remaining portions of the raised silicon channel widens as it approaches regions 40. The width of channel region 30, at the narrowest location, the location in which the overlying gate structure will be formed, is between about 0.01 to 0.02 um, while the wider portions of the channel region, portions not to be covered by the subsequent gate structure, are between about 0.20 to 1.0 um. The result of the silicon definition procedure is schematically shown as a top view in FIG. 1A, and as a cross-sectional view in FIG. 1B.
  • After removal of the photoresist shape used for definition of the silicon shape, via plasma oxygen ashing procedures, gate insulator layer 5, is thermally grown on the sides of the raised silicon structure. Gate insulator layer 5, comprised of silicon dioxide, is obtained at a thickness between about 6 to 100 Angstroms, via thermal oxidation procedures performed at a temperature between about 500 to 1200° C., in an oxygen-steam ambient. A conductive layer such as polysilicon, is next deposited via LPCVD procedures to a thickness between about 300 to 2000 Angstroms. A photoresist shape, not shown in the drawings, is next used as an etch mask to allow an anisotropic RIE procedure, using Cl2 as an etchant, to define gate structure 6. This is shown schematically in top view 2A, in which gate structure 6, is located on insulator layer 4, overlying the top surface of narrow portion 30, of the channel region, while directly overlying gate insulator layer 5, on each side of narrow channel region 30. The presence of gate structure 6, on gate insulator layer 5, on each side of the narrow channel region allows formation of a double gate device to be realized. Cross-sectional views of the FINFET device structure at this stage of fabrication are schematically shown in FIGS. 2B and 2C. The photoresist shape used for definition of gate structure 6, is removed via plasma oxygen ashing procedures followed by a final wet clean cycle. The wet clean cycle, comprised with a buffered hydrofluoric acid dip, removes portions of gate insulator layer 5, not covered by gate structure 6.
  • Selective removal of the portions of hard mask, insulator layer 4, not covered by gate structure 6, is next accomplished via a RIE procedure, using CHF3 as a selective etchant for insulator layer 4, exposing regions of silicon layer 3, to be used for FINFET source/drain regions. Implantation of arsenic or phosphorous ions, performed at an energy between about 0.1 to 5 KeV, and at a dose between about 1E19 to 5E20 atoms/cm2, is employed to create source/drain regions 7, in non-channel portions of silicon layer 3. The ability to form the source/drain regions, butting insulator layer 2, result in less junction capacitance, and thus increased device performance, when compared to counterpart devices formed in a semiconductor substrate. The result of these procedures is schematically shown in FIG. 3. The N type source/drain region 7, is used if an N channel, or NMOS FINFET device is desired. However if a P channel, of PMOS FINFET device is needed, source/drain regions 7, can be P type regions, obtained via implantation of P type ions such as boron.
  • To further enhance the performance of the FINFET device via reduction in source/drain resistance, metal silicide contact regions are formed on top portions of the source/drain region. However selective formation of a metal silicide layer on exposed portions of silicon or polysilicon, can result in metal silicide formation on the sides of silicon layer 3, and on the exposed sides of conductive gate structure 6, resulting in unwanted gate to substrate bridging or shorting. Therefore prior to initiating a metal silicide formation procedure, insulator sidewall spacers are formed on vertical sides of defined silicon layer 3, as well as on the exposed sides of conductive, or polysilicon gate structure 6. A composite insulator spacer, comprised of an underlying silicon oxide component, and an overlying silicon nitride component, is next formed and schematically shown in cross-sectional style using FIG. 4B, and schematically shown as a top view in FIG. 4A. First silicon oxide layer 8, is conformally deposited, at a thickness between about 50 to 200 Angstroms, via LPCVD or PECVD procedures, followed by conformal deposition of silicon nitride layer 9, at a thickness between about 100 to 1000 Angstroms, again via use of LPCVD or PECVD procedures. An anisotropic RIE procedure using Cl2 or CF4 as an etchant for silicon nitride layer 9, and using CHF3 as an etchant for silicon oxide layer 8, is employed to define the composite insulator spacers on the sides of defined silicon layer 3, and on the sides of gate structure 6, exposing the top surface of source/drain region 7. The composite insulator spacers, referred to as wrap up spacers, wrap around all exposed sides of the defined FINFET shape in silicon layer 3, as well as wrapping around the sides of gate structure 6.
  • The desired metal silicide layer can now be selectively formed on exposed portions of source/drain region 7, as well as on the top portion of conductive gate structure 6, without risk of source/drain to gate shorting. A metal layer such as titanium, tungsten, tantalum, cobalt, or nickel, is deposited via plasma vapor deposition (PVD), procedures, to a thickness between about 100 to 500 Angstroms. An anneal procedure is next performed at a temperature between about 450 to 950° C., for a time between about 0.01 to 10 min, in an inert ambient, resulting in the formation of metal silicide layer 11, a layer such as titanium silicide, tungsten silicide, tantalum silicide, cobalt silicide, or nickel silicide, on the exposed surface of the source/drain and on the top surface of the conductive gate region. Portions of the metal layer residing on insulator surfaces such as surfaces of the composite insulator spacers, remain unreacted and are selectively removed via wet etch procedures using a solution comprised of H2SO4—NHOH4—HCl—H2O2, resulting in metal silicide layer 11, only overlying portions of source/drain region 7, and conductive gate 6. This is schematically shown for the top view in FIG. 5A, while FIG. 5B, schematically shows a cross-sectional view of the FINFET device structure at this specific stage of fabrication.
  • Interlevel dielectric (ILD), layer 10, comprised of silicon oxide, or boro-phosphosilicate glass (BPSG), is next deposited to a thickness between about 1000 to 5000 Angstroms, via LPCVD or PECVD procedures. Planarization of ILD layer 10, is accomplished via a CMP procedure resulting in a smooth top surface topography for ILD layer 10. A photoresist shape, not shown in the drawings, is next used as a mask allowing a RIE procedure to define contact openings 12, in ILD layer 10, exposing a portion of the top surface of metal silicide layer 11, in a region in the metal silicide layer is located on source/drain region 7. The RIE procedure is performed using CHF3 as an etchant for ILD layer 10. The result of these procedures are shown schematically as top view 6A, and cross-sectionally using FIG. 6B. Metal contact structures, not shown in the drawings, can now be formed in contact openings 12. The result of the above process sequence is a double gate FINFET device structure, defined from a SOI layer, featuring a low resistance, necked channel region designed to enhance device performance, and featuring a wrap up insulator spacer, used to protect against gate to source/drain shorts.
  • While this invention has been particularly shown and described with reference to, the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of this invention.

Claims (7)

1. A FINFET device structure, comprising:
an insulator layer on a semiconductor substrate;
a silicon shape on said insulator layer, comprised with two wide silicon shapes connected by a necked, narrower silicon component, with a narrowest portion of said necked, narrower silicon component used as a FINFET channel region, located in the center of said necked, narrower silicon component;
a silicon dioxide gate insulator layer located on sides of said silicon shape;
a polysilicon gate structure traversing an insulator shape, with said polysilicon gate structure located on said narrowest portion of said necked, narrower silicon component, interfacing said silicon dioxide gate insulator layer located on both sides of said narrowest portion of said narrower silicon shape;
a source/drain region located in said two wide silicon shapes;
a composite insulator spacer on regions of said silicon shape not covered by said polysilicon gate structure, and on sides of said polysilicon gate structure; and
metal silicide on said two wide silicon shapes.
2. The FINFET device structure of claim 1, wherein said silicon shape is comprised at a thickness between about 100 to 2000 Angstroms.
3. The FINFET device structure of claim 1, wherein said narrowest portion of said necked, narrow silicon component, is comprised with a width between about 0.01 to 0.20 um.
4. The FINFET device structure of claim 1, wherein the thickness of said silicon dioxide gate insulator layer, located on sides of said silicon shape, is between about 6 to 100 Angstroms.
5. The FINFET device structure of claim 1, wherein the thickness of said polysilicon gate structure is between about 300 to 2000 Angstroms.
6. The FINFET device structure of claim 1, wherein said composite insulator spacer is comprised with an underlying silicon oxide component at a thickness between about 50 to 200 Angstroms, and of an overlying silicon nitride component at a thickness between about 100 to 1000 Angstroms.
7. The FINFET device structure of claim 1, wherein said metal silicide layer is comprised of either titanium silicide, tantalum silicide, tungsten silicide, cobalt silicide, or nickel silicide.
US11/548,772 2004-04-30 2006-10-12 Necked Finfet device Abandoned US20070063261A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/548,772 US20070063261A1 (en) 2004-04-30 2006-10-12 Necked Finfet device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/835,789 US7122412B2 (en) 2004-04-30 2004-04-30 Method of fabricating a necked FINFET device
US11/548,772 US20070063261A1 (en) 2004-04-30 2006-10-12 Necked Finfet device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/835,789 Division US7122412B2 (en) 2004-04-30 2004-04-30 Method of fabricating a necked FINFET device

Publications (1)

Publication Number Publication Date
US20070063261A1 true US20070063261A1 (en) 2007-03-22

Family

ID=35308597

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/835,789 Active 2024-05-26 US7122412B2 (en) 2004-04-30 2004-04-30 Method of fabricating a necked FINFET device
US11/548,772 Abandoned US20070063261A1 (en) 2004-04-30 2006-10-12 Necked Finfet device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/835,789 Active 2024-05-26 US7122412B2 (en) 2004-04-30 2004-04-30 Method of fabricating a necked FINFET device

Country Status (1)

Country Link
US (2) US7122412B2 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090078999A1 (en) * 2007-09-20 2009-03-26 Anderson Brent A Semiconductor device structures with floating body charge storage and methods for forming such semiconductor device structures.
US20090159967A1 (en) * 2007-12-19 2009-06-25 Henry Litzmann Edwards Semiconductor device having various widths under gate
US20100267172A1 (en) * 2009-04-20 2010-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of Shallow Trench Isolation Using Chemical Vapor Etch
US20110057247A1 (en) * 2009-09-08 2011-03-10 Silicon Storage Technology, Inc. FIN-FET Non-Volatile Memory Cell, And An Array And Method Of Manufacturing
US20110210393A1 (en) * 2010-03-01 2011-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finfet device
CN103137478A (en) * 2011-11-21 2013-06-05 中芯国际集成电路制造(上海)有限公司 Manufacturing method and structure of fin field-effect transistor (FinFET) device
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US20130334595A1 (en) * 2012-06-14 2013-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a field effect transistor
US8643066B2 (en) 2005-10-25 2014-02-04 Freescale Semiconductor, Inc. Multiple device types including an inverted-T channel transistor and method therefor
US8809178B2 (en) 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US8912612B2 (en) * 2013-02-25 2014-12-16 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
US9312179B2 (en) 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
US20170133494A1 (en) * 2015-03-03 2017-05-11 International Business Machines Corporation Semiconductor device with low band-to-band tunneling
TWI716492B (en) * 2015-12-15 2021-01-21 台灣積體電路製造股份有限公司 Fin-type field effect transistor device and method of fabricating a fin-fet device
US11152506B1 (en) 2020-06-15 2021-10-19 Texas Instruments Incorporated FinFET with lateral charge balance at the drain drift region

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6946377B2 (en) * 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100532564B1 (en) * 2004-05-25 2005-12-01 한국전자통신연구원 Multiple-gate MOS transistor and a method for manufacturing the same
KR100555569B1 (en) * 2004-08-06 2006-03-03 삼성전자주식회사 Semiconductor device having the channel area restricted by insulating film and method of fabrication using the same
US8259286B2 (en) * 2004-12-21 2012-09-04 Carnegie Mellon University Lithography and associated methods, devices, and systems
US7470573B2 (en) * 2005-02-18 2008-12-30 Sharp Laboratories Of America, Inc. Method of making CMOS devices on strained silicon on glass
US9685524B2 (en) 2005-03-11 2017-06-20 Vishay-Siliconix Narrow semiconductor trench structure
US7339241B2 (en) * 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
TWI489557B (en) 2005-12-22 2015-06-21 Vishay Siliconix High mobility p-channel trench and planar depletion-mode power metal-oxide semiconductor field-effect transistors
US8409954B2 (en) * 2006-03-21 2013-04-02 Vishay-Silconix Ultra-low drain-source resistance power MOSFET
WO2007133775A2 (en) 2006-05-15 2007-11-22 Carnegie Mellon University Integrated circuit, device, system, and method of fabrication
KR100751803B1 (en) 2006-08-22 2007-08-23 삼성전자주식회사 Method of forming a semiconductor device
KR100764059B1 (en) * 2006-09-22 2007-10-09 삼성전자주식회사 Semiconductor device and method for forming thereof
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US8202768B2 (en) 2009-10-07 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
US8900936B2 (en) * 2011-01-31 2014-12-02 International Business Machines Corporation FinFET device having reduce capacitance, access resistance, and contact resistance
US8361854B2 (en) 2011-03-21 2013-01-29 United Microelectronics Corp. Fin field-effect transistor structure and manufacturing process thereof
US8460984B2 (en) 2011-06-09 2013-06-11 GlobalFoundries, Inc. FIN-FET device and method and integrated circuits using such
US8697514B2 (en) 2011-11-10 2014-04-15 International Business Machines Corporation FinFET device
US9412883B2 (en) 2011-11-22 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for MOS capacitors in replacement gate process
US8941214B2 (en) * 2011-12-22 2015-01-27 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9177951B2 (en) * 2014-01-06 2015-11-03 Globalfoundries Inc. Three-dimensional electrostatic discharge semiconductor device
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
KR102320049B1 (en) * 2015-02-26 2021-11-01 삼성전자주식회사 Semiconductor Devices Having a Tapered Active Region
US9837277B2 (en) 2015-08-12 2017-12-05 International Business Machines Corporation Forming a contact for a tall fin transistor
KR102292812B1 (en) * 2015-08-18 2021-08-23 삼성전자주식회사 Semiconductor device
US9530887B1 (en) * 2016-02-25 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor device and manufacturing method thereof
US9882000B2 (en) 2016-05-24 2018-01-30 Northrop Grumman Systems Corporation Wrap around gate field effect transistor (WAGFET)
US9935102B1 (en) 2016-10-05 2018-04-03 International Business Machines Corporation Method and structure for improving vertical transistor
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4996574A (en) * 1988-07-01 1991-02-26 Fujitsu Limited MIS transistor structure for increasing conductance between source and drain regions
US5309010A (en) * 1991-05-27 1994-05-03 Nec Corporation Semiconductor device having improved thin film transistors
US5428237A (en) * 1991-04-26 1995-06-27 Canon Kabushiki Kaisha Semiconductor device having an insulated gate transistor
US5701016A (en) * 1994-11-24 1997-12-23 Kabushiki Kaisha Toshiba Semiconductor device and method for its manufacture
US6071783A (en) * 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
US6242783B1 (en) * 1989-12-02 2001-06-05 Canon Kabushiki Kaisha Semiconductor device with insulated gate transistor
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US20040036126A1 (en) * 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6794720B2 (en) * 1999-06-30 2004-09-21 Kabushiki Kaisha Toshiba Dynamic threshold voltage metal insulator field effect transistor
US6927104B2 (en) * 2003-09-15 2005-08-09 Chartered Semiconductor Manufacturing Ltd. Method of forming double-gated silicon-on-insulator (SOI) transistors with corner rounding
US7227224B2 (en) * 2003-02-28 2007-06-05 Samsung Electronics Co., Ltd. MOS transistor with elevated source and drain structures and method of fabrication thereof

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4996574A (en) * 1988-07-01 1991-02-26 Fujitsu Limited MIS transistor structure for increasing conductance between source and drain regions
US6242783B1 (en) * 1989-12-02 2001-06-05 Canon Kabushiki Kaisha Semiconductor device with insulated gate transistor
US5428237A (en) * 1991-04-26 1995-06-27 Canon Kabushiki Kaisha Semiconductor device having an insulated gate transistor
US5309010A (en) * 1991-05-27 1994-05-03 Nec Corporation Semiconductor device having improved thin film transistors
US5701016A (en) * 1994-11-24 1997-12-23 Kabushiki Kaisha Toshiba Semiconductor device and method for its manufacture
US6071783A (en) * 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
US6794720B2 (en) * 1999-06-30 2004-09-21 Kabushiki Kaisha Toshiba Dynamic threshold voltage metal insulator field effect transistor
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US20040036126A1 (en) * 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US6858478B2 (en) * 2002-08-23 2005-02-22 Intel Corporation Tri-gate devices and methods of fabrication
US7227224B2 (en) * 2003-02-28 2007-06-05 Samsung Electronics Co., Ltd. MOS transistor with elevated source and drain structures and method of fabrication thereof
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6927104B2 (en) * 2003-09-15 2005-08-09 Chartered Semiconductor Manufacturing Ltd. Method of forming double-gated silicon-on-insulator (SOI) transistors with corner rounding

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US8643066B2 (en) 2005-10-25 2014-02-04 Freescale Semiconductor, Inc. Multiple device types including an inverted-T channel transistor and method therefor
US20090078999A1 (en) * 2007-09-20 2009-03-26 Anderson Brent A Semiconductor device structures with floating body charge storage and methods for forming such semiconductor device structures.
US8227301B2 (en) * 2007-09-20 2012-07-24 International Business Machines Corporation Semiconductor device structures with floating body charge storage and methods for forming such semiconductor device structures
US20100087037A1 (en) * 2007-09-20 2010-04-08 International Business Machines Corporation Semiconductor device structures with floating body charge storage and methods for forming such semiconductor device structures
US9484435B2 (en) * 2007-12-19 2016-11-01 Texas Instruments Incorporated MOS transistor with varying channel width
US20090159967A1 (en) * 2007-12-19 2009-06-25 Henry Litzmann Edwards Semiconductor device having various widths under gate
US20100267172A1 (en) * 2009-04-20 2010-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of Shallow Trench Isolation Using Chemical Vapor Etch
US7919335B2 (en) 2009-04-20 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of shallow trench isolation using chemical vapor etch
US8461640B2 (en) * 2009-09-08 2013-06-11 Silicon Storage Technology, Inc. FIN-FET non-volatile memory cell, and an array and method of manufacturing
US20110057247A1 (en) * 2009-09-08 2011-03-10 Silicon Storage Technology, Inc. FIN-FET Non-Volatile Memory Cell, And An Array And Method Of Manufacturing
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20110210393A1 (en) * 2010-03-01 2011-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finfet device
US9224737B2 (en) 2010-03-01 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US10515856B2 (en) 2010-03-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a FinFET, and FinFET formed by the method
US9312179B2 (en) 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
CN103137478A (en) * 2011-11-21 2013-06-05 中芯国际集成电路制造(上海)有限公司 Manufacturing method and structure of fin field-effect transistor (FinFET) device
US8809178B2 (en) 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US9985111B2 (en) * 2012-06-14 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a field effect transistor
US9368596B2 (en) * 2012-06-14 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a field effect transistor
US20160293730A1 (en) * 2012-06-14 2016-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for a Field Effect Transistor
KR101412906B1 (en) 2012-06-14 2014-06-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Structure and method for a field effect transistor
US20130334595A1 (en) * 2012-06-14 2013-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a field effect transistor
US8912612B2 (en) * 2013-02-25 2014-12-16 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
US10038083B2 (en) * 2015-03-03 2018-07-31 International Business Machines Corporation Semiconductor device with low band-to-band tunneling
US9673221B2 (en) * 2015-03-03 2017-06-06 International Business Machines Corporation Semiconductor device with low band-to-band tunneling
US9985099B2 (en) 2015-03-03 2018-05-29 International Business Machines Corporations Semiconductor device with low band-to-band tunneling
US20180226499A1 (en) * 2015-03-03 2018-08-09 International Business Machines Corporation Semiconductor device with low band-to-band tunneling
US10249743B2 (en) * 2015-03-03 2019-04-02 International Business Machines Corporation Semiconductor device with low band-to-band tunneling
US20170133494A1 (en) * 2015-03-03 2017-05-11 International Business Machines Corporation Semiconductor device with low band-to-band tunneling
TWI716492B (en) * 2015-12-15 2021-01-21 台灣積體電路製造股份有限公司 Fin-type field effect transistor device and method of fabricating a fin-fet device
US11152506B1 (en) 2020-06-15 2021-10-19 Texas Instruments Incorporated FinFET with lateral charge balance at the drain drift region
US11916142B2 (en) 2020-06-15 2024-02-27 Texas Instruments Incorporated finFET with lateral charge balance at the drain drift region

Also Published As

Publication number Publication date
US20050253193A1 (en) 2005-11-17
US7122412B2 (en) 2006-10-17

Similar Documents

Publication Publication Date Title
US7122412B2 (en) Method of fabricating a necked FINFET device
US7187046B2 (en) Method of forming an N channel and P channel finfet device on the same semiconductor substrate
USRE45944E1 (en) Structure for a multiple-gate FET device and a method for its fabrication
TWI641140B (en) Self-aligned contacts
US8273626B2 (en) Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR20210134215A (en) Integrated circuit structure with backside dielectric layer having air gap
US11942523B2 (en) Semiconductor devices and methods of forming the same
US20220384276A1 (en) Semiconductor Device and Method
US20040155277A1 (en) Method for manufacturing a semiconductor device including a PIP capacitor and a MOS transistor
US20220238375A1 (en) Self Aligned Contact Scheme
US8329519B2 (en) Methods for fabricating a semiconductor device having decreased contact resistance
US20020117726A1 (en) Methods for manufacturing semiconductor devices and semiconductor devices
TWI831110B (en) Semiconductor device and method
KR102546906B1 (en) Finfet device and method
KR102623749B1 (en) Gapfill structure and manufacturing methods thereof
US11798943B2 (en) Transistor source/drain contacts and methods of forming the same
US11695042B2 (en) Transistor contacts and methods of forming the same
US20230253479A1 (en) Air Spacer and Method of Forming Same
US20230155002A1 (en) Metal gate fin electrode structure and method
US20220293731A1 (en) Semiconductor Device and Method
US20210249531A1 (en) Nanostructure Device and Method
KR20240034113A (en) Integrated circuit devices including a back side power distribution network structure and methods of forming the same
TW202312434A (en) Semiconductor gates and methods of forming the same
TW202335289A (en) Semiconductor device and methods of forming the same
TW202322399A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION