US20070069383A1 - Semiconductor device containing a ruthenium diffusion barrier and method of forming - Google Patents

Semiconductor device containing a ruthenium diffusion barrier and method of forming Download PDF

Info

Publication number
US20070069383A1
US20070069383A1 US11/238,500 US23850005A US2007069383A1 US 20070069383 A1 US20070069383 A1 US 20070069383A1 US 23850005 A US23850005 A US 23850005A US 2007069383 A1 US2007069383 A1 US 2007069383A1
Authority
US
United States
Prior art keywords
layer
substrate
diffusion barrier
gas
ruthenium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/238,500
Inventor
Kenji Suzuki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/238,500 priority Critical patent/US20070069383A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUZUKI, KENJI
Publication of US20070069383A1 publication Critical patent/US20070069383A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Definitions

  • the present invention relates to semiconductor devices and semiconductor processing, and more particularly, to a device containing a ruthenium diffusion barrier used in copper metallization and method of forming and integrating the ruthenium diffusion barrier with bulk copper.
  • Cu metal in multilayer metallization schemes for manufacturing integrated circuits requires the use of a diffusion barrier layer to promote adhesion and growth of the Cu layers and to prevent diffusion of Cu into the dielectric materials.
  • Barrier layers that are deposited onto dielectric materials can include refractive materials, such as tungsten (W), molybdenum (Mo), and tantalum (Ta or TaN), that are non-reactive and immiscible in Cu, and can offer low electrical resistivity.
  • Ru ruthenium
  • Cu metallization schemes require new methods for forming advanced Ru diffusion barriers that provide good resistance to Cu diffusion, offer strong adhesion to bulk Cu and the underlying substrate, and promote high Cu plating uniformity over the whole substrate.
  • An embodiment of the invention provides a semiconductor device containing a ruthenium diffusion barrier and bulk Cu. Another embodiment of the invention provides a method of forming and integrating the ruthenium diffusion barrier with bulk Cu.
  • a method for processing a substrate.
  • the method includes forming a Ru diffusion barrier on the substrate by depositing a first Ru layer, modifying the first Ru layer by oxidation, or nitridation, or a combination thereof, and depositing a second Ru layer on the modified first Ru layer, and then plating a bulk Cu layer on the Ru diffusion barrier.
  • the Ru diffusion barrier is treated by exposing the Ru diffusion barrier to a hydrogen-containing plasma or annealing the substrate, or a combination thereof, and/or an ultra thin Cu layer is deposited on the Ru diffusion barrier prior to Cu plating.
  • a glue layer is formed between the Ru diffusion barrier and the substrate.
  • the glue layer contains a tantalum-containing layer (e.g., Ta, TaN, or TaCN, or a combination thereof), a tungsten-containing layer (e.g., W or WN, or a combination thereof), or a manganese-containing layer (e.g., MnO x ).
  • a semiconductor device contains a substrate, a Ru diffusion barrier containing a first Ru layer formed on the substrate, wherein the first Ru layer is oxidized, nitridized, or a combination thereof, and a second Ru layer formed on the first Ru layer, and a bulk Cu layer on the Ru diffusion barrier.
  • the semiconductor device further contains an ultra thin Cu layer between the Ru diffusion barrier and the bulk Cu layer.
  • the semiconductor device further contains a glue layer between the substrate and the Ru diffusion barrier, where the glue layer contains a tantalum-containing layer, a tungsten-containing layer, or a manganese-containing layer.
  • FIG. 1 depicts a schematic view of a deposition system according to an embodiment of the invention
  • FIG. 2 depicts a schematic view of a deposition system according to another embodiment of the invention.
  • FIG. 3 depicts a schematic view of a processing tool for processing a substrate according to embodiments of the invention
  • FIGS. 4A-4D are a process flow diagrams for processing a substrate according to embodiments of the invention.
  • FIGS. 5A-5F schematically show processing of a substrate according to embodiments of the invention.
  • FIGS. 6A-6D schematically show processing of a patterned structure according to embodiments of the invention.
  • a Ru layer can be deposited on the substrate in a chemical vapor deposition (CVD) process by exposing the substrate to a ruthenium carbonyl precursor or a ruthenium organometallic precursor, but this is not required for the invention as other ruthenium-containing precursors capable of forming a Ru metal layer suitable for use as a layer for Cu metallization may be utilized.
  • CVD chemical vapor deposition
  • the ruthenium-containing precursor can be a ruthenium carbonyl precursor, such as Ru 3 (CO) 12 .
  • the ruthenium-containing precursor can be a ruthenium organometallic precursor, such as (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium (Ru(DMPD)(EtCp)), bis(2,4-dimethylpentadienyl) ruthenium (Ru(DMPD) 2 ), or (2,4-dimethylpentadienyl) (methylcyclopentadienyl) ruthenium.
  • organometallic precursors are not required for the invention, as other ruthenium organometallic precursors may be used, including the liquid precursor bis(ethylcyclopentadienyl) ruthenium (Ru(EtCp) 2 ), as well as combinations of these and other precursors.
  • ruthenium organometallic precursors including the liquid precursor bis(ethylcyclopentadienyl) ruthenium (Ru(EtCp) 2 ), as well as combinations of these and other precursors.
  • FIG. 1 illustrates a deposition system 1 for depositing a Ru metal layer on a substrate from a ruthenium-containing precursor according to one embodiment of the invention.
  • the following sections describe the use of a ruthenium carbonyl precursor, Ru 3 (CO) 12 , however as described above, other ruthenium carbonyl precursors and ruthenium organometallic precursors may be used without departing from the scope of the invention.
  • the deposition system 1 comprises a process chamber 10 having a substrate holder 20 configured to support a substrate 25 upon which the ruthenium metal layer is formed.
  • the process chamber 10 is coupled to a metal precursor vaporization system 50 via a vapor precursor delivery system 40 .
  • the process chamber 10 is further coupled to a vacuum pumping system 38 through a duct 36 , wherein the pumping system 38 is configured to evacuate the process chamber 10 , vapor precursor delivery system 40 , and metal precursor vaporization system 50 to a pressure suitable for forming the Ru metal layer on the substrate 25 , and suitable for vaporization of the ruthenium carbonyl precursor 52 in the metal precursor vaporization system 50 .
  • the metal precursor vaporization system 50 is configured to store a ruthenium carbonyl precursor 52 , to heat the ruthenium carbonyl precursor 52 to a temperature sufficient for vaporizing the ruthenium carbonyl precursor 52 , and to introduce ruthenium carbonyl precursor vapor to the vapor precursor delivery system 40 .
  • the ruthenium carbonyl precursor 52 (Ru 3 (CO) 12 ) is a solid under the selected heating conditions in the metal precursor vaporization system 50 , however, those skilled in the art will appreciate that other ruthenium carbonyl precursors and ruthenium organometallic precursors that are liquids under the selected heating conditions can be used without departing from the scope of the invention.
  • the metal precursor vaporization system 50 is coupled to a vaporization temperature control system 54 configured to control the vaporization temperature.
  • the temperature of the ruthenium carbonyl precursor 52 is generally elevated to approximately 40° C. to approximately 45° C. in conventional systems in order to sublime the Ru 3 (CO) 12 .
  • the vapor pressure of the Ru 3 (CO) 12 ranges from approximately 1 to approximately 3 mTorr.
  • a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 52 , or any combination thereof.
  • the CO-containing gas contains CO and optionally an inert carrier gas, such as N 2 , or a noble gas (i.e., He, Ne, Ar, Kr, or Xe), or a combination thereof.
  • a gas supply system 60 is coupled to the metal precursor vaporization system 50 , and it is configured to, for instance, supply CO, a carrier gas, or a mixture thereof, beneath the ruthenium carbonyl precursor 52 via feed line 61 , or over the ruthenium carbonyl precursor 52 via feed line 62 .
  • the gas supply system 60 is coupled to the vapor precursor delivery system 40 downstream from the metal precursor vaporization system 50 to supply the gas to the vapor of the ruthenium carbonyl precursor 52 via feed line 63 as or after it enters the vapor precursor delivery system 40 .
  • the gas supply system 60 can comprise a carrier gas source, a CO gas source, one or more control valves, one or more filters, and a mass flow controller.
  • the flow rate of the CO-containing gas can be between about 0.1 standard cubic centimeters per minute (sccm) and about 1000 sccm.
  • the flow rate of the CO-containing gas can be between about 10 sccm and about 500 sccm.
  • the flow rate of the CO-containing gas can be between about 50 sccm and about 200 sccm.
  • the flow rate of the CO gas can range from approximately 0.1 sccm to approximately 1000 sccm.
  • the flow rate of the CO gas can be between about 1 sccm and about 500 sccm.
  • the process gas containing the ruthenium carbonyl precursor vapor flows through the vapor precursor delivery system 40 until it enters the process chamber 10 via a vapor distribution system 30 coupled thereto.
  • the vapor precursor delivery system 40 can be coupled to a vapor line temperature control system 42 in order to control the vapor line temperature and prevent decomposition of the ruthenium carbonyl precursor vapor as well as condensation of the ruthenium carbonyl precursor vapor.
  • the vapor distribution system 30 which forms part of and is coupled to the process chamber 10 , comprises a vapor distribution plenum 32 within which the vapor disperses prior to passing through a vapor distribution plate 34 and entering a processing zone 33 above substrate 25 .
  • the vapor distribution plate 34 can be coupled to a distribution plate temperature control system 35 configured to control the temperature of the vapor distribution plate 34 .
  • the ruthenium carbonyl precursor vapor thermally decomposes upon adsorption at the substrate surface due to the elevated temperature of the substrate 25 , and a Ru metal layer is formed on the substrate 25 .
  • the substrate holder 20 is configured to elevate the temperature of the substrate 25 by virtue of the substrate holder 20 being coupled to a substrate temperature control system 22 .
  • the substrate temperature control system 22 can be configured to elevate the temperature of the substrate 25 up to approximately 500° C.
  • the process chamber 10 can be coupled to a chamber temperature control system 12 configured to control the temperature of the chamber walls.
  • Ru 3 (CO) 12 can decompose at elevated temperatures to form by-products, such as those illustrated below: Ru 3 (CO) 12 ( ad ) Ru 3 (CO) x ( ad )+(12 ⁇ x )CO( g ) (1) or, Ru 3 (CO) x ( ad ) 3Ru( s )+ x CO( g ) (2) wherein these by-products can adsorb (ad), i.e., condense, on the interior surfaces of the deposition system 1 . The accumulation of material on these surfaces can cause problems from one substrate to the next, such as process repeatability.
  • Ru 3 (CO) 12 can condense on the internal surfaces of the deposition system 1 , viz. Ru 3 (CO) 12 ( g ) Ru 3 (Co) 12 ( ad ) (3).
  • ruthenium carbonyl precursors e.g., Ru 3 (CO) 12
  • the small process window results in very low deposition rate of a metal layer on the substrate 25 .
  • the current inventors have realized that adding a CO gas to the ruthenium carbonyl precursor vapor can reduce the above-mentioned problems that limit the delivery of the ruthenium carbonyl precursor to the substrate.
  • the CO gas is added to the ruthenium carbonyl precursor vapor to reduce dissociation of the ruthenium carbonyl precursor vapor in the gas line, thereby shifting the equilibrium in Equation (1) to the left and reducing premature decomposition of the ruthenium carbonyl precursor in the vapor precursor delivery system 40 prior to delivery of the ruthenium carbonyl precursor to the process chamber 10 .
  • the inventors have shown that addition of the CO gas to the ruthenium carbonyl precursor vapor allows for increasing the vaporization temperature from approximately 40° C. to approximately 100° C., or higher.
  • the elevated temperature increases the vapor pressure of the ruthenium carbonyl precursor, resulting in increased delivery of the ruthenium carbonyl precursor to the process chamber and, hence, increased deposition rate of the metal on the substrate 25 .
  • the inventors have visually observed that flowing a mixture of Ar and the CO gas over or through the ruthenium carbonyl precursor reduces premature decomposition of the ruthenium carbonyl precursor.
  • the addition of CO gas to a Ru 3 (CO) 12 precursor vapor allows for maintaining the Ru 3 (CO) 12 precursor vaporization temperature from approximately 40° C. to approximately 150° C. Alternately, the vaporization temperature can be maintained at approximately 60° C. to approximately 90° C.
  • the relative concentration of the ruthenium carbonyl precursor vapor to the CO gas in the process gas can be utilized to control the decomposition rate of the ruthenium carbonyl precursor on the substrate 25 at a certain substrate temperature.
  • the substrate temperature can be utilized to control the decomposition rate (and thereby the deposition rate) of the metal on the substrate 25 .
  • the amount of CO gas and the substrate temperature can easily be varied to allow for a desired vaporization temperature of the ruthenium carbonyl precursor and for achieving a desired deposition rate of the ruthenium carbonyl precursor on the substrate 25 .
  • the amount of CO gas in the process gas can be selected so that Ru metal deposition on the substrate 25 from a ruthenium carbonyl precursor occurs in a kinetic-limited temperature regime (also commonly referred to as a reaction rate limited temperature regime).
  • a kinetic-limited temperature regime refers to the range of deposition conditions where the deposition rate of a chemical vapor deposition process is limited by the kinetics of the chemical reactions at the substrate surface, typically characterized by a strong dependence of deposition rate on temperature.
  • a mass-transfer limited regime is normally observed at higher substrate temperatures and includes a range of deposition conditions where the deposition rate is limited by the flux of chemical reactants to the substrate surface.
  • a mass-transfer limited regime is characterized by a strong dependence of deposition rate on ruthenium carbonyl precursor flow rate and is independent of deposition temperature.
  • Metal deposition in the kinetic-limited regime normally results in good step coverage and good conformality of the metal layer on patterned substrates. Conformality is commonly defined as the thinnest part of the metal layer on the sidewall of a feature on the patterned substrate divided by the thickest part of the metal layer on the sidewall.
  • the deposition system 1 can further include a control system 80 configured to operate and control the operation of the deposition system 1 .
  • the control system 80 is coupled to the process chamber 10 , the substrate holder 20 , the substrate temperature control system 22 , the chamber temperature control system 12 , the vapor distribution system 30 , the vapor precursor delivery system 40 , the metal precursor vaporization system 50 , and the gas supply system 60 .
  • FIG. 2 illustrates a deposition system 100 for depositing a metal layer, such as a Ru metal layer, on a substrate.
  • the deposition system 100 comprises a process chamber 110 having a substrate holder 120 configured to support a substrate 125 upon which the Ru metal layer is formed.
  • the process chamber 110 is coupled to a precursor delivery system 105 having metal precursor vaporization system 150 configured to store and vaporize a ruthenium carbonyl precursor 152 , and a vapor precursor delivery system 140 configured to transport the vapor of the ruthenium carbonyl precursor 152 to the process chamber 110 .
  • the process chamber 110 comprises an upper chamber section 111 , a lower chamber section 112 , and an exhaust chamber 113 .
  • An opening 114 is formed within lower chamber section 112 , where bottom section 112 couples with exhaust chamber 113 .
  • substrate holder 120 provides a horizontal surface to support substrate (or wafer) 125 , which is to be processed.
  • the substrate holder 120 can be supported by a cylindrical support member 122 , which extends upward from the lower portion of exhaust chamber 113 .
  • the substrate holder 120 comprises a heater 126 coupled to substrate holder temperature control system 128 .
  • the heater 126 can, for example, include one or more resistive heating elements.
  • the heater 126 can, for example, include a radiant heating system, such as a tungsten-halogen lamp.
  • the substrate holder temperature control system 128 can include a power source for providing power to the one or more heating elements, one or more temperature sensors for measuring the substrate temperature or the substrate holder temperature, or both, and a controller configured to perform at least one of monitoring, adjusting, or controlling the temperature of the substrate 125 or substrate holder 120 .
  • the heated substrate 125 can thermally decompose the ruthenium carbonyl precursor vapor, and enable deposition of a Ru metal layer on the substrate 125 .
  • the substrate holder 120 is heated to a pre-determined temperature that is suitable for depositing the desired Ru metal layer or other metal layer onto the substrate 125 .
  • a heater (not shown) coupled to a chamber temperature control system 121 can be embedded in the walls of process chamber 110 to heat the chamber walls to a pre-determined temperature.
  • the heater can maintain the temperature of the walls of process chamber 110 from about 40° C. to about 150° C., or from about 40° C. to about 80° C.
  • a pressure gauge (not shown) is used to measure the process chamber pressure.
  • the process chamber pressure can be between about 1 mTorr and about 200 mTorr. Alternately, the process chamber pressure can be between about 2 mTorr and about 50 mTorr.
  • Vapor distribution system 130 is coupled to the upper chamber section 111 of process chamber 110 .
  • Vapor distribution system 130 comprises a vapor distribution plate 131 configured to introduce precursor vapor from vapor distribution plenum 132 to a processing zone 133 above substrate 125 through one or more orifices 134 .
  • an opening 135 is provided in the upper chamber section 111 for introducing a ruthenium carbonyl precursor vapor from vapor precursor delivery system 140 into vapor distribution plenum 132 .
  • temperature control elements 136 such as concentric fluid channels configured to flow a cooled or heated fluid, are provided for controlling the temperature of the vapor distribution system 130 , and thereby prevent the decomposition or condensation of the ruthenium carbonyl precursor inside the vapor distribution system 130 .
  • a fluid such as water, can be supplied to the fluid channels from a vapor distribution temperature control system 138 .
  • the vapor distribution temperature control system 138 can include a fluid source, a heat exchanger, one or more temperature sensors for measuring the fluid temperature or vapor distribution plate temperature or both, and a controller configured to control the temperature of the vapor distribution plate 131 from about 20° C. to about 150° C.
  • a Ru 3 (CO) 12 precursor the temperature of the vapor distribution plate 131 can be maintained at or above a temperature of about 65° C. to avoid precursor condensation on the plate 131 .
  • a metal precursor vaporization system 150 is configured to hold a ruthenium carbonyl precursor 152 and to evaporate (or sublime) the ruthenium carbonyl precursor 152 by elevating the temperature of the ruthenium carbonyl precursor.
  • vaporization “sublimation” and “evaporation” are used interchangeably herein to refer to the general formation of a vapor (gas) from a solid or liquid precursor, regardless of whether the transformation is, for example, from solid to liquid to gas, solid to gas, or liquid to gas.
  • a precursor heater 154 is provided for heating the ruthenium carbonyl precursor 152 to maintain the ruthenium carbonyl precursor 152 at a temperature that produces a desired vapor pressure of ruthenium carbonyl precursor 152 .
  • the precursor heater 154 is coupled to a vaporization temperature control system 156 configured to control the temperature of the ruthenium carbonyl precursor 152 .
  • the precursor heater 154 can be configured to adjust the temperature of the ruthenium carbonyl precursor 152 from about 40° C. to about 150° C., or from about 60° C. to about 90° C.
  • a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 152 , or any combination thereof.
  • the CO-containing gas contains CO and optionally an inert carrier gas, such as N 2 , or a noble gas (i.e., He, Ne, Ar, Kr, Xe).
  • a CO gas can be added to the inert gas. Alternately, other embodiments contemplate the CO gas replacing the inert gas.
  • a gas supply system 160 is coupled to the metal precursor vaporization system 150 , and it is configured to, for instance, flow the CO gas, the inert gas, or both, over or through the ruthenium carbonyl precursor 152 .
  • gas supply system 160 can also or alternatively be coupled to the vapor precursor delivery system 140 to supply the carrier gas and/or CO gas to the vapor of the metal precursor 152 as or after it enters the vapor precursor delivery system 140 .
  • the gas supply system 160 can comprise a gas source 161 containing an inert carrier gas, a CO gas, or a mixture thereof, one or more control valves 162 , one or more filters 164 , and a mass flow controller 165 .
  • the mass flow rate of the CO-containing gas can range from approximately 0.1 sccm to approximately 1000 sccm.
  • a sensor 166 is provided for measuring the total gas flow from the metal precursor vaporization system 150 .
  • the sensor 166 can, for example, comprise a mass flow controller, and the amount of ruthenium carbonyl precursor vapor delivered to the process chamber 110 can be determined using sensor 166 and mass flow controller 165 .
  • the sensor 166 can comprise a light absorption sensor to measure the concentration of the ruthenium carbonyl precursor in the gas flow to the process chamber 110 .
  • a bypass line 167 can be located downstream from sensor 166 , and it can connect the vapor delivery system 140 to an exhaust line 116 .
  • Bypass line 167 is provided for evacuating the vapor precursor delivery system 140 , and for stabilizing the supply of the ruthenium carbonyl precursor vapor to the process chamber 110 .
  • a bypass valve 168 located downstream from the branching of the vapor precursor delivery system 140 , is provided on bypass line 167 .
  • the vapor precursor delivery system 140 comprises a high conductance vapor line having first and second valves 141 and 142 , respectively. Additionally, the vapor precursor delivery system 140 can further comprise a vapor line temperature control system 143 configured to heat the vapor precursor delivery system 140 via heaters (not shown). The temperatures of the vapor lines can be controlled to avoid condensation of the ruthenium carbonyl precursor vapor in the vapor line. The temperature of the vapor lines can be controlled from about 20° C. to about 100° C., or from about 40° C. to about 90° C.
  • a CO gas can be supplied from a gas supply system 190 .
  • the gas supply system 190 is coupled to the vapor precursor delivery system 140 , and it is configured to, for instance, mix the CO gas with the ruthenium carbonyl precursor vapor in the vapor precursor delivery system 140 , for example, downstream of valve 141 .
  • the gas supply system 190 can comprise a CO gas source 191 , one or more control valves 192 , one or more filters 194 , and a mass flow controller 195 .
  • the mass flow rate of CO gas can range from approximately 0.1 sccm (standard cubic centimeters per minute) to approximately 1000 sccm.
  • Mass flow controllers 165 and 195 , and valves 162 , 192 , 168 , 141 , and 142 are controlled by controller 196 , which controls the supply, shutoff, and the flow of the inert carrier gas, the CO gas, and the ruthenium carbonyl precursor vapor.
  • Sensor 166 is also connected to controller 195 and, based on output of the sensor 166 , controller 195 can control the carrier gas flow through mass flow controller 165 to obtain the desired ruthenium carbonyl precursor flow to the process chamber 110 .
  • the exhaust line 116 connects exhaust chamber 113 to pumping system 118 .
  • a vacuum pump 119 is used to evacuate process chamber 110 to the desired degree of vacuum, and to remove gaseous species from the process chamber 110 during processing.
  • An automatic pressure controller (APC) 115 and a trap 117 can be used in series with the vacuum pump 119 .
  • the vacuum pump 119 can include a turbo-molecular pump (TMP) capable of a pumping speed up to 500 liters per second (and greater). Alternately, the vacuum pump 119 can include a dry roughing pump.
  • TMP turbo-molecular pump
  • the process gas can be introduced into the process chamber 110 , and the chamber pressure can be adjusted by the APC 115 .
  • the APC 115 can comprise a butterfly-type valve or a gate valve.
  • the trap 117 can collect unreacted ruthenium carbonyl precursor material and by-products from the process chamber 110 .
  • three substrate lift pins 127 are provided for holding, raising, and lowering the substrate 125 .
  • the substrate lift pins 127 are coupled to plate 123 , and can be lowered to below the upper surface of substrate holder 120 .
  • a drive mechanism 129 utilizing, for example, an air cylinder provides means for raising and lowering the plate 123 .
  • Substrate 125 can be transferred into and out of process chamber 110 through gate valve 200 and chamber feed-through passage 202 via a robotic transfer system (not shown), and received by the substrate lift pins 127 . Once the substrate 125 is received from the transfer system, it can be lowered to the upper surface of the substrate holder 120 by lowering the substrate lift pins 127 .
  • a controller 180 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs of the processing system 100 as well as monitor outputs from the processing system 100 .
  • the processing system controller 180 is coupled to and exchanges information with process chamber 110 ; precursor delivery system 105 , which includes controller 196 , vapor line temperature control system 143 , and vaporization temperature control system 156 ; vapor distribution temperature control system 138 ; vacuum pumping system 118 ; and substrate temperature control system 128 .
  • the controller 180 is coupled to and exchanges information with the automatic pressure controller 115 for controlling the pressure in the process chamber 110 .
  • a program stored in the memory is utilized to control the aforementioned components of deposition system 100 according to a stored process recipe.
  • processing system controller 180 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Dallas, Tex.
  • the controller 180 may be implemented as a general-purpose computer system that performs a portion or all of the microprocessor-based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive.
  • processors in a multi-processing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
  • the controller 180 includes at least one computer readable medium or memory, such as the controller memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention.
  • Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave (described below), or any other medium from which a computer can read.
  • the present invention includes software for controlling the controller 180 , for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user.
  • software may include, but is not limited to, device drivers, operating systems, development tools, and applications software.
  • Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • the computer code devices of the present invention may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs. Moreover, parts of the processing of the present invention may be distributed for better performance, reliability, and/or cost.
  • Non-volatile media includes, for example, optical disks, magnetic disks, and magneto-optical disks, such as the hard disk or the removable media drive.
  • Volatile media includes dynamic memory, such as the main memory.
  • various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to the processor of the controller for execution.
  • the instructions may initially be carried on a magnetic disk of a remote computer.
  • the remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 180 .
  • the controller 180 may be locally located relative to the deposition system 100 , or it may be remotely located relative to the deposition system 100 .
  • the controller 180 may exchange data with the deposition system 100 using at least one of a direct connection, an intranet, the Internet and a wireless connection.
  • the controller 180 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer).
  • the controller 180 may be coupled to the Internet.
  • another computer i.e., controller, server, etc.
  • the controller 180 may exchange data with the deposition system 100 via a wireless connection.
  • FIG. 3 schematically shows a processing tool for processing a substrate according to embodiments of the invention.
  • the processing tool 800 contains substrate loading chambers 810 and 820 , processing systems 830 - 860 , robotic transfer system 870 , and controller 880 .
  • the processing system 840 can be configured for depositing a Ru metal layer on a substrate in a chemical vapor deposition process.
  • the processing system 840 can, for example, be the deposition systems 1 , 100 depicted in FIGS. 1 and 2 .
  • the processing system 830 can, for example, be a plasma processing system configured for exposing a substrate to plasma. According to an embodiment of the invention, the processing system 830 can be configured for exposing a deposited Ru layer to a hydrogen-containing plasma, an oxygen-containing plasma, a nitrogen-containing plasma, or a plasma containing a noble gas. Embodiments of the invention contemplate use of any plasma processing system capable of forming a plasma for processing a substrate. Several examples of plasma processing systems suitable for processing a substrate according to embodiments of the invention are described in U.S. patent application Ser. No. 11/045,124, titled “METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE”, filed on Jan. 31, 2005, the entire contents of which are hereby incorporated by reference.
  • the processing system 830 can be a TRIASTM SPA processing system from Tokyo Electron Limited, Akasaka, Japan. According to an embodiment of the invention, the processing system 830 can be further configured to anneal the substrate by heating and maintaining the substrate at a temperature between about 100° C. and about 500° C. Furthermore, the plasma processing system 830 can be configured for exposing the substrate to a noble gas, N 2 gas, H 2 gas, O 2 gas, or a combination of two or more thereof, during an annealing process with or without a plasma. As those skilled in the art will readily recognize, in addition to plasma processing a deposited Ru layer, the plasma processing system 830 may also be utilized to clean the substrate of any oxide or contaminants before depositing a Ru layer onto the substrate.
  • an ultra thin Cu layer can be formed on a Ru diffusion barrier in the processing system 850 prior to performing a Cu plating process.
  • the processing system 850 can be configured to carry out physical vapor deposition of an ultra thin Cu layer on the Ru diffusion barrier and can, for example, be configured to carry out ionized physical vapor deposition (IPVD).
  • IPVD systems for depositing a Cu metal layer onto a substrate are well known to those skilled in the art.
  • IPVD systems for depositing a Cu metal layer onto a substrate are well known to those skilled in the art.
  • IPVD systems for depositing a Cu metal layer onto a substrate are well known to those skilled in the art.
  • IPVD systems is described in U.S. Pat. No. 6,287,435.
  • a plating system 890 is operatively coupled to the processing tool 800 through the substrate loading chamber 810 .
  • the plating system 890 can, for example, be configured for performing an electrochemical or electroless plating process for plating a Cu layer onto a substrate containing the Ru diffusion barrier or a substrate containing an ultra thin Cu layer formed on the Ru diffusion barrier. Electrochemical and electroless plating systems are well known to those skilled in the art and are readily available commercially.
  • the processing tool 800 can be configured to expose the substrate to air when transferring the substrate from the substrate loading chamber 810 to plating system 890 .
  • the processing system 860 can be a Cu plating system.
  • the processing system 860 can be configured to process a substrate without exposing the substrate to air.
  • the processing tool 800 can be controlled by a controller 880 .
  • the controller 880 can be coupled to and exchange information with substrate loading chambers 810 and 820 , processing systems 830 - 860 , and robotic transfer system 870 .
  • the controller 880 can further control the plating system 890 .
  • the plating system 890 can contain a separate controller for controlling the functions of the plating system 890 .
  • a program stored in the memory of the controller 880 can be utilized to control the aforementioned components of the processing tool 800 according to a desired process, and to perform any functions associated with monitoring the process.
  • controller 880 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Tex.
  • FIG. 4A is a process flow diagram for processing a substrate according to an embodiment of the invention.
  • the process 400 includes, at 402 , disposing a substrate 502 in a process chamber of a deposition system.
  • the deposition system can, for example, include the depositions systems 1 , 100 described above in FIGS. 1 and 2 .
  • the deposition system can be the processing system 840 of the processing tool 800 in FIG. 3 .
  • the substrate 502 can, for example, be a Si substrate.
  • a Si substrate can be of n- or p-type, depending on the type of device being formed.
  • the substrate can be of any size, for example a 200 mm substrate, a 300 mm substrate, or an even larger substrate. According to an embodiment of the invention, and as further described in FIGS. 5A and 6D , the substrate can be a planar substrate or a patterned substrate containing one or more vias or trenches, or combinations thereof.
  • a first Ru layer 504 is deposited on the substrate 502 in a chemical vapor deposition process, as shown in FIG. 5B .
  • a thickness of the first Ru layer 504 can be between about 1 nm and about 30 nm.
  • a thickness of the first Ru layer 504 can be between about 1.5 nm and about 10 nm.
  • the first Ru layer 504 can be deposited by exposing the substrate 502 to a process gas containing a ruthenium carbonyl precursor vapor and a CO gas.
  • the ruthenium precursor can, for example, be Ru 3 (CO) 12 .
  • the process gas can further contain an inert gas such as N 2 or a noble gas.
  • the noble gas can include He, Ne, Ar, Kr, or Xe, or a combination of two or more thereof.
  • the substrate can, for example, be maintained at a temperature between about 100° C. and about 400° C. during the exposing. Alternately, the substrate can be maintained at a temperature between about 150° C. and about 300° C. during the exposing.
  • the process chamber can be maintained at a pressure between about 0.1 mTorr and about 200 mTorr during the exposing.
  • a pressure between about 0.1 mTorr and about 200 mTorr during the exposing.
  • 1.5, 2.5, and 5 nm thick Ru layers were deposited at a substrate temperature of 165° C. and a process chamber pressure of 15 mTorr.
  • the CO gas flow was 200 sccm and the Ar flow was 10 sccm.
  • the Ru layer 504 can be deposited by exposing the substrate 502 to a process gas containing a ruthenium organometallic precursor.
  • the ruthenium organometallic precursor can, for example, be selected from any of the above-mentioned ruthenium organometallic precursors.
  • the process gas can further contain an inert gas such as N 2 or a noble gas, a reducing gas (e.g., H 2 or O 2 ), or a combination thereof.
  • the first Ru layer 504 is modified by oxidation, nitridation, or a combination thereof, to form a modified Ru layer 506 shown in FIG. 5C .
  • the oxidation/nitridation includes incorporation of oxygen/nitrogen into at least a portion of the first Ru layer 504 .
  • the modifying step 406 at least partially removes contaminants from the Ru layer 504 .
  • the oxidation of the Ru layer 504 can include exposing the first Ru layer to air, an oxygen-containing ambient, or an oxygen-containing plasma.
  • the oxygen-containing ambient contains O 2 gas.
  • the oxygen-containing plasma can, for example, contain O 2 and a noble gas.
  • the nitridation of the Ru layer 504 can include exposing the first Ru layer to a nitrogen-containing plasma.
  • the nitrogen-containing plasma can, for example, contain N 2 , or NH 3 , or a combination thereof, and a noble gas.
  • Oxidation and nitridation in step 406 may be performed simultaneously, may at least partly overlap, or may be performed sequentially in two or more substeps of the step 406 . In one example, the oxidation and nitridation may be performed simultaneously using plasma excited O 2 and N 2 .
  • the modifying step 406 can be performed at a gas pressure between about 10 mTorr and about 1000 Torr. Alternately, the modifying step 406 can be performed at a gas pressure between about 100 mTorr and about 10 Torr. Step 406 may, for example, be carried out in processing system 830 of the processing tool 800 in FIG. 3 .
  • a second Ru layer 508 is deposited on the modified first Ru layer 506 in a second chemical vapor deposition process, as shown in FIG. 5D .
  • the modified first Ru layer 506 and second Ru layer 508 collectively form the Ru diffusion barrier 509 .
  • the first and second CVD processes in steps 404 and 408 may be performed using the same ruthenium precursor and same or different processing conditions or, alternately, they may be performed using different ruthenium precursors and different processing conditions.
  • a thickness of the second Ru layer 508 can be between about 1 nm and about 30 nm.
  • a thickness of the second Ru layer 508 can be between about 1.5 nm and about 10 nm.
  • a bulk Cu layer 510 shown in FIG. 5E is plated on the Ru diffusion barrier 509 containing the layers 506 and 508 .
  • the bulk Cu layer 510 can, for example, be plated using the processing system 860 or the plating system 890 described in FIG. 3 .
  • a Ru diffusion barrier containing a modified first Ru layer and a second Ru layer provides good resistance to Cu diffusion, provides strong adhesion to plated bulk Cu and the substrate, and promotes high Cu plating uniformity over the whole substrate.
  • the modified first Ru layer provides good resistance to Cu diffusion and the second Ru layer provides good adhesion to plated bulk Cu.
  • FIG. 4B is a process flow diagram for processing a substrate according to another embodiment of the invention.
  • the steps 422 - 428 correspond to steps 402 - 408 of the process flow 400 depicted in FIG. 4A .
  • the process flow 420 in FIG. 4B further contains a step 430 for treating the second Ru layer of the Ru diffusion barrier prior to a Cu plating step 432 .
  • the treating step 430 increases the adhesion between the bulk Cu layer 510 and the Ru diffusion barrier 509 and can further improve Cu plating uniformity from the substrate edge regions to the substrate center regions. It is contemplated that the treating step 430 at least partially removes contaminants from the second Ru layer.
  • the treating can include exposing the Ru diffusion barrier 509 to a hydrogen-containing plasma, or annealing the substrate 502 , or a combination thereof.
  • the hydrogen-containing plasma can contain H 2 .
  • the hydrogen-containing plasma can contain H 2 and an inert gas.
  • the inert gas can, for example, be a noble gas.
  • the annealing may be performed at a substrate temperature between about 100° C. and about 500° C.
  • the Ru diffusion barrier layer may be exposed to a noble gas, N 2 gas, H 2 gas, or a combination thereof.
  • the treating step 430 may, for example, be carried out in processing system 830 of the processing tool 800 in FIG.
  • FIG. 4C is a process flow diagram for processing a substrate according to still another embodiment of the invention.
  • the steps 442 - 448 correspond to steps 402 - 408 of the process flow 400 depicted in FIG. 4A .
  • the process flow 440 in FIG. 4C further contains a step 450 for forming an ultra thin Cu layer 512 on the second Ru layer 508 , as shown in FIG. 5F .
  • the ultra thin Cu layer 512 can, for example, be deposited by IPVD using the processing system 850 in FIG. 3 .
  • the thickness of the ultra thin Cu layer 512 can, for example, between about 1 nm and about 30 nm.
  • the ultra thin Cu layer 512 may be thinner than a conventional Cu seed layer used for Cu plating, where the thickness is commonly greater than about 50 nm.
  • a bulk Cu layer 514 is plated on the ultra thin Cu layer 512 from step 450 .
  • the bulk Cu layer 514 can, for example, be plated using the processing system 860 or the plating system 890 described in FIG. 3 .
  • FIG. 4D is a process flow diagram for processing a substrate according to yet another embodiment of the invention.
  • the steps 462 - 470 correspond to steps 422 - 430 of the process flow 420 depicted in FIG. 4B .
  • the process flow 460 in FIG. 4D further contains a step 472 for forming an ultra thin Cu layer 512 on the second Ru layer 508 , as shown in FIG. 5F .
  • the treating step 470 and forming step 472 of FIG. 4D are described above in step 430 of process flow 420 in FIG. 4B and step 450 of process flow 440 in FIG. 4C , respectively.
  • a bulk Cu layer 514 is plated on the ultra thin Cu layer 512 from step 472 .
  • the processing tool 800 depicted in FIG. 3 is configured for depositing the first Ru layer 504 on a substrate in the processing system 840 , modifying the Ru layer 504 in the processing system 830 , depositing the second Ru layer 508 in the processing system 840 , and plating the Cu layer 510 onto the second Ru layer 508 in processing systems 860 or 890 .
  • the processing system 840 may be utilized for depositing the first and second Ru layers 504 , 508 and for modifying the first Ru layer 504 .
  • the processing tool 800 may be configured for depositing the ultra thin Cu layer 512 in the processing systems 850 .
  • the ultra thin Cu layer 512 can reduce the effect of the terminal (‘resistive substrate’) effect that is commonly encountered in electrochemical plating processing where a non-uniform thickness of the plated Cu layer over the whole substrate (wafer) is observed.
  • the terminal effect is the tendency for the current density to be non-uniform as a result of the ohmic potential drop associated with conducting current from the substrate edge to the entire substrate surface through a thin resistive layer. This problem can be more severe for a highly resistive non-Cu (e.g., Ru) layer than a lower resistivity Cu layer.
  • highly resistive non-Cu e.g., Ru
  • the sheet resistance of a non-Cu layer can be orders of magnitude higher than that of today's Cu seed layers and straightforward extension of methods currently used to manipulate current distribution (e.g., electrolyte conductivity) generally may not be adequate to combat the terminal effect experienced using a non-Cu seed layer.
  • FIGS. 6A-6D schematically show processing of a patterned structure according to an embodiment of the invention.
  • FIG. 6A shows a patterned structure 601 containing a first metal layer 610 and a patterned layer 612 containing an opening 620 .
  • the patterned layer 612 can, for example, be a dielectric material.
  • the structure 601 further contains a Ru diffusion barrier 617 containing an modified first Ru layer 614 on the patterned layer 612 and the first metal layer 610 and a second Ru layer 616 formed on the modified first Ru layer 614 .
  • the patterned structures 601 - 604 can further contain a glue layer formed on the exposed surface of the patterned layer 612 and the first metal layer 610 , underneath the modified first Ru layer 614 .
  • the glue layer can provide for better adhesion between the first Ru layer 614 and the underlying substrate.
  • FIG. 6B shows a patterned structure 602 containing a plated Cu layer 618 formed by Cu plating of the patterned structure 601 of FIG. 6A according to an embodiment of the invention.
  • FIG. 6C shows a patterned structure 603 .
  • the patterned structure 603 contains an ultra thin Cu layer 622 formed on the Ru diffusion barrier 617 .
  • FIG. 6D shows a patterned structure 604 containing a plated Cu layer 624 formed by Cu plating of the patterned structure 603 of FIG. 6C according to an embodiment of the invention.

Abstract

A semiconductor device containing a ruthenium diffusion barrier and a method of forming and integrating the ruthenium diffusion barrier with bulk Cu. The method includes forming the Ru diffusion barrier by depositing a first Ru layer onto a substrate in a first CVD process, modifying the first Ru layer by oxidation, or nitridation, or a combination thereof, depositing a second Ru layer on the modified first Ru layer, and plating a Cu layer onto the Ru diffusion barrier. According to one embodiment of the invention, the Ru diffusion barrier is treated and/or an ultra thin Cu layer deposited on the Ru diffusion barrier prior to Cu plating.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor devices and semiconductor processing, and more particularly, to a device containing a ruthenium diffusion barrier used in copper metallization and method of forming and integrating the ruthenium diffusion barrier with bulk copper.
  • BACKGROUND OF THE INVENTION
  • The use of copper (Cu) metal in multilayer metallization schemes for manufacturing integrated circuits requires the use of a diffusion barrier layer to promote adhesion and growth of the Cu layers and to prevent diffusion of Cu into the dielectric materials. Barrier layers that are deposited onto dielectric materials can include refractive materials, such as tungsten (W), molybdenum (Mo), and tantalum (Ta or TaN), that are non-reactive and immiscible in Cu, and can offer low electrical resistivity.
  • Recently, ruthenium (Ru) has been identified as a potential diffusion barrier layer for Cu metallization since it is expected to behave similarly to the above-mentioned refractory metals. Ru layers may be deposited by chemical vapor deposition processing which can enable low-temperature conformal deposition over high-aspect-ratio structures. However, integration of Ru into Cu metallization schemes requires new methods for forming advanced Ru diffusion barriers that provide good resistance to Cu diffusion, offer strong adhesion to bulk Cu and the underlying substrate, and promote high Cu plating uniformity over the whole substrate.
  • SUMMARY OF THE INVENTION
  • An embodiment of the invention provides a semiconductor device containing a ruthenium diffusion barrier and bulk Cu. Another embodiment of the invention provides a method of forming and integrating the ruthenium diffusion barrier with bulk Cu.
  • According to an embodiment of the invention, a method is provided for processing a substrate. The method includes forming a Ru diffusion barrier on the substrate by depositing a first Ru layer, modifying the first Ru layer by oxidation, or nitridation, or a combination thereof, and depositing a second Ru layer on the modified first Ru layer, and then plating a bulk Cu layer on the Ru diffusion barrier.
  • According to one embodiment of the invention, the Ru diffusion barrier is treated by exposing the Ru diffusion barrier to a hydrogen-containing plasma or annealing the substrate, or a combination thereof, and/or an ultra thin Cu layer is deposited on the Ru diffusion barrier prior to Cu plating.
  • According to still another embodiment of the invention, a glue layer is formed between the Ru diffusion barrier and the substrate. The glue layer contains a tantalum-containing layer (e.g., Ta, TaN, or TaCN, or a combination thereof), a tungsten-containing layer (e.g., W or WN, or a combination thereof), or a manganese-containing layer (e.g., MnOx).
  • According to an embodiment of the invention, a semiconductor device is provided. The semiconductor device contains a substrate, a Ru diffusion barrier containing a first Ru layer formed on the substrate, wherein the first Ru layer is oxidized, nitridized, or a combination thereof, and a second Ru layer formed on the first Ru layer, and a bulk Cu layer on the Ru diffusion barrier.
  • According to another embodiment of the invention, the semiconductor device further contains an ultra thin Cu layer between the Ru diffusion barrier and the bulk Cu layer.
  • According to still another embodiment of the invention, the semiconductor device further contains a glue layer between the substrate and the Ru diffusion barrier, where the glue layer contains a tantalum-containing layer, a tungsten-containing layer, or a manganese-containing layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the drawings:
  • FIG. 1 depicts a schematic view of a deposition system according to an embodiment of the invention;
  • FIG. 2 depicts a schematic view of a deposition system according to another embodiment of the invention;
  • FIG. 3 depicts a schematic view of a processing tool for processing a substrate according to embodiments of the invention;
  • FIGS. 4A-4D are a process flow diagrams for processing a substrate according to embodiments of the invention;
  • FIGS. 5A-5F schematically show processing of a substrate according to embodiments of the invention; and
  • FIGS. 6A-6D schematically show processing of a patterned structure according to embodiments of the invention.
  • DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS OF THE INVENTION
  • In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the deposition systems and the processing tool and descriptions of various components. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
  • According to an embodiment of the invention, a Ru layer can be deposited on the substrate in a chemical vapor deposition (CVD) process by exposing the substrate to a ruthenium carbonyl precursor or a ruthenium organometallic precursor, but this is not required for the invention as other ruthenium-containing precursors capable of forming a Ru metal layer suitable for use as a layer for Cu metallization may be utilized.
  • According to an embodiment of the invention, the ruthenium-containing precursor can be a ruthenium carbonyl precursor, such as Ru3(CO)12. According to another embodiment of the invention, the ruthenium-containing precursor can be a ruthenium organometallic precursor, such as (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium (Ru(DMPD)(EtCp)), bis(2,4-dimethylpentadienyl) ruthenium (Ru(DMPD)2), or (2,4-dimethylpentadienyl) (methylcyclopentadienyl) ruthenium. The above-mentioned organometallic precursors are not required for the invention, as other ruthenium organometallic precursors may be used, including the liquid precursor bis(ethylcyclopentadienyl) ruthenium (Ru(EtCp)2), as well as combinations of these and other precursors.
  • Referring now to the drawings, FIG. 1 illustrates a deposition system 1 for depositing a Ru metal layer on a substrate from a ruthenium-containing precursor according to one embodiment of the invention. The following sections describe the use of a ruthenium carbonyl precursor, Ru3(CO)12, however as described above, other ruthenium carbonyl precursors and ruthenium organometallic precursors may be used without departing from the scope of the invention. The deposition system 1 comprises a process chamber 10 having a substrate holder 20 configured to support a substrate 25 upon which the ruthenium metal layer is formed. The process chamber 10 is coupled to a metal precursor vaporization system 50 via a vapor precursor delivery system 40.
  • The process chamber 10 is further coupled to a vacuum pumping system 38 through a duct 36, wherein the pumping system 38 is configured to evacuate the process chamber 10, vapor precursor delivery system 40, and metal precursor vaporization system 50 to a pressure suitable for forming the Ru metal layer on the substrate 25, and suitable for vaporization of the ruthenium carbonyl precursor 52 in the metal precursor vaporization system 50.
  • Still referring to FIG. 1, the metal precursor vaporization system 50 is configured to store a ruthenium carbonyl precursor 52, to heat the ruthenium carbonyl precursor 52 to a temperature sufficient for vaporizing the ruthenium carbonyl precursor 52, and to introduce ruthenium carbonyl precursor vapor to the vapor precursor delivery system 40. The ruthenium carbonyl precursor 52 (Ru3(CO)12) is a solid under the selected heating conditions in the metal precursor vaporization system 50, however, those skilled in the art will appreciate that other ruthenium carbonyl precursors and ruthenium organometallic precursors that are liquids under the selected heating conditions can be used without departing from the scope of the invention.
  • In order to achieve the desired temperature for subliming the solid ruthenium carbonyl precursor 52, the metal precursor vaporization system 50 is coupled to a vaporization temperature control system 54 configured to control the vaporization temperature. For instance, the temperature of the ruthenium carbonyl precursor 52 is generally elevated to approximately 40° C. to approximately 45° C. in conventional systems in order to sublime the Ru3(CO)12. At this temperature, the vapor pressure of the Ru3(CO)12, for instance, ranges from approximately 1 to approximately 3 mTorr. As the ruthenium carbonyl precursor 52 is heated to cause sublimation, a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 52, or any combination thereof. The CO-containing gas contains CO and optionally an inert carrier gas, such as N2, or a noble gas (i.e., He, Ne, Ar, Kr, or Xe), or a combination thereof.
  • For example, a gas supply system 60 is coupled to the metal precursor vaporization system 50, and it is configured to, for instance, supply CO, a carrier gas, or a mixture thereof, beneath the ruthenium carbonyl precursor 52 via feed line 61, or over the ruthenium carbonyl precursor 52 via feed line 62. In addition, or in the alternative, the gas supply system 60 is coupled to the vapor precursor delivery system 40 downstream from the metal precursor vaporization system 50 to supply the gas to the vapor of the ruthenium carbonyl precursor 52 via feed line 63 as or after it enters the vapor precursor delivery system 40. Although not shown, the gas supply system 60 can comprise a carrier gas source, a CO gas source, one or more control valves, one or more filters, and a mass flow controller. For instance, the flow rate of the CO-containing gas can be between about 0.1 standard cubic centimeters per minute (sccm) and about 1000 sccm. Alternately, the flow rate of the CO-containing gas can be between about 10 sccm and about 500 sccm. Still alternately, the flow rate of the CO-containing gas can be between about 50 sccm and about 200 sccm. According to embodiments of the invention, the flow rate of the CO gas can range from approximately 0.1 sccm to approximately 1000 sccm. Alternately, the flow rate of the CO gas can be between about 1 sccm and about 500 sccm.
  • Downstream from the metal precursor vaporization system 50, the process gas containing the ruthenium carbonyl precursor vapor flows through the vapor precursor delivery system 40 until it enters the process chamber 10 via a vapor distribution system 30 coupled thereto. The vapor precursor delivery system 40 can be coupled to a vapor line temperature control system 42 in order to control the vapor line temperature and prevent decomposition of the ruthenium carbonyl precursor vapor as well as condensation of the ruthenium carbonyl precursor vapor.
  • Referring again to FIG. 1, the vapor distribution system 30, which forms part of and is coupled to the process chamber 10, comprises a vapor distribution plenum 32 within which the vapor disperses prior to passing through a vapor distribution plate 34 and entering a processing zone 33 above substrate 25. In addition, the vapor distribution plate 34 can be coupled to a distribution plate temperature control system 35 configured to control the temperature of the vapor distribution plate 34.
  • Once the process gas containing the ruthenium carbonyl precursor vapor enters the processing zone 33 of process chamber 10, the ruthenium carbonyl precursor vapor thermally decomposes upon adsorption at the substrate surface due to the elevated temperature of the substrate 25, and a Ru metal layer is formed on the substrate 25. The substrate holder 20 is configured to elevate the temperature of the substrate 25 by virtue of the substrate holder 20 being coupled to a substrate temperature control system 22. For example, the substrate temperature control system 22 can be configured to elevate the temperature of the substrate 25 up to approximately 500° C. Additionally, the process chamber 10 can be coupled to a chamber temperature control system 12 configured to control the temperature of the chamber walls.
  • Conventional systems have contemplated operating the metal precursor vaporization system 50, as well as the vapor precursor delivery system 40, within a temperature range of approximately 40° C. to approximately 45° C. for Ru3(CO)12 in order to prevent decomposition, which occurs at higher temperatures. For example, Ru3(CO)12 can decompose at elevated temperatures to form by-products, such as those illustrated below:
    Ru3 (CO)12 (ad)
    Figure US20070069383A1-20070329-P00001
    Ru3 (CO)x(ad)+(12−x)CO(g)  (1)
    or,
    Ru3 (CO)x(ad)
    Figure US20070069383A1-20070329-P00001
    3Ru(s)+xCO(g)  (2)
    wherein these by-products can adsorb (ad), i.e., condense, on the interior surfaces of the deposition system 1. The accumulation of material on these surfaces can cause problems from one substrate to the next, such as process repeatability. Alternatively, for example, Ru3(CO)12 can condense on the internal surfaces of the deposition system 1, viz.
    Ru3 (CO)12 (g)
    Figure US20070069383A1-20070329-P00001
    Ru3 (Co)12 (ad)  (3).
  • In summary, low vapor pressure of some ruthenium carbonyl precursors (e.g., Ru3(CO)12) and the small process window, results in very low deposition rate of a metal layer on the substrate 25.
  • The current inventors have realized that adding a CO gas to the ruthenium carbonyl precursor vapor can reduce the above-mentioned problems that limit the delivery of the ruthenium carbonyl precursor to the substrate. Thus, according to an embodiment of the invention, the CO gas is added to the ruthenium carbonyl precursor vapor to reduce dissociation of the ruthenium carbonyl precursor vapor in the gas line, thereby shifting the equilibrium in Equation (1) to the left and reducing premature decomposition of the ruthenium carbonyl precursor in the vapor precursor delivery system 40 prior to delivery of the ruthenium carbonyl precursor to the process chamber 10. The inventors have shown that addition of the CO gas to the ruthenium carbonyl precursor vapor allows for increasing the vaporization temperature from approximately 40° C. to approximately 100° C., or higher. The elevated temperature increases the vapor pressure of the ruthenium carbonyl precursor, resulting in increased delivery of the ruthenium carbonyl precursor to the process chamber and, hence, increased deposition rate of the metal on the substrate 25. Furthermore, the inventors have visually observed that flowing a mixture of Ar and the CO gas over or through the ruthenium carbonyl precursor reduces premature decomposition of the ruthenium carbonyl precursor.
  • According to an embodiment of the invention, the addition of CO gas to a Ru3(CO)12 precursor vapor allows for maintaining the Ru3(CO)12 precursor vaporization temperature from approximately 40° C. to approximately 150° C. Alternately, the vaporization temperature can be maintained at approximately 60° C. to approximately 90° C.
  • Since the addition of the CO gas to the ruthenium carbonyl precursor vapor increases the thermal stability of the ruthenium carbonyl precursor vapor, the relative concentration of the ruthenium carbonyl precursor vapor to the CO gas in the process gas can be utilized to control the decomposition rate of the ruthenium carbonyl precursor on the substrate 25 at a certain substrate temperature. Furthermore, the substrate temperature can be utilized to control the decomposition rate (and thereby the deposition rate) of the metal on the substrate 25. As those skilled in the art will readily appreciate, the amount of CO gas and the substrate temperature can easily be varied to allow for a desired vaporization temperature of the ruthenium carbonyl precursor and for achieving a desired deposition rate of the ruthenium carbonyl precursor on the substrate 25.
  • Furthermore, the amount of CO gas in the process gas can be selected so that Ru metal deposition on the substrate 25 from a ruthenium carbonyl precursor occurs in a kinetic-limited temperature regime (also commonly referred to as a reaction rate limited temperature regime). For example, the amount of CO gas in the process gas can be increased until the Ru metal deposition process is observed to occur in a kinetic-limited temperature regime. A kinetic-limited temperature regime refers to the range of deposition conditions where the deposition rate of a chemical vapor deposition process is limited by the kinetics of the chemical reactions at the substrate surface, typically characterized by a strong dependence of deposition rate on temperature. Unlike the kinetic-limited temperature regime, a mass-transfer limited regime is normally observed at higher substrate temperatures and includes a range of deposition conditions where the deposition rate is limited by the flux of chemical reactants to the substrate surface. A mass-transfer limited regime is characterized by a strong dependence of deposition rate on ruthenium carbonyl precursor flow rate and is independent of deposition temperature. Metal deposition in the kinetic-limited regime normally results in good step coverage and good conformality of the metal layer on patterned substrates. Conformality is commonly defined as the thinnest part of the metal layer on the sidewall of a feature on the patterned substrate divided by the thickest part of the metal layer on the sidewall.
  • Still referring to FIG. 1, the deposition system 1 can further include a control system 80 configured to operate and control the operation of the deposition system 1. The control system 80 is coupled to the process chamber 10, the substrate holder 20, the substrate temperature control system 22, the chamber temperature control system 12, the vapor distribution system 30, the vapor precursor delivery system 40, the metal precursor vaporization system 50, and the gas supply system 60.
  • In another embodiment, FIG. 2 illustrates a deposition system 100 for depositing a metal layer, such as a Ru metal layer, on a substrate. The deposition system 100 comprises a process chamber 110 having a substrate holder 120 configured to support a substrate 125 upon which the Ru metal layer is formed. The process chamber 110 is coupled to a precursor delivery system 105 having metal precursor vaporization system 150 configured to store and vaporize a ruthenium carbonyl precursor 152, and a vapor precursor delivery system 140 configured to transport the vapor of the ruthenium carbonyl precursor 152 to the process chamber 110.
  • The process chamber 110 comprises an upper chamber section 111, a lower chamber section 112, and an exhaust chamber 113. An opening 114 is formed within lower chamber section 112, where bottom section 112 couples with exhaust chamber 113.
  • Still referring to FIG. 2, substrate holder 120 provides a horizontal surface to support substrate (or wafer) 125, which is to be processed. The substrate holder 120 can be supported by a cylindrical support member 122, which extends upward from the lower portion of exhaust chamber 113. Furthermore, the substrate holder 120 comprises a heater 126 coupled to substrate holder temperature control system 128. The heater 126 can, for example, include one or more resistive heating elements. Alternately, the heater 126 can, for example, include a radiant heating system, such as a tungsten-halogen lamp. The substrate holder temperature control system 128 can include a power source for providing power to the one or more heating elements, one or more temperature sensors for measuring the substrate temperature or the substrate holder temperature, or both, and a controller configured to perform at least one of monitoring, adjusting, or controlling the temperature of the substrate 125 or substrate holder 120.
  • During processing, the heated substrate 125 can thermally decompose the ruthenium carbonyl precursor vapor, and enable deposition of a Ru metal layer on the substrate 125. The substrate holder 120 is heated to a pre-determined temperature that is suitable for depositing the desired Ru metal layer or other metal layer onto the substrate 125. Additionally, a heater (not shown) coupled to a chamber temperature control system 121 can be embedded in the walls of process chamber 110 to heat the chamber walls to a pre-determined temperature. The heater can maintain the temperature of the walls of process chamber 110 from about 40° C. to about 150° C., or from about 40° C. to about 80° C. A pressure gauge (not shown) is used to measure the process chamber pressure. According to an embodiment of the invention, the process chamber pressure can be between about 1 mTorr and about 200 mTorr. Alternately, the process chamber pressure can be between about 2 mTorr and about 50 mTorr.
  • Also shown in FIG. 2, a vapor distribution system 130 is coupled to the upper chamber section 111 of process chamber 110. Vapor distribution system 130 comprises a vapor distribution plate 131 configured to introduce precursor vapor from vapor distribution plenum 132 to a processing zone 133 above substrate 125 through one or more orifices 134.
  • Furthermore, an opening 135 is provided in the upper chamber section 111 for introducing a ruthenium carbonyl precursor vapor from vapor precursor delivery system 140 into vapor distribution plenum 132. Moreover, temperature control elements 136, such as concentric fluid channels configured to flow a cooled or heated fluid, are provided for controlling the temperature of the vapor distribution system 130, and thereby prevent the decomposition or condensation of the ruthenium carbonyl precursor inside the vapor distribution system 130. For instance, a fluid, such as water, can be supplied to the fluid channels from a vapor distribution temperature control system 138. The vapor distribution temperature control system 138 can include a fluid source, a heat exchanger, one or more temperature sensors for measuring the fluid temperature or vapor distribution plate temperature or both, and a controller configured to control the temperature of the vapor distribution plate 131 from about 20° C. to about 150° C. For a Ru3(CO)12 precursor, the temperature of the vapor distribution plate 131 can be maintained at or above a temperature of about 65° C. to avoid precursor condensation on the plate 131.
  • As illustrated in FIG. 2, a metal precursor vaporization system 150 is configured to hold a ruthenium carbonyl precursor 152 and to evaporate (or sublime) the ruthenium carbonyl precursor 152 by elevating the temperature of the ruthenium carbonyl precursor. The terms “vaporization,” “sublimation” and “evaporation” are used interchangeably herein to refer to the general formation of a vapor (gas) from a solid or liquid precursor, regardless of whether the transformation is, for example, from solid to liquid to gas, solid to gas, or liquid to gas. A precursor heater 154 is provided for heating the ruthenium carbonyl precursor 152 to maintain the ruthenium carbonyl precursor 152 at a temperature that produces a desired vapor pressure of ruthenium carbonyl precursor 152. The precursor heater 154 is coupled to a vaporization temperature control system 156 configured to control the temperature of the ruthenium carbonyl precursor 152. For example, the precursor heater 154 can be configured to adjust the temperature of the ruthenium carbonyl precursor 152 from about 40° C. to about 150° C., or from about 60° C. to about 90° C.
  • As the ruthenium carbonyl precursor 152 is heated to cause evaporation (or sublimation), a CO-containing gas can be passed over or through the ruthenium carbonyl precursor 152, or any combination thereof. The CO-containing gas contains CO and optionally an inert carrier gas, such as N2, or a noble gas (i.e., He, Ne, Ar, Kr, Xe). According to an embodiment of the invention, a CO gas can be added to the inert gas. Alternately, other embodiments contemplate the CO gas replacing the inert gas. For example, a gas supply system 160 is coupled to the metal precursor vaporization system 150, and it is configured to, for instance, flow the CO gas, the inert gas, or both, over or through the ruthenium carbonyl precursor 152. Although not shown in FIG. 2, gas supply system 160 can also or alternatively be coupled to the vapor precursor delivery system 140 to supply the carrier gas and/or CO gas to the vapor of the metal precursor 152 as or after it enters the vapor precursor delivery system 140. The gas supply system 160 can comprise a gas source 161 containing an inert carrier gas, a CO gas, or a mixture thereof, one or more control valves 162, one or more filters 164, and a mass flow controller 165. For instance, the mass flow rate of the CO-containing gas can range from approximately 0.1 sccm to approximately 1000 sccm.
  • Additionally, a sensor 166 is provided for measuring the total gas flow from the metal precursor vaporization system 150. The sensor 166 can, for example, comprise a mass flow controller, and the amount of ruthenium carbonyl precursor vapor delivered to the process chamber 110 can be determined using sensor 166 and mass flow controller 165. Alternately, the sensor 166 can comprise a light absorption sensor to measure the concentration of the ruthenium carbonyl precursor in the gas flow to the process chamber 110.
  • A bypass line 167 can be located downstream from sensor 166, and it can connect the vapor delivery system 140 to an exhaust line 116. Bypass line 167 is provided for evacuating the vapor precursor delivery system 140, and for stabilizing the supply of the ruthenium carbonyl precursor vapor to the process chamber 110. In addition, a bypass valve 168, located downstream from the branching of the vapor precursor delivery system 140, is provided on bypass line 167.
  • Referring still to FIG. 2, the vapor precursor delivery system 140 comprises a high conductance vapor line having first and second valves 141 and 142, respectively. Additionally, the vapor precursor delivery system 140 can further comprise a vapor line temperature control system 143 configured to heat the vapor precursor delivery system 140 via heaters (not shown). The temperatures of the vapor lines can be controlled to avoid condensation of the ruthenium carbonyl precursor vapor in the vapor line. The temperature of the vapor lines can be controlled from about 20° C. to about 100° C., or from about 40° C. to about 90° C.
  • Moreover, a CO gas can be supplied from a gas supply system 190. For example, the gas supply system 190 is coupled to the vapor precursor delivery system 140, and it is configured to, for instance, mix the CO gas with the ruthenium carbonyl precursor vapor in the vapor precursor delivery system 140, for example, downstream of valve 141. The gas supply system 190 can comprise a CO gas source 191, one or more control valves 192, one or more filters 194, and a mass flow controller 195. For instance, the mass flow rate of CO gas can range from approximately 0.1 sccm (standard cubic centimeters per minute) to approximately 1000 sccm.
  • Mass flow controllers 165 and 195, and valves 162, 192, 168, 141, and 142 are controlled by controller 196, which controls the supply, shutoff, and the flow of the inert carrier gas, the CO gas, and the ruthenium carbonyl precursor vapor. Sensor 166 is also connected to controller 195 and, based on output of the sensor 166, controller 195 can control the carrier gas flow through mass flow controller 165 to obtain the desired ruthenium carbonyl precursor flow to the process chamber 110.
  • As illustrated in FIG. 2, the exhaust line 116 connects exhaust chamber 113 to pumping system 118. A vacuum pump 119 is used to evacuate process chamber 110 to the desired degree of vacuum, and to remove gaseous species from the process chamber 110 during processing. An automatic pressure controller (APC) 115 and a trap 117 can be used in series with the vacuum pump 119. The vacuum pump 119 can include a turbo-molecular pump (TMP) capable of a pumping speed up to 500 liters per second (and greater). Alternately, the vacuum pump 119 can include a dry roughing pump. During processing, the process gas can be introduced into the process chamber 110, and the chamber pressure can be adjusted by the APC 115. The APC 115 can comprise a butterfly-type valve or a gate valve. The trap 117 can collect unreacted ruthenium carbonyl precursor material and by-products from the process chamber 110.
  • Referring back to the substrate holder 120 in the process chamber 110, as shown in FIG. 2, three substrate lift pins 127 (only two are shown) are provided for holding, raising, and lowering the substrate 125. The substrate lift pins 127 are coupled to plate 123, and can be lowered to below the upper surface of substrate holder 120. A drive mechanism 129 utilizing, for example, an air cylinder provides means for raising and lowering the plate 123. Substrate 125 can be transferred into and out of process chamber 110 through gate valve 200 and chamber feed-through passage 202 via a robotic transfer system (not shown), and received by the substrate lift pins 127. Once the substrate 125 is received from the transfer system, it can be lowered to the upper surface of the substrate holder 120 by lowering the substrate lift pins 127.
  • Still referring to FIG. 2, a controller 180 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs of the processing system 100 as well as monitor outputs from the processing system 100. Moreover, the processing system controller 180 is coupled to and exchanges information with process chamber 110; precursor delivery system 105, which includes controller 196, vapor line temperature control system 143, and vaporization temperature control system 156; vapor distribution temperature control system 138; vacuum pumping system 118; and substrate temperature control system 128. In the vacuum pumping system 118, the controller 180 is coupled to and exchanges information with the automatic pressure controller 115 for controlling the pressure in the process chamber 110. A program stored in the memory is utilized to control the aforementioned components of deposition system 100 according to a stored process recipe. One example of processing system controller 180 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Dallas, Tex.
  • The controller 180 may be implemented as a general-purpose computer system that performs a portion or all of the microprocessor-based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive. One or more processors in a multi-processing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
  • The controller 180 includes at least one computer readable medium or memory, such as the controller memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention. Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave (described below), or any other medium from which a computer can read.
  • Stored on any one or on a combination of computer readable media, the present invention includes software for controlling the controller 180, for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user. Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • The computer code devices of the present invention may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs. Moreover, parts of the processing of the present invention may be distributed for better performance, reliability, and/or cost.
  • The term “computer readable medium” as used herein refers to any medium that participates in providing instructions to the processor of the controller 180 for execution. A computer readable medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media includes, for example, optical disks, magnetic disks, and magneto-optical disks, such as the hard disk or the removable media drive. Volatile media includes dynamic memory, such as the main memory. Moreover, various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to the processor of the controller for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 180.
  • The controller 180 may be locally located relative to the deposition system 100, or it may be remotely located relative to the deposition system 100. For example, the controller 180 may exchange data with the deposition system 100 using at least one of a direct connection, an intranet, the Internet and a wireless connection. The controller 180 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the controller 180 may be coupled to the Internet. Furthermore, another computer (i.e., controller, server, etc.) may access, for example, the controller 180 to exchange data via at least one of a direct connection, an intranet, and the Internet. As also would be appreciated by those skilled in the art, the controller 180 may exchange data with the deposition system 100 via a wireless connection.
  • FIG. 3 schematically shows a processing tool for processing a substrate according to embodiments of the invention. The processing tool 800 contains substrate loading chambers 810 and 820, processing systems 830-860, robotic transfer system 870, and controller 880. The processing system 840 can be configured for depositing a Ru metal layer on a substrate in a chemical vapor deposition process. The processing system 840 can, for example, be the deposition systems 1, 100 depicted in FIGS. 1 and 2.
  • The processing system 830 can, for example, be a plasma processing system configured for exposing a substrate to plasma. According to an embodiment of the invention, the processing system 830 can be configured for exposing a deposited Ru layer to a hydrogen-containing plasma, an oxygen-containing plasma, a nitrogen-containing plasma, or a plasma containing a noble gas. Embodiments of the invention contemplate use of any plasma processing system capable of forming a plasma for processing a substrate. Several examples of plasma processing systems suitable for processing a substrate according to embodiments of the invention are described in U.S. patent application Ser. No. 11/045,124, titled “METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE”, filed on Jan. 31, 2005, the entire contents of which are hereby incorporated by reference. According to one embodiment of the invention, the processing system 830 can be a TRIAS™ SPA processing system from Tokyo Electron Limited, Akasaka, Japan. According to an embodiment of the invention, the processing system 830 can be further configured to anneal the substrate by heating and maintaining the substrate at a temperature between about 100° C. and about 500° C. Furthermore, the plasma processing system 830 can be configured for exposing the substrate to a noble gas, N2 gas, H2 gas, O2 gas, or a combination of two or more thereof, during an annealing process with or without a plasma. As those skilled in the art will readily recognize, in addition to plasma processing a deposited Ru layer, the plasma processing system 830 may also be utilized to clean the substrate of any oxide or contaminants before depositing a Ru layer onto the substrate.
  • According to one embodiment of the invention, an ultra thin Cu layer can be formed on a Ru diffusion barrier in the processing system 850 prior to performing a Cu plating process. The processing system 850 can be configured to carry out physical vapor deposition of an ultra thin Cu layer on the Ru diffusion barrier and can, for example, be configured to carry out ionized physical vapor deposition (IPVD). IPVD systems for depositing a Cu metal layer onto a substrate are well known to those skilled in the art. One example of an IPVD system is described in U.S. Pat. No. 6,287,435.
  • A plating system 890 is operatively coupled to the processing tool 800 through the substrate loading chamber 810. The plating system 890 can, for example, be configured for performing an electrochemical or electroless plating process for plating a Cu layer onto a substrate containing the Ru diffusion barrier or a substrate containing an ultra thin Cu layer formed on the Ru diffusion barrier. Electrochemical and electroless plating systems are well known to those skilled in the art and are readily available commercially. Furthermore, the processing tool 800 can be configured to expose the substrate to air when transferring the substrate from the substrate loading chamber 810 to plating system 890. Alternately, the processing system 860 can be a Cu plating system. The processing system 860 can be configured to process a substrate without exposing the substrate to air.
  • The processing tool 800 can be controlled by a controller 880. The controller 880 can be coupled to and exchange information with substrate loading chambers 810 and 820, processing systems 830-860, and robotic transfer system 870. In one example, the controller 880 can further control the plating system 890. In another example, the plating system 890 can contain a separate controller for controlling the functions of the plating system 890. For example, a program stored in the memory of the controller 880 can be utilized to control the aforementioned components of the processing tool 800 according to a desired process, and to perform any functions associated with monitoring the process. One example of controller 880 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Tex.
  • FIG. 4A is a process flow diagram for processing a substrate according to an embodiment of the invention. Referring now to FIG. 4A and FIGS. 5A-5D, the process 400 includes, at 402, disposing a substrate 502 in a process chamber of a deposition system. The deposition system can, for example, include the depositions systems 1, 100 described above in FIGS. 1 and 2. Furthermore, the deposition system can be the processing system 840 of the processing tool 800 in FIG. 3. The substrate 502 can, for example, be a Si substrate. A Si substrate can be of n- or p-type, depending on the type of device being formed. The substrate can be of any size, for example a 200 mm substrate, a 300 mm substrate, or an even larger substrate. According to an embodiment of the invention, and as further described in FIGS. 5A and 6D, the substrate can be a planar substrate or a patterned substrate containing one or more vias or trenches, or combinations thereof.
  • In step 404, a first Ru layer 504 is deposited on the substrate 502 in a chemical vapor deposition process, as shown in FIG. 5B. According to one embodiment of the invention, a thickness of the first Ru layer 504 can be between about 1 nm and about 30 nm. According to another embodiment of the invention, a thickness of the first Ru layer 504 can be between about 1.5 nm and about 10 nm.
  • According to one embodiment of the invention, the first Ru layer 504 can be deposited by exposing the substrate 502 to a process gas containing a ruthenium carbonyl precursor vapor and a CO gas. The ruthenium precursor can, for example, be Ru3(CO)12. The process gas can further contain an inert gas such as N2 or a noble gas. The noble gas can include He, Ne, Ar, Kr, or Xe, or a combination of two or more thereof. The substrate can, for example, be maintained at a temperature between about 100° C. and about 400° C. during the exposing. Alternately, the substrate can be maintained at a temperature between about 150° C. and about 300° C. during the exposing. Furthermore, the process chamber can be maintained at a pressure between about 0.1 mTorr and about 200 mTorr during the exposing. For example, 1.5, 2.5, and 5 nm thick Ru layers were deposited at a substrate temperature of 165° C. and a process chamber pressure of 15 mTorr. The CO gas flow was 200 sccm and the Ar flow was 10 sccm.
  • According to another embodiment of the invention, the Ru layer 504 can be deposited by exposing the substrate 502 to a process gas containing a ruthenium organometallic precursor. The ruthenium organometallic precursor can, for example, be selected from any of the above-mentioned ruthenium organometallic precursors. The process gas can further contain an inert gas such as N2 or a noble gas, a reducing gas (e.g., H2 or O2), or a combination thereof.
  • In step 406, the first Ru layer 504 is modified by oxidation, nitridation, or a combination thereof, to form a modified Ru layer 506 shown in FIG. 5C. In general, the oxidation/nitridation includes incorporation of oxygen/nitrogen into at least a portion of the first Ru layer 504. In addition to oxidizing or nitridizing at least a portion of the Ru layer 504, it is contemplated that the modifying step 406 at least partially removes contaminants from the Ru layer 504. According to embodiments of the invention, the oxidation of the Ru layer 504 can include exposing the first Ru layer to air, an oxygen-containing ambient, or an oxygen-containing plasma. According to an embodiment of the invention, the oxygen-containing ambient contains O2 gas. The oxygen-containing plasma can, for example, contain O2 and a noble gas. According to embodiments of the invention, the nitridation of the Ru layer 504 can include exposing the first Ru layer to a nitrogen-containing plasma. The nitrogen-containing plasma can, for example, contain N2, or NH3, or a combination thereof, and a noble gas. Oxidation and nitridation in step 406 may be performed simultaneously, may at least partly overlap, or may be performed sequentially in two or more substeps of the step 406. In one example, the oxidation and nitridation may be performed simultaneously using plasma excited O2 and N2. The modifying step 406 can be performed at a gas pressure between about 10 mTorr and about 1000 Torr. Alternately, the modifying step 406 can be performed at a gas pressure between about 100 mTorr and about 10 Torr. Step 406 may, for example, be carried out in processing system 830 of the processing tool 800 in FIG. 3.
  • In step 408, a second Ru layer 508 is deposited on the modified first Ru layer 506 in a second chemical vapor deposition process, as shown in FIG. 5D. The modified first Ru layer 506 and second Ru layer 508 collectively form the Ru diffusion barrier 509. The first and second CVD processes in steps 404 and 408 may be performed using the same ruthenium precursor and same or different processing conditions or, alternately, they may be performed using different ruthenium precursors and different processing conditions. According to one embodiment of the invention, a thickness of the second Ru layer 508 can be between about 1 nm and about 30 nm. According to another embodiment of the invention, a thickness of the second Ru layer 508 can be between about 1.5 nm and about 10 nm.
  • In step 410, a bulk Cu layer 510 shown in FIG. 5E is plated on the Ru diffusion barrier 509 containing the layers 506 and 508. The bulk Cu layer 510 can, for example, be plated using the processing system 860 or the plating system 890 described in FIG. 3.
  • The current inventors have realized that a Ru diffusion barrier containing a modified first Ru layer and a second Ru layer provides good resistance to Cu diffusion, provides strong adhesion to plated bulk Cu and the substrate, and promotes high Cu plating uniformity over the whole substrate. In particular, the modified first Ru layer provides good resistance to Cu diffusion and the second Ru layer provides good adhesion to plated bulk Cu.
  • FIG. 4B is a process flow diagram for processing a substrate according to another embodiment of the invention. In the process flow 420 depicted in FIG. 4B, the steps 422-428 correspond to steps 402-408 of the process flow 400 depicted in FIG. 4A. The process flow 420 in FIG. 4B further contains a step 430 for treating the second Ru layer of the Ru diffusion barrier prior to a Cu plating step 432. The treating step 430 increases the adhesion between the bulk Cu layer 510 and the Ru diffusion barrier 509 and can further improve Cu plating uniformity from the substrate edge regions to the substrate center regions. It is contemplated that the treating step 430 at least partially removes contaminants from the second Ru layer. The treating can include exposing the Ru diffusion barrier 509 to a hydrogen-containing plasma, or annealing the substrate 502, or a combination thereof. According to an embodiment of the invention, the hydrogen-containing plasma can contain H2. According to another embodiment of the invention, the hydrogen-containing plasma can contain H2 and an inert gas. The inert gas can, for example, be a noble gas. According to an embodiment of the invention, the annealing may be performed at a substrate temperature between about 100° C. and about 500° C. During the annealing, the Ru diffusion barrier layer may be exposed to a noble gas, N2 gas, H2 gas, or a combination thereof. The treating step 430 may, for example, be carried out in processing system 830 of the processing tool 800 in FIG. 3. Several examples of processing systems suitable for treating the Ru diffusion barrier 509 according to embodiments of the invention are described in U.S. patent application No. ______, titled “METHOD FOR INTEGRATING A RUTHENIUM LAYER WITH BULK COPPER IN COPPER METALLIZATION”, filed on even date herewith, the entire content of which is hereby incorporated by reference.
  • FIG. 4C is a process flow diagram for processing a substrate according to still another embodiment of the invention. In the process flow 440 depicted in FIG. 4C, the steps 442-448 correspond to steps 402-408 of the process flow 400 depicted in FIG. 4A. The process flow 440 in FIG. 4C further contains a step 450 for forming an ultra thin Cu layer 512 on the second Ru layer 508, as shown in FIG. 5F. The ultra thin Cu layer 512 can, for example, be deposited by IPVD using the processing system 850 in FIG. 3. The thickness of the ultra thin Cu layer 512 can, for example, between about 1 nm and about 30 nm. The ultra thin Cu layer 512 may be thinner than a conventional Cu seed layer used for Cu plating, where the thickness is commonly greater than about 50 nm. Next, in step 452, a bulk Cu layer 514 is plated on the ultra thin Cu layer 512 from step 450. The bulk Cu layer 514 can, for example, be plated using the processing system 860 or the plating system 890 described in FIG. 3.
  • FIG. 4D is a process flow diagram for processing a substrate according to yet another embodiment of the invention. In the process flow 460 depicted in FIG. 4D, the steps 462-470 correspond to steps 422-430 of the process flow 420 depicted in FIG. 4B. The process flow 460 in FIG. 4D further contains a step 472 for forming an ultra thin Cu layer 512 on the second Ru layer 508, as shown in FIG. 5F. The treating step 470 and forming step 472 of FIG. 4D are described above in step 430 of process flow 420 in FIG. 4B and step 450 of process flow 440 in FIG. 4C, respectively. Next, in step 474, a bulk Cu layer 514 is plated on the ultra thin Cu layer 512 from step 472.
  • In an embodiment of the invention, the processing tool 800 depicted in FIG. 3 is configured for depositing the first Ru layer 504 on a substrate in the processing system 840, modifying the Ru layer 504 in the processing system 830, depositing the second Ru layer 508 in the processing system 840, and plating the Cu layer 510 onto the second Ru layer 508 in processing systems 860 or 890. Alternately, the processing system 840 may be utilized for depositing the first and second Ru layers 504, 508 and for modifying the first Ru layer 504.
  • In one embodiment of the invention, the processing tool 800 may be configured for depositing the ultra thin Cu layer 512 in the processing systems 850. The ultra thin Cu layer 512 can reduce the effect of the terminal (‘resistive substrate’) effect that is commonly encountered in electrochemical plating processing where a non-uniform thickness of the plated Cu layer over the whole substrate (wafer) is observed. The terminal effect is the tendency for the current density to be non-uniform as a result of the ohmic potential drop associated with conducting current from the substrate edge to the entire substrate surface through a thin resistive layer. This problem can be more severe for a highly resistive non-Cu (e.g., Ru) layer than a lower resistivity Cu layer. The sheet resistance of a non-Cu layer can be orders of magnitude higher than that of today's Cu seed layers and straightforward extension of methods currently used to manipulate current distribution (e.g., electrolyte conductivity) generally may not be adequate to combat the terminal effect experienced using a non-Cu seed layer.
  • FIGS. 6A-6D schematically show processing of a patterned structure according to an embodiment of the invention. As those skilled in the art will readily appreciate, embodiments of the invention can be applied to a variety of patterned structures and substrates containing one or more vias or trenches, or combinations thereof. FIG. 6A shows a patterned structure 601 containing a first metal layer 610 and a patterned layer 612 containing an opening 620. The patterned layer 612 can, for example, be a dielectric material. The structure 601 further contains a Ru diffusion barrier 617 containing an modified first Ru layer 614 on the patterned layer 612 and the first metal layer 610 and a second Ru layer 616 formed on the modified first Ru layer 614. Although not shown in FIGS. 6A-6D, the patterned structures 601-604 can further contain a glue layer formed on the exposed surface of the patterned layer 612 and the first metal layer 610, underneath the modified first Ru layer 614. As described above, the glue layer can provide for better adhesion between the first Ru layer 614 and the underlying substrate.
  • FIG. 6B shows a patterned structure 602 containing a plated Cu layer 618 formed by Cu plating of the patterned structure 601 of FIG. 6A according to an embodiment of the invention.
  • FIG. 6C shows a patterned structure 603. In addition to the layers of patterned structure 601 shown in FIG. 6A, the patterned structure 603 contains an ultra thin Cu layer 622 formed on the Ru diffusion barrier 617.
  • FIG. 6D shows a patterned structure 604 containing a plated Cu layer 624 formed by Cu plating of the patterned structure 603 of FIG. 6C according to an embodiment of the invention.
  • Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (26)

1. A method for processing a substrate, comprising:
forming a Ru diffusion barrier on the substrate, the forming comprising:
depositing a first Ru layer;
modifying the first Ru layer by oxidation, or nitridation, or a combination thereof;
depositing a second Ru layer on the modified first Ru layer; and
plating a bulk Cu layer on the Ru diffusion barrier.
2. The method according to claim 1, wherein the depositing the first and second Ru layers comprises:
exposing the substrate to a process gas comprising a ruthenium carbonyl precursor and CO gas.
3. The method according to claim 1, wherein the depositing the first and second Ru layers comprises:
exposing the substrate to a process gas comprising Ru3(CO)12 and CO gas.
4. The method according to claim 1, wherein the depositing the first and second Ru layers comprises:
exposing the substrate to a process gas comprising a ruthenium organometallic precursor and a reducing gas.
5. The method according to claim 4, wherein the ruthenium organometallic precursor comprises (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium, bis(2,4-dimethylpentadienyl) ruthenium, (2,4-dimethylpentadienyl) (methylcyclopentadienyl) ruthenium, or bis(ethylcyclopentadienyl) ruthenium, or a combination of two or more thereof.
6. The method according to claim 4, wherein the reducing gas comprises H2 or O2.
7. The method according to claim 1, wherein the depositing the first and second Ru layers further comprises:
maintaining the substrate at a temperature between about 100° C. and about 400° C.
8. The method according to claim 1, wherein the depositing the first and second Ru layers is performed at a process pressure between about 0.1 mTorr and about 200 mTorr.
9. The method according to claim 1, wherein a thickness of each of the first and second Ru layers is between about 1 nm and about 30 nm.
10. The method according to claim 1, wherein a thickness of each of the first and second Ru layers is between about 1.5 nm and about 10 nm.
11. The method according to claim 1, wherein the modifying by oxidation comprises exposing the first Ru layer to air, O2 gas, or an oxygen-containing plasma.
12. The method according to claim 1, wherein the modifying by nitridation comprises exposing the first Ru layer to a nitrogen-containing plasma.
13. The method according to claim 1, wherein the modifying is performed at a gas pressure between about 10 mTorr and about 1000 Torr.
14. The method according to claim 1, wherein the modifying further comprises:
annealing the substrate at a substrate temperature between about 100° C. and about 500° C.
15. The method according to claim 1, further comprising:
treating the Ru diffusion barrier prior to the plating, wherein the treating comprises exposing the Ru diffusion barrier to a hydrogen-containing plasma or annealing the substrate, or a combination thereof.
16. The method according to claim 15, wherein the annealing comprises:
maintaining the substrate at a temperature between about 100° C. and about 500° C.
17. The method according to claim 1, further comprising:
depositing an ultra thin Cu layer on the Ru diffusion barrier prior to the plating.
18. The method according to claim 17, wherein the ultra thin Cu layer is deposited by an ionized physical vapor deposition process.
19. The method according to claim 17, wherein a thickness of the ultra thin Cu layer is between about 1 nm and about 30 nm.
20. The method according to claim 17, wherein a thickness of the ultra thin Cu layer is between about 5 nm and about 20 nm.
21. A computer readable medium containing program instructions for execution on a processor, which when executed by the processor, cause a processing tool to perform the steps in the method recited in claim 1.
22. A semiconductor device, comprising:
a substrate;
a Ru diffusion barrier comprising a modified first Ru layer formed on the substrate, wherein the first Ru layer is oxidized, nitridized, or a combination thereof, and a second Ru layer formed on the modified first Ru layer; and
a bulk Cu layer on the Ru diffusion barrier.
23. The semiconductor device according to claim 22, further comprising:
an ultra thin Cu layer between the Ru diffusion barrier and the bulk Cu layer.
24. The semiconductor device according to claim 22, further comprising:
a glue layer between the substrate and the Ru diffusion barrier, wherein the glue layer comprises a tantalum-containing layer, a tungsten-containing layer, or a manganese-containing layer.
25. The semiconductor device according to claim 22, wherein the substrate comprises a dielectric layer on which at least a portion of the Ru diffusion barrier is formed.
26. A method for processing a substrate, comprising:
forming a Ru diffusion barrier on the substrate, the forming comprising:
depositing a first Ru layer,
modifying the first Ru layer by oxidation, or nitridation, or a combination thereof, and
depositing a second Ru layer on the modified first Ru layer;
treating the Ru diffusion barrier, wherein the treating comprises exposing the Ru diffusion barrier to a hydrogen-containing plasma, or annealing the substrate, or a combination thereof;
depositing an ultra thin Cu layer on the treated Ru diffusion barrier; and
plating a bulk Cu layer on the ultra thin Cu layer.
US11/238,500 2005-09-28 2005-09-28 Semiconductor device containing a ruthenium diffusion barrier and method of forming Abandoned US20070069383A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/238,500 US20070069383A1 (en) 2005-09-28 2005-09-28 Semiconductor device containing a ruthenium diffusion barrier and method of forming

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/238,500 US20070069383A1 (en) 2005-09-28 2005-09-28 Semiconductor device containing a ruthenium diffusion barrier and method of forming

Publications (1)

Publication Number Publication Date
US20070069383A1 true US20070069383A1 (en) 2007-03-29

Family

ID=37892862

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/238,500 Abandoned US20070069383A1 (en) 2005-09-28 2005-09-28 Semiconductor device containing a ruthenium diffusion barrier and method of forming

Country Status (1)

Country Link
US (1) US20070069383A1 (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010021447A (en) * 2008-07-11 2010-01-28 Tokyo Electron Ltd Film forming method, and processing system
CN102349138A (en) * 2009-03-12 2012-02-08 东京毅力科创株式会社 Method for forming cvd-ru film and method for manufacturing semiconductor devices
US8339740B2 (en) 2010-02-23 2012-12-25 Seagate Technology Llc Recording head for heat assisted magnetic recording with diffusion barrier surrounding a near field transducer
US8742153B2 (en) 2009-12-28 2014-06-03 Tosoh Corporation Ruthenium complex mixture, method of producing the same, composition for film formation, ruthenium-containing film, and method of producing the same
US8842391B2 (en) 2010-02-23 2014-09-23 Seagate Technology Llc Recording head including a near field transducer
US8902719B2 (en) 2012-04-25 2014-12-02 Seagate Technology Llc Heat assisted magnetic recording heads having bilayer heat sinks
US8934198B2 (en) 2010-02-23 2015-01-13 Seagate Technology Llc Recording head including NFT and heatsink
US9224416B2 (en) 2012-04-24 2015-12-29 Seagate Technology Llc Near field transducers including nitride materials
US9245573B2 (en) 2013-06-24 2016-01-26 Seagate Technology Llc Methods of forming materials for at least a portion of a NFT and NFTs formed using the same
US9251837B2 (en) 2012-04-25 2016-02-02 Seagate Technology Llc HAMR NFT materials with improved thermal stability
US9281002B2 (en) 2013-06-24 2016-03-08 Seagate Technology Llc Materials for near field transducers and near field transducers containing same
US9570098B2 (en) 2013-12-06 2017-02-14 Seagate Technology Llc Methods of forming near field transducers and near field transducers formed thereby
US9611563B2 (en) 2012-03-27 2017-04-04 Ebara Corporation Plating method and plating apparatus
US9697856B2 (en) 2013-12-06 2017-07-04 Seagate Techology LLC Methods of forming near field transducers and near field transducers formed thereby
US9792931B2 (en) 2015-03-22 2017-10-17 Seagate Technology Llc Devices including a difussion barrier layer
US9805757B2 (en) 2010-02-23 2017-10-31 Seagate Technology Llc HAMR NFT materials with improved thermal stability
US9822444B2 (en) 2014-11-11 2017-11-21 Seagate Technology Llc Near-field transducer having secondary atom higher concentration at bottom of the peg
TWI613315B (en) * 2016-02-22 2018-02-01 精微超科技公司 Pe-ald methods with reduced quartz-based contamination
US20180347041A1 (en) * 2017-06-06 2018-12-06 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10510364B2 (en) 2014-11-12 2019-12-17 Seagate Technology Llc Devices including a near field transducer (NFT) with nanoparticles
US10699732B2 (en) 2014-11-11 2020-06-30 Seagate Technology Llc Devices including a diffusion barrier layer
WO2021249224A1 (en) * 2020-06-09 2021-12-16 盛美半导体设备(上海)股份有限公司 Method for removing barrier layer

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5637533A (en) * 1995-05-17 1997-06-10 Hyundai Electronics Industries Co., Ltd. Method for fabricating a diffusion barrier metal layer in a semiconductor device
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US20020013052A1 (en) * 2000-03-08 2002-01-31 Visokay Mark R. Methods for preparing ruthenium metal films
US6413881B1 (en) * 2000-03-09 2002-07-02 Lsi Logic Corporation Process for forming thin gate oxide with enhanced reliability by nitridation of upper surface of gate of oxide to form barrier of nitrogen atoms in upper surface region of gate oxide, and resulting product
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6734100B2 (en) * 2001-12-20 2004-05-11 Jusung Engineering Co., Ltd. Method of forming ruthenium thin film using plasma enhanced process
US6750092B2 (en) * 1999-12-23 2004-06-15 Samsung Electronics Co., Ltd. Methods of forming ruthenium film by changing process conditions during chemical vapor deposition and ruthenium films formed thereby
US20040155348A1 (en) * 2002-12-30 2004-08-12 Dongbu Electronics Co., Ltd. Barrier structure for copper metallization and method for the manufacture thereof
US6858535B2 (en) * 1998-09-03 2005-02-22 Micron Technology, Inc. Methods for patterning metal layers for use with forming semiconductor devices
US20050081882A1 (en) * 2003-10-16 2005-04-21 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US20050186341A1 (en) * 2004-02-23 2005-08-25 Hendrix Bryan C. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US20060160322A1 (en) * 2005-01-17 2006-07-20 International Business Machines Corporation Nitridation of sti fill oxide to prevent the loss of sti fill oxide during manufacturing process
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7211509B1 (en) * 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5637533A (en) * 1995-05-17 1997-06-10 Hyundai Electronics Industries Co., Ltd. Method for fabricating a diffusion barrier metal layer in a semiconductor device
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6858535B2 (en) * 1998-09-03 2005-02-22 Micron Technology, Inc. Methods for patterning metal layers for use with forming semiconductor devices
US6750092B2 (en) * 1999-12-23 2004-06-15 Samsung Electronics Co., Ltd. Methods of forming ruthenium film by changing process conditions during chemical vapor deposition and ruthenium films formed thereby
US20020013052A1 (en) * 2000-03-08 2002-01-31 Visokay Mark R. Methods for preparing ruthenium metal films
US6413881B1 (en) * 2000-03-09 2002-07-02 Lsi Logic Corporation Process for forming thin gate oxide with enhanced reliability by nitridation of upper surface of gate of oxide to form barrier of nitrogen atoms in upper surface region of gate oxide, and resulting product
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
US6734100B2 (en) * 2001-12-20 2004-05-11 Jusung Engineering Co., Ltd. Method of forming ruthenium thin film using plasma enhanced process
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US20040155348A1 (en) * 2002-12-30 2004-08-12 Dongbu Electronics Co., Ltd. Barrier structure for copper metallization and method for the manufacture thereof
US20050081882A1 (en) * 2003-10-16 2005-04-21 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US20050186341A1 (en) * 2004-02-23 2005-08-25 Hendrix Bryan C. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US7211509B1 (en) * 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US20060160322A1 (en) * 2005-01-17 2006-07-20 International Business Machines Corporation Nitridation of sti fill oxide to prevent the loss of sti fill oxide during manufacturing process
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110163451A1 (en) * 2008-07-11 2011-07-07 Tokyo Electron Limited Film forming method and processing system
JP2010021447A (en) * 2008-07-11 2010-01-28 Tokyo Electron Ltd Film forming method, and processing system
US8440563B2 (en) * 2008-07-11 2013-05-14 Tokyo Electron Limited Film forming method and processing system
CN102349138A (en) * 2009-03-12 2012-02-08 东京毅力科创株式会社 Method for forming cvd-ru film and method for manufacturing semiconductor devices
US20120064717A1 (en) * 2009-03-12 2012-03-15 Tokyo Electron Limited Method for forming cvd-ru film and method for manufacturing semiconductor devices
TWI467044B (en) * 2009-03-12 2015-01-01 Tokyo Electron Ltd CVD-Ru film formation method and manufacturing method of semiconductor device
KR101291821B1 (en) * 2009-03-12 2013-07-31 도쿄엘렉트론가부시키가이샤 METHOD FOR FORMING CVD-Ru FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES
US8742153B2 (en) 2009-12-28 2014-06-03 Tosoh Corporation Ruthenium complex mixture, method of producing the same, composition for film formation, ruthenium-containing film, and method of producing the same
US8842391B2 (en) 2010-02-23 2014-09-23 Seagate Technology Llc Recording head including a near field transducer
US8670215B2 (en) 2010-02-23 2014-03-11 Seagate Technology Llc Recording head for heat assisted magnetic recording with diffusion barrier surrounding a near field transducer
US9805757B2 (en) 2010-02-23 2017-10-31 Seagate Technology Llc HAMR NFT materials with improved thermal stability
US8339740B2 (en) 2010-02-23 2012-12-25 Seagate Technology Llc Recording head for heat assisted magnetic recording with diffusion barrier surrounding a near field transducer
US8477454B2 (en) 2010-02-23 2013-07-02 Seagate Technology Llc Recording head for heat assisted magnetic recording with diffusion barrier surrounding a near field transducer
US8934198B2 (en) 2010-02-23 2015-01-13 Seagate Technology Llc Recording head including NFT and heatsink
US10641677B2 (en) 2012-03-27 2020-05-05 Ebara Corporation Plating method and plating apparatus
US10309030B2 (en) 2012-03-27 2019-06-04 Ebara Corporation Plating method and plating apparatus
TWI629731B (en) * 2012-03-27 2018-07-11 日商荏原製作所股份有限公司 Method of making leakage inspection
US9611563B2 (en) 2012-03-27 2017-04-04 Ebara Corporation Plating method and plating apparatus
TWI578419B (en) * 2012-03-27 2017-04-11 荏原製作所股份有限公司 Plating method and plating apparatus
US9224416B2 (en) 2012-04-24 2015-12-29 Seagate Technology Llc Near field transducers including nitride materials
US9251837B2 (en) 2012-04-25 2016-02-02 Seagate Technology Llc HAMR NFT materials with improved thermal stability
US8902719B2 (en) 2012-04-25 2014-12-02 Seagate Technology Llc Heat assisted magnetic recording heads having bilayer heat sinks
US9305575B2 (en) 2012-04-25 2016-04-05 Seagate Technology Llc Heat assisted magnetic recording heads having bilayer heat sinks
US10482914B2 (en) 2013-06-24 2019-11-19 Seagate Technology Llc Materials for near field transducers and near field transducers containing same
US9281002B2 (en) 2013-06-24 2016-03-08 Seagate Technology Llc Materials for near field transducers and near field transducers containing same
US11107499B2 (en) 2013-06-24 2021-08-31 Seagate Technology Llc Materials for near field transducers and near field transducers containing same
US10964347B2 (en) 2013-06-24 2021-03-30 Seagate Technology Llc Materials for near field transducers, near field tranducers containing same, and methods of forming
US9245573B2 (en) 2013-06-24 2016-01-26 Seagate Technology Llc Methods of forming materials for at least a portion of a NFT and NFTs formed using the same
US9728208B2 (en) 2013-06-24 2017-08-08 Seagate Technology Llc Methods of forming materials for at least a portion of a NFT and NFTs formed using the same
US9502070B2 (en) 2013-06-24 2016-11-22 Seagate Technology Llc Materials for near field transducers, near field tranducers containing same, and methods of forming
US10014011B2 (en) 2013-06-24 2018-07-03 Seagate Technology Llc Methods of forming materials for at least a portion of a NFT and NFTs formed using the same
US10971180B2 (en) 2013-12-06 2021-04-06 Seagate Technology Llc Methods of forming near field transducers and near field transducers formed thereby
US9899043B2 (en) 2013-12-06 2018-02-20 Seagate Technology Llc Methods of forming near field transducers and near field transducers formed thereby
US9570098B2 (en) 2013-12-06 2017-02-14 Seagate Technology Llc Methods of forming near field transducers and near field transducers formed thereby
US9697856B2 (en) 2013-12-06 2017-07-04 Seagate Techology LLC Methods of forming near field transducers and near field transducers formed thereby
US11162169B2 (en) 2014-11-11 2021-11-02 Seagate Technology Llc Near-field transducer having secondary atom higher concentration at bottom of the peg
US10699732B2 (en) 2014-11-11 2020-06-30 Seagate Technology Llc Devices including a diffusion barrier layer
US10190210B2 (en) 2014-11-11 2019-01-29 Seagate Technology Llc Near-field transducer having at least one secondary atom
US10519540B2 (en) 2014-11-11 2019-12-31 Seagate Technology Llc Near field transducer having secondary atom higher concentration at bottom of the peg
US9822444B2 (en) 2014-11-11 2017-11-21 Seagate Technology Llc Near-field transducer having secondary atom higher concentration at bottom of the peg
US10510364B2 (en) 2014-11-12 2019-12-17 Seagate Technology Llc Devices including a near field transducer (NFT) with nanoparticles
US10580440B2 (en) 2015-03-22 2020-03-03 Seagate Technology Llc Devices including a diffusion barrier layer
US9792931B2 (en) 2015-03-22 2017-10-17 Seagate Technology Llc Devices including a difussion barrier layer
US10192574B2 (en) 2015-03-22 2019-01-29 Seagate Technology Llc Devices including a diffusion barrier layer
TWI613315B (en) * 2016-02-22 2018-02-01 精微超科技公司 Pe-ald methods with reduced quartz-based contamination
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
CN110959186A (en) * 2017-06-06 2020-04-03 朗姆研究公司 Deposition of ruthenium layers in interconnect metallization
KR20200005681A (en) * 2017-06-06 2020-01-15 램 리써치 코포레이션 Deposition of Ruthenium Layers in the Interconnect Metal Part
US20180347041A1 (en) * 2017-06-06 2018-12-06 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
KR102646004B1 (en) 2017-06-06 2024-03-08 램 리써치 코포레이션 Deposition of ruthenium layers in interconnect metal parts
WO2021249224A1 (en) * 2020-06-09 2021-12-16 盛美半导体设备(上海)股份有限公司 Method for removing barrier layer

Similar Documents

Publication Publication Date Title
US7713876B2 (en) Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070069383A1 (en) Semiconductor device containing a ruthenium diffusion barrier and method of forming
US7288479B2 (en) Method for forming a barrier/seed layer for copper metallization
US7396766B2 (en) Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US7646084B2 (en) Deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7678421B2 (en) Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7482269B2 (en) Method for controlling the step coverage of a ruthenium layer on a patterned substrate
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US7473634B2 (en) Method for integrated substrate processing in copper metallization
US7273814B2 (en) Method for forming a ruthenium metal layer on a patterned substrate
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
JP2013507008A5 (en)
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system
US20070231489A1 (en) Method for introducing a precursor gas to a vapor deposition system

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SUZUKI, KENJI;REEL/FRAME:017062/0185

Effective date: 20050928

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION