US20070152276A1 - High performance CMOS circuits, and methods for fabricating the same - Google Patents

High performance CMOS circuits, and methods for fabricating the same Download PDF

Info

Publication number
US20070152276A1
US20070152276A1 US11/323,578 US32357805A US2007152276A1 US 20070152276 A1 US20070152276 A1 US 20070152276A1 US 32357805 A US32357805 A US 32357805A US 2007152276 A1 US2007152276 A1 US 2007152276A1
Authority
US
United States
Prior art keywords
gate
layer
silicon
dielectric layer
gate dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/323,578
Inventor
John Arnold
Glenn Biery
Alessandro Callegari
Tze-Chiang Chen
Michael Chudzik
Bruce Doris
Michael Gribelyuk
Young-Hee Kim
Barry Linder
Vijay Narayanan
Joseph Newbury
Vamsi Paruchuri
Michelle Steen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/323,578 priority Critical patent/US20070152276A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STEEN, MICHELLE L., BIERY, GLENN A., CALLEGARI, ALESSANDRO C., CHEN, TZE-CHIANG, CHUDZIK, MICHAEL P., GRIBELYUK, MICHAEL A., ARNOLD, JOHN C., DORIS, BRUCE B., KIM, YOUNG-HEE, LINDER, BARRY P., NARAYANAN, VIJAY, NEWBURY, JOSEPH S., PARUCHURI, VAMSI K.
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STEEN, MICHELLE L., BIERY, GLENN A., CALLEGARI, ALESSANDRO C., CHEN, TZE-CHIANG, CHUDZIK, MICHAEL P., GRIBELYUK, MICHAEL A., ARNOLD, JOHN C., DORIS, BRUCE B., KIM, YOUNG-HEE, LINDER, BARRY P., NARAYANAN, VIJAY, NEWBURY, JOSEPH S., PARUCHURI, VAMSI K.
Priority to CN2006101470739A priority patent/CN1992274B/en
Priority to KR1020060124425A priority patent/KR101055930B1/en
Priority to JP2006343524A priority patent/JP5128121B2/en
Publication of US20070152276A1 publication Critical patent/US20070152276A1/en
Priority to US12/541,562 priority patent/US8383483B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Definitions

  • the present invention generally relates to semiconductor devices, such as high performance complementary metal-oxide-semiconductor (CMOS) circuits, that each contains at least one n-channel field effect transistor (n-FET) and at least one p-channel field effect transistor (p-FET). More specifically, the present invention relates to CMOS circuits that each contains at least one n-FET gate stack having a gate dielectric layer and a metallic gate conductor, and at least one p-FET gate stack having a gate dielectric layer and a silicon-containing gate conductor, as well as to methods for forming such CMOS circuits.
  • CMOS complementary metal-oxide-semiconductor
  • an n-FET device uses an As (or other donor) doped n-type polysilicon layer as a gate electrode, which is deposited on top of a semiconductor oxide or semiconductor oxynitride gate dielectric layer. The gate voltage is applied through this n-doped polysilicon layer to create an inversion channel in the p-type silicon underneath the gate dielectric layer.
  • a p-FET device uses a boron (or other donor) doped p-type polysilicon layer as a gate electrode, which is also deposited on top of a semiconductor oxide or semiconductor oxynitride gate dielectric layer. The gate voltage is applied through the p-doped polysilicon layer to create an inversion channel in the n-type silicon underneath the gate dielectric layer.
  • metallic materials have been shown as promising gate electrode materials for achieving further gains in device performance.
  • the n-FET and p-FET devices of the CMOS circuits must comprise different metals, and complimentary metals with work functions that are equivalent to the p-doped and n-doped polysilicon gate electrodes must be integrated simultaneously to form the respective n-FET and p-FET gate structures in the CMOS circuits. Patterning, thermal budget restraints, and material interactions associated with front-end-of-line (FEOL) logic integration have been problematic for a number of candidate metal materials.
  • FEOL front-end-of-line
  • CMOS circuits that contain heterogeneous n-FET and p-FET gate structures for achieving continuous gains in the CMOS device performance.
  • the present invention in one aspect, relates to a semiconductor device comprising:
  • a semiconductor substrate containing at least first and second device regions adjacent to each other;
  • first gate stack located over the first device region, wherein the first gate stack comprises at least, from bottom to top, a gate dielectric layer comprising a dielectric material having a dielectric constant (k) equal to or greater than that of silicon dioxide, a metallic gate conductor, and a silicon-containing gate conductor; and
  • a second gate stack located over the second device region, wherein the second gate stack comprises at least, from bottom to top, a gate dielectric layer and a silicon-containing gate conductor.
  • the term “metallic” as used herein refers to a structure or component that is formed essentially of a conductive material containing at least one metal in an elemental form, an alloy form, or a compound form. Examples of such conductive material include, but are not limited to: elemental metals, metal alloys, metal nitrides, metal silicides, etc.
  • the metallic gate conductor of the first gate stack comprises a metal nitride or a metal silicon nitride that contains a Group IVB or VB metal.
  • the metallic gate conductor comprises TiN, TaN, a ternary alloy of Ti—La—N, a ternary alloy of Ta—La—N, or a stack with a ternary alloy of Ti—La—N and Ta—La—N.
  • the gate dielectric layer of the first gate stack comprises a hafnium-based dielectric material selected from the group consisting of hafnium oxide, hafnium silicate, hafnium silicon oxynitride, a mixture of hafnium oxide and zirconium oxide, and multilayers thereof.
  • the metallic gate conductor of the first gate stack preferably comprises a metal nitride or a metal silicon nitride that contains a Group IVB or VB metal. More preferably, the metallic gate conductor comprises TiN, TaN, a ternary alloy of Ti-RE-N (RE stands for rare earth metal), a ternary alloy of Ta-RE-N, a ternary alloy of Ti-AE-N (AE stands for alkaline earth metal), a ternary alloy of Ta-AE-N, or a stack containing mixtures thereof.
  • RE rare earth metal
  • AE-N ternary alloy of Ti-AE-N
  • Ta-AE-N ternary alloy of Ta-AE-N
  • the silicon-containing gate conductors of the first and second gate stacks preferably comprise polycrystalline silicon.
  • the first and second gate stacks as described hereinabove constitute a basic heterogeneous gate configuration for the semiconductor device of the present invention.
  • Such first and second gate stacks may comprise one or more additional layers for further improvements of the device performance or manufacturability in the present invention.
  • the first gate stack may further comprise an interfacial layer located beneath the gate dielectric layer and an additional silicon-containing gate conductor located above the silicon-containing gate conductor
  • the second gate stack may further comprise an additional silicon-containing gate conductor located above the silicon-containing gate conductor
  • the first gate dielectric stack may further comprise a conductive oxygen barrier layer located above the metallic gate conductor and beneath the silicon-containing gate conductor.
  • the first gate dielectric stack may further comprise an interfacial layer located beneath the gate dielectric layer, and a rare earth metal-containing or an alkaline earth metal-containing layer located above, or within, the gate dielectric layer and underneath the metallic gate conductor. If the first gate dielectric stack comprises a rare earth metal-containing layer, the rare earth metal-containing layer preferably comprises an oxide or nitride of at least one rare earth metal.
  • the alkaline earth metal-containing layer preferably comprises a compound having the formula M x A y , wherein M is at least one alkaline earth metal, and wherein A is one of O, S, orahalide, x is 1 or 2, and y is 1, 2 or 3.
  • the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • the second gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
  • the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • first gate dielectric layer forming a first gate dielectric layer, a metallic gate conductor and a silicon-containing gate conductor selectively over the first device region of the semiconductor substrate, wherein the first gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
  • planarizing the silicon-containing layer, the second gate dielectric layer and the silicon-containing gate conductor to remove portions of the silicon-containing layer and the second gate dielectric layer from the first device region and to expose an upper surface of the silicon-containing gate conductor in the first device region, and wherein the exposed silicon-containing gate conductor in the first device region is substantially coplanar with the un-removed portion of the silicon-containing layer in the second device region;
  • the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • first gate dielectric layer forming a first gate dielectric layer, a metallic gate conductor and a silicon-containing gate conductor selectively over the first device region of the semiconductor substrate, wherein the first gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
  • the present invention relates to a method for forming a semiconductor device, while the first gate stack of such a semiconductor device further comprises an interfacial layer located beneath the gate dielectric layer and an additional silicon-containing gate conductor located above the silicon-containing gate conductor, and the second gate stack further comprises an additional silicon-containing gate conductor located above the silicon-containing gate conductor.
  • This method specifically comprises the steps of:
  • the present invention relates to a method for forming a semiconductor device, while the first gate stack of such a semiconductor device further comprises a conductive oxygen barrier layer located above the metallic gate conductor and beneath the silicon-containing gate conductor.
  • This method specifically comprises the steps of:
  • first dielectric layer forming a first dielectric layer, a metallic gate conductor and a conductive oxygen diffusion barrier layer selectively over the first device region of the semiconductor substrate;
  • first and second gate dielectric layer patterning the silicon-containing layer, the conductive oxygen diffusion barrier layer, the metallic gate conductor, the first gate dielectric layer, and the second gate dielectric layer to form first and second gate stacks.
  • the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • first dielectric layer a metallic gate.
  • conductor and an insulating oxygen diffusion barrier layer selectively over the first device region of the semiconductor substrate;
  • the present invention relates to a method for forming a semiconductor device, while the first gate stack of such a semiconductor device comprises a hafnium-based high k (i.e., having a dielectric constant greater than that of the silicon dioxide) gate dielectric layer, and it further comprises an interfacial layer located beneath the high k gate dielectric layer, and a rare earth metal-containing or an alkaline earth metal-containing layer located above, or within, the high k gate dielectric layer and beneath the metallic gate conductor.
  • This method specifically comprises the steps of:
  • first and second gate stacks patterning the silicon-containing layer, the metallic layer, the rare earth metal-containing or alkaline earth metal-containing layer, the high k gate dielectric layer, the interfacial layer, and the gate dielectric layer to form first and second gate stacks.
  • FIG. 1 shows a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other, according to one embodiment of the present invention.
  • the patterned n-FET gate stack comprises, from bottom to top, a high k gate dielectric, a metal gate conductor, and a polysilicon gate conductor.
  • the patterned p-FET gate stack comprises, from bottom to top, a gate dielectric and a polysilicon gate conductor.
  • FIGS. 2A-2I show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1 , by first forming a gate dielectric and a polysilicon gate conductor in the p-FET device region, followed by covering the p-FET device region with a protective capping layer during the high k dielectric and metal deposition in the n-FET device region, according to one embodiment of the present invention.
  • FIGS. 3A-3E shows cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1 , using a “metal first” approach wherein the high k gate dielectric layer, the metallic gate conductor, and the silicon-containing gate conductor are first formed in the n-FET device region, followed by formation of the gate dielectric layer and the silicon-containing gate conductor in the p-FET device region by deposition and planarization, according to one embodiment of the present invention.
  • FIGS. 4A-4E show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1 , using a “metal first” approach wherein the high k gate dielectric layer, the metallic gate conductor, and the silicon-containing gate conductor are first formed in the n-FET device region, followed by formation of the gate dielectric layer and the silicon-containing gate conductor in the p-FET device region by deposition and selective etching, according to one embodiment of the present invention.
  • FIG. 5 shows a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other, according to one embodiment of the present invention.
  • the patterned n-FET gate stack comprises, from bottom to top, an interfacial layer, a high k gate dielectric layer, a metal gate conductor, a first polysilicon gate conductor, a second polysilicon gate conductor, and a cap layer.
  • the patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric, a first polysilicon gate conductor, a second polysilicon gate conductor, and a cap layer.
  • FIGS. 6A-6H show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 5 .
  • FIG. 7 is a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other, according to one embodiment of the present invention.
  • the patterned n-FET gate stack comprises, from bottom to top, a high k gate dielectric, a metal gate conductor, an oxygen diffusion barrier layer, and a polysilicon gate conductor.
  • the patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric and a polysilicon gate conductor.
  • FIGS. 8A-8G show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 7 .
  • FIG. 9 is a cross-sectional view of an n-FET gate structure and a p-FET gate structure located next to each other, according to one embodiment of the present invention.
  • the n-FET gate structure comprises, from bottom to top, an un-patterned interfacial layer, an un-patterned HfO 2 layer, an un-patterned RE-containing or AE-containing layer, a metal gate conductor, and a polysilicon gate conductor.
  • the p-FET gate structure comprises, from bottom to top, an un-patterned semiconductor oxide or semiconductor oxynitride gate dielectric and a polysilicon gate conductor.
  • FIGS. 10A-10J show cross-sectional views that illustrate exemplary processing steps for forming the n-FET and p-FET gate structures of FIG. 9 and for further forming an n-FET and a p-FET using the respective gate structures.
  • the present invention provides an improved semiconductor device, e.g., a CMOS circuit, which contains an integrated, heterogeneous (or hybrid) gate configuration for the n-FET and p-FET gate structures.
  • the n-FET gate stack in the CMOS circuit of the present invention comprises at least, from bottom to top, a gate dielectric layer, a metallic gate conductor, and a silicon-containing gate conductor.
  • the p-FET gate stack, in such a CMOS circuit comprises, from bottom to top, a conventional gate dielectric layer and a conventional silicon-containing gate conductor.
  • the gate dielectric layer of the n-FET gate stack comprises a high k gate dielectric material with a dielectric constant greater than that of silicon dioxide.
  • the gate dielectric layer of the n-FET gate stack may comprise a gate dielectric material with a dielectric constant equal to that of silicon dioxide.
  • Such a heterogeneous or hybrid gate configuration provides, on one hand, a band edge n-FET gate stack of metallic gate with an inversion thickness (Tinv) of about 14 ⁇ and high electron mobility, which function to achieve a performance boost for the CMOS circuit over the conventional n-FET gate stack of polysilicon gate having a Tinv of about 18 ⁇ .
  • such a heterogeneous or hybrid gate configuration provides a p-FET gate stack of conventional polysilicon gate, thereby overcoming the vacancy and thermal instability problems that are typically associated with p-FET gate stacks that contain metallic gates.
  • p-FET performance can be improved by substrate engineering using substrates of hybrid crystal orientations (i.e., the HOT technologies as described by U.S. patent application Ser. No. 10/250,241 filed on Jun. 17, 2003 for “HIGH PERFORMANCE CMOS SOI DEVICES ON HYBRID CRYSTAL-ORIENTED SUBSTRATES,” which was published on Dec. 23, 2004 as US Patent Application Publication No. 2004/0256700, and U.S. patent application Ser. No. 10/932,982 filed on Sep.
  • the heterogeneous or hybrid gate configuration proposed by the present invention is particularly useful for achieving improved device performance when used in conjunction with substrates of suitable hybrid crystal orientations.
  • FIG. 1 An exemplary CMOS circuit 10 of the present invention is illustrated in FIG. 1 , which comprises a semiconductor substrate 12 having at least one n-FET device region and at least one p-FET device region adjacent to each other.
  • a first gate stack i.e., an n-FET gate stack, is located over the semiconductor substrate 12 in the n-FET device region and comprises, from bottom to top, a gate dielectric layer 14 (which is preferably a high k gate dielectric layer), a metallic gate conductor 16 , and a silicon-containing gate conductor 18 .
  • a second gate stack i.e., a p-FET gate stack, is located over the semiconductor substrate 12 in the p-FET device region and comprises, from bottom to top, a gate dielectric layer 20 and a silicon-containing gate conductor 22 .
  • FIG. 1 which is not drawn to scale, only one n-FET gate stack and one p-FET gate stack are shown on the semiconductor substrate 12 .
  • the present invention is not limited to any specific number of n-FET and p-FET gate stacks.
  • the semiconductor devices of the present invention may also contain other logic circuitry components, such as resistors, diodes, planar capacitors, varactors, etc., in addition to the n-FETs and p-FETs.
  • the semiconductor substrate 12 employed in the present invention comprises any semiconductor material including, but not limited to: Si, Ge, SiGe, SiC, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors.
  • Semiconductor substrate 12 may also comprise an organic semiconductor or a layered semiconductor such as Si/SiGe, a silicon-on-insulator (SOI) or a SiGe-on-insulator (SGOI).
  • SOI silicon-on-insulator
  • SGOI SiGe-on-insulator
  • the semiconductor substrate 12 may be doped, undoped or contain both doped and undoped regions therein.
  • the semiconductor 12 may also include a first doped (n- or p-) region and a second doped (p- or n-) region.
  • the doped regions are not specifically shown in the drawings of the present invention.
  • the first doped region and the second doped region may be the same, or they may have different conductivities and/or doping concentrations. These doped regions are known as “wells” and can be used to define various device regions.
  • the semiconductor substrate 12 is a hybrid substrate, which comprising at least one region (e.g., the n-FET device region) in which mobility of electrons is enhanced, and another region (e.g., the p-FET device region) in which mobility of holes is enhanced.
  • the n-FET device region in which mobility of electrons is enhanced
  • the p-FET device region in which mobility of holes is enhanced.
  • the semiconductor substrate 12 is a hybrid substrate that comprises different regions of different crystal orientations (which is referred to herein as a hybrid crystal orientation substrate).
  • Functionality of such hybrid crystal orientation substrates is based on the anisotropy of carrier mobility in the semiconductor crystals.
  • the mobility of charged carries such as electrons and holes varies with the crystal orientation of the semiconductor substrate. For example, hole mobility is enhanced for a (110) surface in comparison to a (100) surface in silicon substrate, but electron mobility is enhanced for the (100) silicon surface as compared to the (110) surface.
  • the mobility of the respective charge carriers (i.e., either electrons or holes) in the n-FET and p-FET device regions are both enhanced.
  • Such carrier mobility anisotropy also exists in other semiconductor materials, such as other group IV semiconductor materials as well as group III-V and II-VI compounds, and the hybrid crystal orientation technology (which is typically referred to as the HOT technology) therefore is readily applicable to substrates composed of such other semiconductor materials.
  • the hybrid crystal orientation substrate can be formed, for example, by a method that includes wafer bonding, selective etching and regrowth of a semiconductor layer, as described, for example, in U.S. patent application Ser. Nos. 10/250,241 and 10/932,982, the content of which is incorporated herein by reference in its entirety for all purposes.
  • At least one isolation region is typically provided in the semiconductor substrate 12 to isolate the adjacent n-FET and p-FET device regions from each other.
  • the isolation region may be a trench isolation region or a field oxide isolation region.
  • the trench isolation region is formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, lithography, etching and filling of the trench with a trench dielectric may be used in forming the trench isolation region.
  • a liner may be formed in the trench prior to trench fill, a densification step may be performed after the trench fill and a planarization process may follow the trench fill as well.
  • the field oxide may be formed utilizing a so-called local oxidation of silicon process.
  • the gate dielectric layer 14 of the n-FET gate stack preferably, but not necessarily, comprises a high k gate dielectric material with a dielectric constant greater than or equal to that of silicon dioxide (approximately 4.0). More preferably, the gate dielectric layer 14 comprises a hafnium-based high k dielectric material having a dielectric constant greater than about 10.0.
  • hafnium-based dielectric material can be selected from hafnium oxide (HfO 2 ), hafnium silicate (HfSiO x ), hafnium silicon oxynitride (HfSiON), a mixture of hafnium oxide and zirconium oxide (ZrO 2 ), or multilayers thereof.
  • the gate dielectric layer 14 of the n-FET gate stack comprises hafnium oxide or hafnium nitride.
  • the hafnium-based gate dielectric layer 14 can be replaced by, or used in conjunction with, other dielectric materials having a dielectric constant (k) of greater than or equal to about 4.0, more typically greater than or equal to about 7.0.
  • the other dielectric materials can be, for example, semiconductor oxides, semiconductor oxynitrides, metal oxides or mixed metal oxides that are well known to those skilled in the art, and they can be formed utilizing any of the techniques described hereinafter for forming the gate dielectric layer 14 .
  • the hafnium-based gate dielectric layer 14 can be formed on the surface of the semiconductor substrate 12 by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, physical vapor deposition (PVD), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • MOCVD metalorganic chemical vapor deposition
  • ALD atomic layer deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition and other like deposition processes.
  • the physical thickness of the hafnium-based gate dielectric layer 14 may vary, but typically, the layer 14 has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical.
  • the metallic gate conductor 16 preferably comprises a metallic material, such as a metal nitride or a metal silicon nitride, which contains a Group IVB or VB metal. More specifically, the metallic gate conductor 16 comprises a metal selected from the group consisting of Ti, Zr, Hf, V, Nb, or Ta, with Ti or Ta being highly preferred. For example, the metallic gate conductor 16 preferably comprises TiN or TaN.
  • the metallic gate conductor 16 of the present invention may comprise a ternary alloy of Ti-AE-N (“AE” stands for alkaline earth metal), a ternary alloy of Ta-AE-N, a ternary alloy of Ti-RE-N (“RE” stands for rare earth metal), a ternary alloy of Ta-RE-N, or a stack containing mixtures thereof.
  • AE alkaline earth metal
  • RE ternary alloy of Ti-RE-N
  • RE rare earth metal
  • the metallic gate conductor 16 may comprise a single metallic layer, or it may comprise multiple metallic layers of different metallic compositions.
  • the metallic gate conductor 16 further comprises a workfunction defining metal layer (not shown) within one of the device regions between a first metallic layer (not shown) and the silicon-containing gate conductor 18 .
  • workfunction defining metal it is meant a metal layer that can be used to adjust or set the workfunction of the gate stack.
  • the workfunction defining metal comprises at least one element from Groups IIIB, IVB or VB of the Periodic Table of Elements (the nomenclature of the elements is based on the CAS version).
  • Elements within the Lanthanide Series e.g., La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb or Lu
  • Illustrative examples of metal that can be used in providing an n-type workfunction to a conductive electrode comprise, but are not limited to: Sc, Y, La, Zr, Hf, V, Nb, Ta, Ti and elements from the Lanthanide Series.
  • the workfunction defining metal used in providing the n-type workfunction shift is one of elements from the Lanthanide group.
  • the workfunction defining metal comprises at least one element from Groups VIB, VIIB and VIII of the Periodic Table of Elements (the nomenclature of the elements is based on the CAS version).
  • Illustrative examples of metals that can be used in providing a p-type workfunction to a conductive electrode comprise, but are not limited to: Re, Fe, Ru, Co, Rh, Ir, Ni, Pd, and Pt.
  • the workfunction defining metal used in providing the p-type workfunction shift is one of Re, Ru or Pt.
  • the metallic gate conductor 16 can be readily formed using a conventional deposition process, such as CVD, PVD, ALD, sputtering or evaporation.
  • the physical thickness of the metallic conductor 16 may vary, but typically, the metallic conductor 16 has a thickness from about 0.5 to about 200 nm, with a thickness from about 5 to about 80 nm being more typical.
  • the gate dielectric layer 20 of the p-FET gate stack preferably comprises a conventional gate dielectric material, such as semiconductor oxide, semiconductor oxynitride, metal oxide such as Al 2 0 3 , AlON, AlN, and combinations and multilayers thereof. High k dielectric materials, as described hereinabove, can also be used to form the gate dielectric layer 20 .
  • the gate dielectric layer 20 can be formed by a thermal growing process such as, for example, oxidation or oxynitridation.
  • the gate dielectric layer 20 can be formed by a deposition process such as CVD, PVD, ALD, evaporation, reactive sputtering, chemical solution deposition, or any other suitable deposition processes.
  • the gate dielectric layer 20 may also be formed utilizing any combination of the above processes.
  • the physical thickness of the gate dielectric layer 20 may vary, but typically, the gate dielectric layer 20 has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical.
  • the silicon gate conductors 18 and 22 of the n-FET and p-FET gate stacks may include Si or a SiGe alloy in, polycrystalline, or amorphous form, with polycrystalline Si or SiGe being more typical.
  • Such silicon gate conductors 18 and 22 can be formed by depositing one or more blanket layers of a Si-containing material utilizing known deposition processes, such as, for example, CVD, PVD, or evaporation.
  • the Si-containing material layers can be either doped or undoped. If doped, an in-situ doping deposition process may be employed to form the same.
  • a doped Si-containing layer can be formed by deposition, ion implantation, and annealing.
  • the ion implantation and annealing can occur prior to or after a subsequent etching step that patterns the material stack.
  • the doping of the Si-containing layer will shift the work function of the gate conductor so formed.
  • the thickness, i.e., height, of the Si-containing gate conductors 18 and 22 may vary depending on the deposition process used. Typically, the Si-containing gate conductors 18 and 22 each has a vertical thickness from about 20 to about 180 nm, with a thickness from about 40 to about 150 nm being more typical.
  • CMOS circuit 10 as shown in FIG. 1 can be readily formed in an integrated manner by various methods of the present invention, which will now be described in greater detail by referring to the exemplary processing steps shown in the accompanying FIGS. 2A-4E .
  • FIGS. 2A-2I show exemplary processing steps for forming the n-FET and p-FET gate stacks of FIG. 1 , by first forming the a semiconductor oxide or semiconductor oxynitride gate dielectric and a polysilicon gate conductor in the p-FET device region, followed by covering the p-FET device region with a protective capping layer during the high k dielectric and metal deposition in the n-FET device region, according to one embodiment of the present invention.
  • FIG. 2A shows a semiconductor substrate 12 , which contains an n-FET device region and a p-FET device region that are located adjacent to each other and is preferably isolated from each other by a shallow trench isolation region (not shown).
  • a gate dielectric layer 20 and a silicon-containing gate conductor 20 are selectively formed on the p-FET device region, but not the n-FET device region.
  • a blank gate dielectric layer 20 is first formed over both the n-FET and the p-FET device regions (not shown), preferably by a thermal oxide deposition process, followed by deposition of a blanket silicon-containing layer 22 over both the n-FET and the p-FET device regions (not shown).
  • Portions of the layers 20 and 22 are then selectively removed from the n-FET device region (not shown), by one or more selective etching steps, such as soft/hard mask reactive ion etching (RIE), wet etching using a diluted hydrofluoric acid (DHF) etching solution, or any other suitable techniques.
  • selective etching steps such as soft/hard mask reactive ion etching (RIE), wet etching using a diluted hydrofluoric acid (DHF) etching solution, or any other suitable techniques.
  • the n-FET device region is then selectively covered with a photoresist material 74 , as shown in FIG. 2B , followed by formation of a protective material layer 76 over the silicon-containing gate conductor 22 in the p-FET device region, as shown in FIG. 2C .
  • the protective material layer 76 comprises at least one silane deactivator that selectively binds to the silicon-containing gate conductor 22 to form a protective coating that suppresses the growth or deposition of materials on the silicon-containing gate conductor 22 .
  • Suitable silane deactivators that can be used for the practice of the present invention include silane species selected from the broad families of chlorosilanes, organofunctional silanes, and alkylsilanes.
  • silane deactivators include, but are not limited to: dimethyl diacetoxy silane, bis diamino dimehtyl silane, dimethyl dichloro silane, dimethyl amino trimethyl silane, trichloro methyl silane, octadecyl trichloro silane, etc.,
  • the photoresist material 74 is subsequently removed from the n-FET device region, and a gate dielectric layer 14 (preferably, but not necessarily, a high k gate dielectric layer with a dielectric constant greater than that of silicon dioxide) is deposited over the n-FET device region, as shown in FIG. 2D .
  • a gate dielectric layer 14 preferably, but not necessarily, a high k gate dielectric layer with a dielectric constant greater than that of silicon dioxide
  • a rare earth metal-containing (RE-containing) and/or an alkaline earth metal-containing layer (AE-containing) layer can be formed over the n-FET device region either on top of or in place of the high k gate dielectric layer 14 .
  • a metallic layer 16 is formed over the n-FET device region, as shown in FIG. 2E .
  • the protective material layer 76 alters the surface morphology of the silicon-containing gate conductor 22 in the p-FET device region, thereby preventing deposition of the high k gate dielectric layer 14 , the RE/AE-containing layer (not shown), and the metallic layer 16 in the p-FET device region.
  • the protective material layer 76 is removed from the p-FET device region, as shown in FIG. 2F , followed by deposition of a blanket silicon-containing layer 78 over both the n-FET and p-FET device regions, as shown in FIG. 2G .
  • the blanket silicon-containing layer 78 , the metallic layer 16 , the high k gate dielectric layer 14 , the silicon-containing gate conductor 22 , and the gate dielectric 20 are then patterned by lithography and etching, so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET.
  • patterned polyconductor (PC) resists 80 and 82 are respectively formed over the n-FET and p-FET device regions by gate level lithography, as shown in FIG. 2H .
  • the pattern in such PC resists 80 and 82 is then transferred to the blanket silicon-containing layer 78 , the metallic layer 16 , the high k gate dielectric layer 14 , the silicon-containing gate conductor 22 , and the gate dielectric 20 , utilizing one or more dry etching steps, to form the patterned n-FET and p-FET gate stacks as shown in FIG. 21 .
  • Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • RIE reactive ion etching
  • the patterned PC resists 80 and 82 are removed after etching has been completed, resulting in the patterned n-FET and p-FET gate stacks as shown in FIG. 1 .
  • FIGS. 3A-3E shows another set of exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1 , using a “metal first” approach, wherein the high k gate dielectric layer, the metallic gate conductor, and the silicon-containing gate conductor are first formed in the n-FET device region, followed by formation of the gate dielectric layer and the silicon-containing gate conductor in the p-FET device region by deposition and planarization, according to one embodiment of the present invention.
  • FIG. 3A shows a semiconductor substrate 12 , which contains an n-FET device region and a p-FET device region that are located adjacent to each other and is isolated by a shallow trench isolation region 9 .
  • a high k gate dielectric layer 14 , a metallic gate conductor layer 16 , and a silicon-containing layer 84 are formed over both the n-FET and p-FET device regions, as shown in FIG. 3A .
  • portions of the high k gate dielectric layer 14 , the metallic gate conductor layer 16 , and the silicon-containing layer 84 are selectively removed from the p-FET device region by a patterning technique, followed by deposition of a gate dielectric layer 84 over both the n-FET and p-FET device regions, as shown in FIG. 3B .
  • the patterning is preferably carried out using a lithographic process, in which the n-FET device region is selectively blocked while the layered stack is removed from the p-FET device region.
  • a blanket silicon-containing layer 86 is deposited over both the n-FET and the p-FET device regions, as shown in FIG. 3C , and a planarization step, such as a chemical mechanical polishing step, is then carried out to planarize the entire structure and to remove portions of the silicon-containing layer 86 and the gate dielectric layer 84 from the n-FET device region. Consequently, an upper surface of the first silicon-containing layer 82 is exposed in the planarized n-FET device region, and the exposed silicon-containing layer 82 is substantially coplanar with the un-removed portion of the silicon-containing layer 86 in the second device region, as shown in FIG. 3D .
  • a dielectric hard mask layer 88 is formed over both the silicon-containing layer 82 in the n-FET device region and the un-removed portion of the silicon-containing layer 86 in the second device region, and patterned photoresist structures 90 and 92 are deposited over the upper surface of the dielectric hard mask layer 88 by conventional lithographic techniques.
  • the pattern in the photoresist structures 90 and 92 is then transferred to the dielectric mask layer 88 , the silicon-containing gate conductor layer 82 , the metallic gate conductor layer 16 , the high k gate dielectric layer 14 , the silicon-containing layer 86 , and the gate dielectric layer 84 , utilizing one or more dry etching steps, to forming the patterned n-FET and p-FET gate stacks as shown in FIG. 3E .
  • Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • the first pattern gate stack in the n-FET device region therefore comprises, from bottom to top, a high k gate dielectric layer 14 , a metallic gate conductor 16 , a silicon-containing gate conductor 18 , and a patterned dielectric hard mask 88 A, as shown in FIG. 3E .
  • the second patterned gate stack in the p-FET device region therefore comprises, from bottom to top, a gate dielectric layer 20 , a silicon-containing gate conductor 22 , and a patterned dielectric hard mask 88 B, as shown in FIG. 3E .
  • the patterned dielectric hard masks 88 A and 88 B can be subsequently removed from the patterned gate stacks.
  • FIGS. 4A-4E show exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1 , which also employs a “metal first” approach similar to the process illustrated by FIGS. 3A-3E , with the exception that the subsequently formed silicon-containing layer 86 and the gate dielectric layer 84 are removed from the n-FET device region by one or more etching steps, instead of the planarization step described hereinabove.
  • FIG. 4A shows selectively covering of the p-FET device region by a photoresist material 90 , after the deposition of the blanket silicon-containing layer 86 (i.e., after the step illustrated by FIG. 3C ).
  • One or more selective etching steps are then carried out to remove portions of the silicon-containing layer 86 and the gate dielectric layer 84 from regions that are not covered by the photoresist material 90 (i.e., the n-FET device region and the STI region 9 ), as shown in FIG. 4B .
  • a silicon-etching step (not shown) is first carried out to selective remove a portion of the silicon-containing layer 86 from the n-FET device region and the STI region 9 .
  • Such silicon-etching step stops on and exposes a portion of the underlying gate dielectric layer 84 in the n-FET device region and the STI region 9 .
  • the photoresist material 90 is removed from the p-FET device region, followed by an oxide stripping step to remove the exposed portion of the gate dielectric layer 84 from the n-FET device region and the STI region 9 .
  • the remaining portion of the gate dielectric layer 84 in the p-FET device region is covered by the remaining portion of the silicon-containing layer 86 and is therefore not removed by the oxide stripping.
  • the selective etching results in a seam or trench 92 between the remaining portion of the silicon-containing layer 86 in the p-FET device region and the silicon-containing gate conductor layer 82 in the n-FET device region, as shown in FIG. 4B .
  • the seam or trench 92 is preferably located over the STI region 9 .
  • a blanket silicon-containing layer 94 is deposited over both the n-FET and p-FET device regions, as shown in FIG. 4C .
  • Such a blanket silicon-containing layer 94 fills the seam or trench 92 and forms a continuous silicon-containing structural layer 94 that incorporates both the silicon-containing layer 86 in the p-FET device region and the silicon-containing gate conductor layer 82 in the n-FET device region.
  • the seam or trench 92 is located over the STI region 9 , as describe hereinabove, the STI region 9 functions to electrically isolate the continuous silicon-containing structural layer 94 from the n-FET and p-FET device regions of the semiconductor substrate 12 .
  • the continuous silicon-containing structural layer 94 , the metallic layer 16 , the high k gate dielectric layer 14 , and the remaining portion of the gate dielectric 84 are then patterned by lithography and etching, so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET.
  • patterned polyconductor (PC) resists 96 and 98 as shown in FIG.
  • Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • RIE reactive ion etching
  • Suitable wet etching processes employ one or more etching solutions that can react with specific structural layers for removal of such layers.
  • the patterned PC resists 96 and 98 are then removed after etching has been completed, resulting in the patterned n-FET and p-FET gate stacks that are respectively located in the n-FET and p-FET device regions, which are isolated by the STI region 9 , as shown in FIG. 4E . Note that a recess 100 is formed in the STI region 9 during one or more of the etching steps.
  • FIG. 5 shows a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other over a semiconductor substrate 12 , according to one embodiment of the present invention.
  • the patterned n-FET gate stack comprises, from bottom to top, an interfacial layer 13 , a high k gate dielectric layer 14 , a metallic gate conductor 16 , a first polysilicon gate conductor 18 A, and a second polysilicon gate conductor 18 B.
  • the patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric layer 20 , a first polysilicon gate conductor 22 A, and a second polysilicon gate conductor 22 B.
  • Such patterned n-FET and p-FET gate stacks as shown in FIG. 5 can be readily formed by a method containing at least those exemplary process steps illustrated by FIGS. 6A-6H .
  • the first and second polysilicon gate conductor 18 A and 18 B of the n-FET gate stack which are formed by two separate processing steps as described hereinabove, may comprise polysilicon materials of either the same or different properties.
  • FIG. 6A shows formation of a blanket gate dielectric layer 20 and a blanket silicon-containing gate. conductor layer 22 over both the n-FET and p-FET device regions. A photoresist material 30 is then selectively formed over the p-FET device region, as shown in FIG. 6B . Portions of the layers 20 and 22 are then selectively removed from the n-FET device region (as shown in FIG. 6C ) by one or more selective etching steps, such as soft/hard mask reactive ion etching (RIE), DHF wet etching, or any other suitable techniques.
  • RIE soft/hard mask reactive ion etching
  • an interfacial layer 13 , a high k dielectric layer 14 , a metallic gate conductor layer 16 , and a silicon-containing material layer 18 A are deposited over both the n-FET and p-FET device regions, as shown in FIG. 6D .
  • the interfacial layer 13 is optionally formed on the surface of the semiconductor substrate. 12 by chemical oxidation.
  • the optional interfacial layer 13 is formed utilizing a conventional wet chemical process technique that is well known to those skilled in the art.
  • the interfacial layer 13 may be formed by thermal oxidation, oxynitridation or by vapor deposition.
  • the substrate 12 is a Si-containing semiconductor
  • the interfacial layer 13 is comprised of chemical oxide grown by wet processing, or thermally grown or deposited silicon oxide, silicon oxynitride or a nitrided silicon oxide.
  • the interfacial layer 13 may comprise a semiconducting oxide, a semiconducting oxynitride or a nitrided semiconducting oxide or any other interface dielectric such as, for example, one having a low interface trap density with the semiconducting material.
  • the thickness of the interfacial layer 13 ranges typically from about 0.4 to about 1.2 nm, with a thickness from about 0.6 to about 1 nm being more typical.
  • the thickness of the interfacial layer 13 may be different after processing at higher temperatures, which are usually required during CMOS fabrication.
  • the interfacial layer 13 is a semiconductor oxide layer having a thickness ranging from about 0.6 to about 1.0 nm that is formed by a wet chemical oxidation step.
  • the wet chemical oxidation step includes treating a cleaned semiconductor surface with a mixture of ammonium hydroxide, hydrogen peroxide and water (in a 1:1:5 ratio) at 65° C.
  • the interfacial layer 13 can also be formed by treating the semiconductor surface in ozonated aqueous solutions, with the ozone concentration ranging from about 2 parts per million (ppm) to about 40 ppm.
  • the n-FET device region is selectively covered by a photoresist material 32 , as shown in FIG. 6E .
  • the photoresist material 32 is then used as a mask for selectively removal of portions of the silicon-containing gate conductor layer 18 A, the metallic gate conductor layer 16 , the high k gate dielectric layer 14 , and the interfacial layer 13 from the p-FET device region, as shown in FIG. 6F , via one or more selective etching steps, such as soft/hard mask reactive ion etching (RIE), wet etching, or any other suitable techniques.
  • RIE soft/hard mask reactive ion etching
  • a blanket silicon-containing material layer 34 and a dielectric hard mask layer 36 are formed over both the n-FET and the p-FET device regions, as shown in FIG. 6G .
  • the blanket silicon-containing material layer 34 , the silicon-containing gate conductor layer 18 A, the metallic gate conductor layer 16 , the high k gate dielectric layer 14 , the interfacial layer 13 , the silicon-containing gate conductor 22 , the gate dielectric layer 20 , and the dielectric hard mask 36 are then patterned by lithography and etching so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET as shown in FIG. 5 .
  • the lithography steps include applying a photoresist (not shown) to the upper surface of the dielectric hard mask layer 36 , exposing the photoresist to a desired pattern of radiation and developing the exposed photoresist utilizing a conventional resist developer.
  • the pattern in the photoresist is then transferred to the dielectric mask layer 36 , forming patterned dielectric hard masks 19 and 23 , as shown in FIG. 6H .
  • the patterned photoresist is then removed, and the pattern in the hard masks 19 and 23 is subsequently transferred to the underlying layers, utilizing one or more dry and/or wet etching steps, to form the patterned n-FET and p-FET gate stacks as shown in FIG. 5 .
  • Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • RIE reactive ion etching
  • the hard masks 19 and 23 are removed from the patterned gate stacks after the patterning.
  • FIG. 7 is a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each-other, according to one embodiment of the present invention.
  • the patterned n-FET gate stack comprises, from bottom to top, a high k gate dielectric 14 , a metal gate conductor 16 , a conductive oxygen diffusion barrier layer 17 , and a polysilicon gate conductor 18 .
  • the patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric 20 and a polysilicon gate conductor 22 .
  • the conductive oxygen diffusion barrier layer 17 functions to protect the n-FET gate stack from the harsh thermal oxidation processing conditions that are used to form the gate dielectric 20 in the p-FET device region.
  • Such conductive oxygen diffusion barrier layer 17 preferably comprises an amorphous oxygen barrier material, such as TaSiN or HfSiN, which can prevent the diffusion of oxygen and effectively protect the n-FET gate stack from thermal oxidation conditions.
  • FIGS. 8A-8G show exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 7 .
  • FIG. 8A shows formation of a blanket high k gate dielectric layer 14 , a blanket metallic gate conductor layer 16 , and a blanket conductive oxygen diffusion barrier layer 17 over both the n-FET and the p-FET device regions.
  • a photoresist material 42 is formed over the conductive oxygen diffusion barrier layer 17 to selectively cover the n-FET device region, as shown in FIG. 8B .
  • Selective etching is then carried out to remove portions of the high k gate dielectric layer 14 , the metallic gate conductor layer 16 , and the conductive oxygen diffusion barrier layer 17 from the p-FET device region, thereby exposing an upper surface of the semiconductor substrate 12 in the p-FET device region, as shown in FIG. 8C .
  • Thermal oxidation is then carried out to form the gate dielectric layer 20 in the p-FET device region, while the n-FET device region is protected from the thermal oxidation by the conductive oxygen diffusion barrier layer 17 .
  • the thermal oxidation process includes a rapid thermal oxidation (RTO) step or a rapid thermal nitrification (RTNH 3 )/re-oxidation step.
  • a blanket silicon-containing material layer 44 is deposited over both the n-FET and the p-FET device regions, as shown by FIG. 8E .
  • the blanket silicon-containing material layer 44 , the conductive oxygen diffusion barrier layer 17 , the metallic gate conductor layer 16 , the high k gate dielectric layer 14 , and the gate dielectric 20 are then patterned by lithography and etching, so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET.
  • patterned polyconductor (PC) resists 46 A and 46 B as shown in FIG.
  • Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • RIE reactive ion etching
  • Suitable wet etching processes employ one or more etching solutions that can react with specific structural layers for removal of such layers.
  • the patterned PC resists 46 A and 46 B are then removed after etching has been completed, resulting in the patterned n-FET and p-FET gate stacks as shown in FIG. 7 .
  • FIGS. 8A-8G illustrate formation of patterned n-FET and p-FET gate stacks using a conductive oxygen diffusion barrier layer 17 , which is subsequent retained in and becomes a part of the final n-FET gate structure
  • an insulating oxygen diffusion barrier layer (not shown), which contains an insulating material capable of preventing oxygen diffusion, can also be used to protect the n-FET gate stack during the thermal oxidation process as described hereinabove.
  • Such an insulating oxygen diffusion barrier layer is removed after the thermal oxidation process. Therefore, the final n-FET gate structure formed by this alternative process does not contain any oxygen diffusion barrier layer and has substantially the same structure as the n-FET gate stack shown by FIG. 1 .
  • FIG. 9 shows an n-FET gate structure and a p-FET gate structure located next to each other, according to one embodiment of the present invention.
  • the n-FET gate structure is located over an n-FET device region defined by a p-well 8 A
  • the p-FET gate structure is located over a p-FET device region defined by an n-well 8 B, which are isolated from each other by the STI region 9 .
  • the n-FET gate structure comprises, from bottom to top, an un-patterned interfacial layer 13 , an un-patterned HfO 2 gate dielectric layer 14 , an un-patterned RE-containing or AE-containing layer 15 , a metal gate conductor 16 , and a polysilicon gate conductor 18 .
  • the p-FET gate structure comprises, from bottom to top, an un-patterned semiconductor oxide or semiconductor oxynitride gate dielectric layer 20 and a polysilicon gate conductor 22 .
  • layer 15 is a RE-containing (i.e., rare earth metal-containing) layer, which comprises an oxide or nitride of at least one element selected from Group IIIB of the Periodic Table of Elements, such as, for example, La, Ce, Pr, Nd. Pm, Sm, Eu, Ga, Th, Dy, Ho, Er, Tm, Yb, Lu, or mixtures thereof.
  • the RE-containing layer 16 comprises an oxide of La, Ce, Y, Sm, Er, and/or Th, with La 2 O 3 or LaN being most preferred.
  • the RE-containing layer 16 is formed utilizing a conventional deposition process including, for example, evaporation, molecular beam deposition, MOCVD, ALD, PVD, and other suitable processes.
  • the RE-containing layer 15 typically has a thickness from about 0.1 nm to about 3.0 nm, with a thickness from about 0.3 nm to about 1.6 nm being more typical.
  • the RE-containing layer 15 is formed by placing the entire device structure into the load-lock of a molecular beam deposition chamber, followed by pumping this chamber down to the range of 10 ⁇ 5 to 10 ⁇ 8 Torr. After these steps, the device structure is inserted, without breaking vacuum into the growth chamber where the RE-containing layer 15 such as La oxide is deposited by directing atomic/molecular beams of the rare earth metal and oxygen or nitrogen onto the structure's surface. Specifically, because of the low pressure of the chamber, the released atomic/molecular species are beamlike and are not scattered prior to arriving at the structure. A substrate temperature of about 300° C. is used.
  • the La evaporation cell is held in the temperature range of 1400° to 1700° C., and a flow rate of 1 to 3 sccm of molecular oxygen is used.
  • atomic or excited oxygen may be used as well, and this can be created by passing the oxygen through a radio frequency source excited in the range of 50 to 600 Watts.
  • the pressure within the chamber can be in the range from 1 ⁇ 10 ⁇ 5 to 8 ⁇ 10 ⁇ 5 Torr
  • the La oxide growth rate can be in the range from 0.1 to 2 nm per minute, with a range from 0.5 to 1.5 nm being more typical.
  • layer 15 is an AE-containing (i.e., alkaline earth metal-containing) layer, which comprises a compound having the formula M x A y wherein M is an alkaline earth metal (e.g., Be, Mg, Ca, Sr, and/or Ba), A is one of O, S or a halide, x is 1 or 2, and y is 1, 2, or 3.
  • M is an alkaline earth metal (e.g., Be, Mg, Ca, Sr, and/or Ba)
  • A is one of O, S or a halide
  • x is 1 or 2
  • y is 1, 2, or 3.
  • the present invention contemplates AE-containing compounds that include a mixture of alkaline earth metals and/or a mixture of anions, such as—OCl ⁇ 2 .
  • AE-containing compounds examples include, but are not limited to: MgO, MgS, MgF 2 , MgCl 2 , MgBr 2 , MgI 2 , CaO, CaS, CaF 2 , CaCl 2 , CaBr 2 , CaI 2 , SrO, SrS, SrF 2 , SrCl 2 , SrBr 2 , SrI 2 , BaO, BaS, BaF 2 , BaCl 2 , BaBr 2 , and BaI 2 .
  • the AE-containing compound includes Mg.
  • MgO is a highly preferred AE-containing material employed in the present invention.
  • the AE-containing layer 15 is formed utilizing a conventional deposition process including, for example, sputtering from a target, reactive sputtering of an alkaline earth metal under oxygen plasma conditions, electroplating, evaporation, molecular beam deposition, MOCVD, ALD, PVD and other like deposition processes.
  • the AE-containing material 15 typically has a deposited thickness from about 0.1 nm to about 3.0 nm, with a thickness from about 0.3 nm to about 1.6 nm being more typical.
  • FIGS. 10A-10I show cross-sectional views that illustrate exemplary processing steps for forming the n-FET and p-FET gate structures of FIG. 9
  • FIG. 10J further illustrates formation of complete n-FET and p-FET devices using the respective gate structures shown in FIG. 10I .
  • FIG. 10A shows formation of an interfacial layer 13 and a hafnium layer 48 over both the n-FET and the p-FET device regions.
  • a dielectric hard mask 50 is formed over the n-FET device region to selective over the n-FET device region.
  • One or more selective etching steps are carried out using the hard mask 50 to selectively remove portions of the interfacial layer 13 and the hafnium layer 48 from the p-FET device region, thereby exposing an upper surface of the semiconductor substrate 12 in the p-FET device region, as shown in FIG. 10B .
  • the hard mask 50 is then removed, followed by a rapid thermal oxidation/nitrification step, which forms an HfO 2 gate dielectric layer 14 over the n-FET device region and a semiconductor oxide or semiconductor oxynitride gate dielectric layer 20 over the p-FET device region, as shown in FIG. 10C .
  • a RE-containing or an AE-containing layer 15 is selectively deposited over the n-FET device region, as shown in FIG. 10D .
  • a blanket metallic gate conductor layer 16 is then formed over both the n-FET and the p-FET device regions, as shown in FIG. 10E .
  • a patterned hard mask 52 is deposited over the n-FET device region to allow selective etching of the blanket metallic gate conductor layer 16 from the p-FET device region, as shown in FIGS. 10F and 10G .
  • the patterned hard mask 52 is removed after the selective etching, and a blanket silicon-containing material layer 53 is deposited over both the n-FET and the p-FET device regions, as shown in FIG. 10H .
  • the blanket silicon-containing material layer 53 and the metallic gate. conductor layer 16 are then patterned by lithography and etching, so as to the n-FET and p-FET gate structures as shown in FIG. 10I .
  • patterned polyconductor (PC) resists (not shown) are respectively formed over the n-FET and p-FET device regions by gate level lithography, and the pattern in the PC resists is transferred to the continuous silicon-containing material layer 44 and the metallic gate conductor layer 16 , utilizing one or more dry etching steps, forming the n-FET and p-FET gate structures of FIG. 10I .
  • Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • CMOS processing steps can then be carried out to form complete n-FET and p-FET devices by using the n-FET and p-FET gate structures shown in FIG. 10I .
  • Such conventional CMOS processing steps may include both front-end-of-line (FEOL) and back-end-of-line (BEOL) steps that are typically used for forming source/drain implants, extension and halo implants, metal silicide contacts, and sidewall spacers.
  • the complete n-FET preferably comprises source and drain implants 54 , source/drain metal silicide contacts 70 , gate metal silicide contact 66 , and sidewall spacers 58 and 60 , as shown in FIG. 10J .
  • the complete p-FET preferably comprises source and drain implants 56 , source/drain metal silicide contacts 72 , gate metal silicide contact 68 , and sidewall spacers 62 and 64 , as shown in FIG. 10J .
  • FIGS. 1-10J illustratively demonstrates several exemplary semiconductor device structures and exemplary processing steps that can be used to form such device structures, according to specific embodiments of the present invention, it is clear that a person ordinarily skilled in the art can readily modify such device structures as well as the processing steps for adaptation to specific application requirements, consistent with the above descriptions.
  • CMOS circuits as illustrated hereinabove comprise n-FET gate stacks with metallic gate conductors and high k gate dielectrics and p-FET gate stacks with conventional polysilicon gate conductors and semiconductor oxide or semiconductor oxynitride gate dielectrics
  • CMOS circuits as illustrated hereinabove comprise n-FET gate stacks with metallic gate conductors and high k gate dielectrics and p-FET gate stacks with conventional polysilicon gate conductors and semiconductor oxide or semiconductor oxynitride gate dielectrics, if desired.

Abstract

The present invention relates to complementary metal-oxide-semiconductor (CMOS) circuits that each contains at least a first and a second gate stacks. The first gate stack is located over a first device region (e.g., an n-FET device region) in a semiconductor substrate and comprises at least, from bottom to top, a gate dielectric layer, a metallic gate conductor, and a silicon-containing gate conductor. The second gate stack is located over a second device region (e.g., a p-FET device region) in the semiconductor substrate and comprises at least, from bottom to top, a gate dielectric layer and a silicon-containing gate conductor. The first and second gate stacks can be formed over the semiconductor substrate in an integrated manner by various methods of the present invention.

Description

    FIELD OF THE INVENTION
  • The present invention generally relates to semiconductor devices, such as high performance complementary metal-oxide-semiconductor (CMOS) circuits, that each contains at least one n-channel field effect transistor (n-FET) and at least one p-channel field effect transistor (p-FET). More specifically, the present invention relates to CMOS circuits that each contains at least one n-FET gate stack having a gate dielectric layer and a metallic gate conductor, and at least one p-FET gate stack having a gate dielectric layer and a silicon-containing gate conductor, as well as to methods for forming such CMOS circuits.
  • BACKGROUND OF THE INVENTION
  • In standard CMOS technology, an n-FET device uses an As (or other donor) doped n-type polysilicon layer as a gate electrode, which is deposited on top of a semiconductor oxide or semiconductor oxynitride gate dielectric layer. The gate voltage is applied through this n-doped polysilicon layer to create an inversion channel in the p-type silicon underneath the gate dielectric layer. Similarly, a p-FET device uses a boron (or other donor) doped p-type polysilicon layer as a gate electrode, which is also deposited on top of a semiconductor oxide or semiconductor oxynitride gate dielectric layer. The gate voltage is applied through the p-doped polysilicon layer to create an inversion channel in the n-type silicon underneath the gate dielectric layer.
  • However, limitations of polysilicon gate electrodes are inhibiting further gains in the CMOS device performance. Future generations of device logic will be required to use replacement materials for the gate electrodes.
  • Specifically, metallic materials have been shown as promising gate electrode materials for achieving further gains in device performance.
  • However, integration of the metallic gate electrodes into the CMOS circuits has proven challenging. Specifically, for alternatives to the conventional gate structures (i.e., comprising p-doped and n-doped polysilicon gate electrodes) to be fully realized, the n-FET and p-FET devices of the CMOS circuits must comprise different metals, and complimentary metals with work functions that are equivalent to the p-doped and n-doped polysilicon gate electrodes must be integrated simultaneously to form the respective n-FET and p-FET gate structures in the CMOS circuits. Patterning, thermal budget restraints, and material interactions associated with front-end-of-line (FEOL) logic integration have been problematic for a number of candidate metal materials.
  • As the industry struggles to find metal solutions for the p-FET and n-FET gate structures, there is a need for CMOS circuits that contain heterogeneous n-FET and p-FET gate structures for achieving continuous gains in the CMOS device performance.
  • SUMMARY OF THE INVENTION
  • The present invention, in one aspect, relates to a semiconductor device comprising:
  • a semiconductor substrate containing at least first and second device regions adjacent to each other;
  • a first gate stack located over the first device region, wherein the first gate stack comprises at least, from bottom to top, a gate dielectric layer comprising a dielectric material having a dielectric constant (k) equal to or greater than that of silicon dioxide, a metallic gate conductor, and a silicon-containing gate conductor; and
  • a second gate stack located over the second device region, wherein the second gate stack comprises at least, from bottom to top, a gate dielectric layer and a silicon-containing gate conductor.
  • The term “metallic” as used herein refers to a structure or component that is formed essentially of a conductive material containing at least one metal in an elemental form, an alloy form, or a compound form. Examples of such conductive material include, but are not limited to: elemental metals, metal alloys, metal nitrides, metal silicides, etc. Preferably, the metallic gate conductor of the first gate stack comprises a metal nitride or a metal silicon nitride that contains a Group IVB or VB metal. More preferably, the metallic gate conductor comprises TiN, TaN, a ternary alloy of Ti—La—N, a ternary alloy of Ta—La—N, or a stack with a ternary alloy of Ti—La—N and Ta—La—N.
  • Preferably, but not necessarily, the gate dielectric layer of the first gate stack comprises a hafnium-based dielectric material selected from the group consisting of hafnium oxide, hafnium silicate, hafnium silicon oxynitride, a mixture of hafnium oxide and zirconium oxide, and multilayers thereof.
  • The metallic gate conductor of the first gate stack preferably comprises a metal nitride or a metal silicon nitride that contains a Group IVB or VB metal. More preferably, the metallic gate conductor comprises TiN, TaN, a ternary alloy of Ti-RE-N (RE stands for rare earth metal), a ternary alloy of Ta-RE-N, a ternary alloy of Ti-AE-N (AE stands for alkaline earth metal), a ternary alloy of Ta-AE-N, or a stack containing mixtures thereof.
  • The silicon-containing gate conductors of the first and second gate stacks preferably comprise polycrystalline silicon.
  • The first and second gate stacks as described hereinabove constitute a basic heterogeneous gate configuration for the semiconductor device of the present invention. Such first and second gate stacks may comprise one or more additional layers for further improvements of the device performance or manufacturability in the present invention.
  • For example, the first gate stack may further comprise an interfacial layer located beneath the gate dielectric layer and an additional silicon-containing gate conductor located above the silicon-containing gate conductor, and the second gate stack may further comprise an additional silicon-containing gate conductor located above the silicon-containing gate conductor.
  • For another example, the first gate dielectric stack may further comprise a conductive oxygen barrier layer located above the metallic gate conductor and beneath the silicon-containing gate conductor.
  • For yet another example, the first gate dielectric stack may further comprise an interfacial layer located beneath the gate dielectric layer, and a rare earth metal-containing or an alkaline earth metal-containing layer located above, or within, the gate dielectric layer and underneath the metallic gate conductor. If the first gate dielectric stack comprises a rare earth metal-containing layer, the rare earth metal-containing layer preferably comprises an oxide or nitride of at least one rare earth metal. Alternatively, if the first gate dielectric stack comprises a alkaline earth metal-containing layer, the alkaline earth metal-containing layer preferably comprises a compound having the formula MxAy, wherein M is at least one alkaline earth metal, and wherein A is one of O, S, orahalide, x is 1 or 2, and y is 1, 2 or 3.
  • In another aspect, the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • forming a first gate dielectric layer and a silicon-containing gate conductor selectively over the second device region of the semiconductor substrate;
  • forming a protective capping layer selectively over the second device region;
  • forming a second gate dielectric layer and a metallic gate conductor selectively over the first device region of the semiconductor substrate, wherein the second gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
  • removing the protective capping layer from the second device region;
  • depositing a silicon-containing layer over both the first and second device regions; and
  • patterning the silicon-containing layer, the metallic gate conductor, the second gate dielectric layer, the silicon-containing gate conductor, and the first gate dielectric layer to form first and second gate stacks.
  • In yet another aspect, the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • forming a first gate dielectric layer, a metallic gate conductor and a silicon-containing gate conductor selectively over the first device region of the semiconductor substrate, wherein the first gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
  • forming a second gate dielectric layer over both the first and second device regions;
  • depositing a silicon-containing layer over both the first and second device regions;
  • planarizing the silicon-containing layer, the second gate dielectric layer and the silicon-containing gate conductor to remove portions of the silicon-containing layer and the second gate dielectric layer from the first device region and to expose an upper surface of the silicon-containing gate conductor in the first device region, and wherein the exposed silicon-containing gate conductor in the first device region is substantially coplanar with the un-removed portion of the silicon-containing layer in the second device region; and
  • patterning the exposed silicon-containing gate conductor, the metallic gate conductor, the first gate dielectric layer and the un-removed portions of the silicon-containing layer and the second gate dielectric layer to form first and second gate stacks.
  • In still another aspect, the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • forming a first gate dielectric layer, a metallic gate conductor and a silicon-containing gate conductor selectively over the first device region of the semiconductor substrate, wherein the first gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
  • forming a second gate dielectric layer over both the first and second device regions;
  • depositing a silicon-containing layer over both the first and second device regions;
  • selectively etching the silicon-containing layer to remove a portion of the silicon-containing layer from the first device region;
  • selectively etching the second gate dielectric layer to remove a portion of the second gate dielectric layer from the first device region, thereby exposing an upper surface of the silicon-containing gate conductor; and
  • patterning the exposed silicon-containing gate conductor, the metallic gate conductor, the first gate dielectric layer and un-removed portions of the silicon-containing layer and the second gate dielectric layer to form first and second gate stacks.
  • In a further aspect, the present invention relates to a method for forming a semiconductor device, while the first gate stack of such a semiconductor device further comprises an interfacial layer located beneath the gate dielectric layer and an additional silicon-containing gate conductor located above the silicon-containing gate conductor, and the second gate stack further comprises an additional silicon-containing gate conductor located above the silicon-containing gate conductor. This method specifically comprises the steps of:
  • forming a first gate dielectric layer and a silicon-containing gate conductor selectively over the second device region of the semiconductor substrate;
  • forming an interfacial layer, a second dielectric layer, a metallic layer, and a silicon-containing layer over both the first and second device regions;
  • selectively remove the interfacial layer, the second dielectric layer, the metallic layer, and the silicon-containing layer from the second device region, thereby exposing an upper surface of the silicon-containing gate conductor in the second device region;
  • forming an additional silicon-containing layer over both the first and second device regions; and
  • patterning the additional silicon-containing layer, the silicon-containing layer, the metallic layer, the second dielectric layer, the interfacial layer, the silicon-containing gate conductor and the first gate dielectric layer to form first and second gate stacks.
  • In a still further aspect, the present invention relates to a method for forming a semiconductor device, while the first gate stack of such a semiconductor device further comprises a conductive oxygen barrier layer located above the metallic gate conductor and beneath the silicon-containing gate conductor. This method specifically comprises the steps of:
  • forming a first dielectric layer, a metallic gate conductor and a conductive oxygen diffusion barrier layer selectively over the first device region of the semiconductor substrate;
  • oxidizing an exposed upper surface of the semiconductor substrate in the second device region to form a second gate dielectric layer, wherein the conductive oxygen diffusion barrier layer protects the first device region from oxidation;
  • depositing a silicon-containing layer over both the first and second device regions; and
  • patterning the silicon-containing layer, the conductive oxygen diffusion barrier layer, the metallic gate conductor, the first gate dielectric layer, and the second gate dielectric layer to form first and second gate stacks.
  • In yet another aspect, the present invention relates to a method for forming the semiconductor device with the basic heterogeneous gate configuration (i.e., without any additional layer), comprising:
  • forming a first dielectric layer, a metallic gate. conductor and an insulating oxygen diffusion barrier layer selectively over the first device region of the semiconductor substrate;
  • oxidizing an exposed upper surface of the semiconductor substrate in the second device region to form a second gate dielectric layer, wherein the insulating oxygen diffusion barrier layer protects the first device region from oxidation;
  • removing the insulating oxygen diffusion barrier layer from the first device region to expose an upper surface of the metallic gate conductor;
  • depositing a silicon-containing layer over both the first and second device regions; and
  • patterning the silicon-containing layer, the metallic gate conductor, the first gate dielectric layer, and the second gate dielectric layer to form first and second gate stacks.
  • In a still further aspect, the present invention relates to a method for forming a semiconductor device, while the first gate stack of such a semiconductor device comprises a hafnium-based high k (i.e., having a dielectric constant greater than that of the silicon dioxide) gate dielectric layer, and it further comprises an interfacial layer located beneath the high k gate dielectric layer, and a rare earth metal-containing or an alkaline earth metal-containing layer located above, or within, the high k gate dielectric layer and beneath the metallic gate conductor. This method specifically comprises the steps of:
  • forming an interfacial layer and a hafnium layer selectively over the first device region of the semiconductor substrate;
  • oxidizing the hafnium layer to form a high k gate dielectric layer that comprises hafnium oxide in the first device region, wherein an upper surface of the semiconductor substrate in the second device region is concurrently oxidized to form a gate dielectric layer in the second device region;
  • forming a rare earth metal-containing or an alkaline earth metal-containing layer selectively over the first device region;
  • depositing a metallic layer over both the first and second device regions;
  • selectively removes the metallic layer from the second device region, thereby exposing an upper surface of the gate dielectric layer in the second device region;
  • depositing a silicon-containing layer over both the first and second device regions; and
  • patterning the silicon-containing layer, the metallic layer, the rare earth metal-containing or alkaline earth metal-containing layer, the high k gate dielectric layer, the interfacial layer, and the gate dielectric layer to form first and second gate stacks.
  • Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other, according to one embodiment of the present invention. Specifically, the patterned n-FET gate stack comprises, from bottom to top, a high k gate dielectric, a metal gate conductor, and a polysilicon gate conductor. The patterned p-FET gate stack comprises, from bottom to top, a gate dielectric and a polysilicon gate conductor.
  • FIGS. 2A-2I show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1, by first forming a gate dielectric and a polysilicon gate conductor in the p-FET device region, followed by covering the p-FET device region with a protective capping layer during the high k dielectric and metal deposition in the n-FET device region, according to one embodiment of the present invention.
  • FIGS. 3A-3E shows cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1, using a “metal first” approach wherein the high k gate dielectric layer, the metallic gate conductor, and the silicon-containing gate conductor are first formed in the n-FET device region, followed by formation of the gate dielectric layer and the silicon-containing gate conductor in the p-FET device region by deposition and planarization, according to one embodiment of the present invention.
  • FIGS. 4A-4E show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1, using a “metal first” approach wherein the high k gate dielectric layer, the metallic gate conductor, and the silicon-containing gate conductor are first formed in the n-FET device region, followed by formation of the gate dielectric layer and the silicon-containing gate conductor in the p-FET device region by deposition and selective etching, according to one embodiment of the present invention.
  • FIG. 5 shows a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other, according to one embodiment of the present invention. Specifically, the patterned n-FET gate stack comprises, from bottom to top, an interfacial layer, a high k gate dielectric layer, a metal gate conductor, a first polysilicon gate conductor, a second polysilicon gate conductor, and a cap layer. The patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric, a first polysilicon gate conductor, a second polysilicon gate conductor, and a cap layer.
  • FIGS. 6A-6H show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 5.
  • FIG. 7 is a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other, according to one embodiment of the present invention. Specifically, the patterned n-FET gate stack comprises, from bottom to top, a high k gate dielectric, a metal gate conductor, an oxygen diffusion barrier layer, and a polysilicon gate conductor. The patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric and a polysilicon gate conductor.
  • FIGS. 8A-8G show cross-sectional views that illustrate exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 7.
  • FIG. 9 is a cross-sectional view of an n-FET gate structure and a p-FET gate structure located next to each other, according to one embodiment of the present invention. Specifically, the n-FET gate structure comprises, from bottom to top, an un-patterned interfacial layer, an un-patterned HfO2 layer, an un-patterned RE-containing or AE-containing layer, a metal gate conductor, and a polysilicon gate conductor. The p-FET gate structure comprises, from bottom to top, an un-patterned semiconductor oxide or semiconductor oxynitride gate dielectric and a polysilicon gate conductor.
  • FIGS. 10A-10J show cross-sectional views that illustrate exemplary processing steps for forming the n-FET and p-FET gate structures of FIG. 9 and for further forming an n-FET and a p-FET using the respective gate structures.
  • DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the invention.
  • It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “beneath” or “under” another element, it can be directly beneath or under the other element, or intervening elements may be present. In contrast, when an element is referred to as being “directly beneath” or “directly under” another element, there are no intervening elements present.
  • The present invention provides an improved semiconductor device, e.g., a CMOS circuit, which contains an integrated, heterogeneous (or hybrid) gate configuration for the n-FET and p-FET gate structures. Specifically, the n-FET gate stack in the CMOS circuit of the present invention comprises at least, from bottom to top, a gate dielectric layer, a metallic gate conductor, and a silicon-containing gate conductor. On the other hand, the p-FET gate stack, in such a CMOS circuit. comprises, from bottom to top, a conventional gate dielectric layer and a conventional silicon-containing gate conductor. Preferably, but not necessarily, the gate dielectric layer of the n-FET gate stack comprises a high k gate dielectric material with a dielectric constant greater than that of silicon dioxide. Alternatively, the gate dielectric layer of the n-FET gate stack may comprise a gate dielectric material with a dielectric constant equal to that of silicon dioxide.
  • Such a heterogeneous or hybrid gate configuration provides, on one hand, a band edge n-FET gate stack of metallic gate with an inversion thickness (Tinv) of about 14 Å and high electron mobility, which function to achieve a performance boost for the CMOS circuit over the conventional n-FET gate stack of polysilicon gate having a Tinv of about 18 Å. On the other hand, such a heterogeneous or hybrid gate configuration provides a p-FET gate stack of conventional polysilicon gate, thereby overcoming the vacancy and thermal instability problems that are typically associated with p-FET gate stacks that contain metallic gates.
  • Further, since the p-FET performance can be improved by substrate engineering using substrates of hybrid crystal orientations (i.e., the HOT technologies as described by U.S. patent application Ser. No. 10/250,241 filed on Jun. 17, 2003 for “HIGH PERFORMANCE CMOS SOI DEVICES ON HYBRID CRYSTAL-ORIENTED SUBSTRATES,” which was published on Dec. 23, 2004 as US Patent Application Publication No. 2004/0256700, and U.S. patent application Ser. No. 10/932,982 filed on Sep. 2, 2004 for “ULTRA-THIN SILICON-ON-INSULATOR AND STRAINED-SILICON-DIRECT-ON-INSULATOR WITH HYBRID CRYSTAL ORIENTAITONS,” which was published on Mar. 3, 2005 as U.S. Patent Application Publication No. 2005/0045995, the contents of which are incorporated herein by reference in their entirety for all purposes), the heterogeneous or hybrid gate configuration proposed by the present invention is particularly useful for achieving improved device performance when used in conjunction with substrates of suitable hybrid crystal orientations.
  • An exemplary CMOS circuit 10 of the present invention is illustrated in FIG. 1, which comprises a semiconductor substrate 12 having at least one n-FET device region and at least one p-FET device region adjacent to each other. A first gate stack, i.e., an n-FET gate stack, is located over the semiconductor substrate 12 in the n-FET device region and comprises, from bottom to top, a gate dielectric layer 14 (which is preferably a high k gate dielectric layer), a metallic gate conductor 16, and a silicon-containing gate conductor 18. A second gate stack, i.e., a p-FET gate stack, is located over the semiconductor substrate 12 in the p-FET device region and comprises, from bottom to top, a gate dielectric layer 20 and a silicon-containing gate conductor 22.
  • Note that in FIG. 1, which is not drawn to scale, only one n-FET gate stack and one p-FET gate stack are shown on the semiconductor substrate 12. Although illustration is made to such an embodiment, the present invention is not limited to any specific number of n-FET and p-FET gate stacks. Further, the semiconductor devices of the present invention may also contain other logic circuitry components, such as resistors, diodes, planar capacitors, varactors, etc., in addition to the n-FETs and p-FETs.
  • The semiconductor substrate 12 employed in the present invention comprises any semiconductor material including, but not limited to: Si, Ge, SiGe, SiC, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors. Semiconductor substrate 12 may also comprise an organic semiconductor or a layered semiconductor such as Si/SiGe, a silicon-on-insulator (SOI) or a SiGe-on-insulator (SGOI). In some embodiments of the present invention, it is preferred that the semiconductor substrate 12 be composed of a Si-containing semiconductor material, i.e., a semiconductor material that includes silicon.
  • The semiconductor substrate 12 may be doped, undoped or contain both doped and undoped regions therein. The semiconductor 12 may also include a first doped (n- or p-) region and a second doped (p- or n-) region. For clarity, the doped regions are not specifically shown in the drawings of the present invention. The first doped region and the second doped region may be the same, or they may have different conductivities and/or doping concentrations. These doped regions are known as “wells” and can be used to define various device regions.
  • In a particularly preferred embodiment of the present invention, the semiconductor substrate 12 is a hybrid substrate, which comprising at least one region (e.g., the n-FET device region) in which mobility of electrons is enhanced, and another region (e.g., the p-FET device region) in which mobility of holes is enhanced. By fabricating the n-FET in the electron-mobility-enhanced region and the p-FET in the hole-mobility-enhanced region, the mobility of the respective charge carriers (i.e., either electrons or holes) in the n-FET and p-FET device regions can simultaneously be enhanced, thereby improving the CMOS device performance.
  • More specifically, the semiconductor substrate 12 is a hybrid substrate that comprises different regions of different crystal orientations (which is referred to herein as a hybrid crystal orientation substrate). Functionality of such hybrid crystal orientation substrates is based on the anisotropy of carrier mobility in the semiconductor crystals. Specifically, the mobility of charged carries such as electrons and holes varies with the crystal orientation of the semiconductor substrate. For example, hole mobility is enhanced for a (110) surface in comparison to a (100) surface in silicon substrate, but electron mobility is enhanced for the (100) silicon surface as compared to the (110) surface. Therefore, by fabricating the n-FET in a device region having the (100) surface crystal orientation, and the p-FET in a different device region having the (110) surface crystal orientation, the mobility of the respective charge carriers (i.e., either electrons or holes) in the n-FET and p-FET device regions are both enhanced. Such carrier mobility anisotropy also exists in other semiconductor materials, such as other group IV semiconductor materials as well as group III-V and II-VI compounds, and the hybrid crystal orientation technology (which is typically referred to as the HOT technology) therefore is readily applicable to substrates composed of such other semiconductor materials. The hybrid crystal orientation substrate can be formed, for example, by a method that includes wafer bonding, selective etching and regrowth of a semiconductor layer, as described, for example, in U.S. patent application Ser. Nos. 10/250,241 and 10/932,982, the content of which is incorporated herein by reference in its entirety for all purposes.
  • At least one isolation region (not shown) is typically provided in the semiconductor substrate 12 to isolate the adjacent n-FET and p-FET device regions from each other. The isolation region may be a trench isolation region or a field oxide isolation region. The trench isolation region is formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, lithography, etching and filling of the trench with a trench dielectric may be used in forming the trench isolation region. Optionally, a liner may be formed in the trench prior to trench fill, a densification step may be performed after the trench fill and a planarization process may follow the trench fill as well. The field oxide may be formed utilizing a so-called local oxidation of silicon process.
  • The gate dielectric layer 14 of the n-FET gate stack preferably, but not necessarily, comprises a high k gate dielectric material with a dielectric constant greater than or equal to that of silicon dioxide (approximately 4.0). More preferably, the gate dielectric layer 14 comprises a hafnium-based high k dielectric material having a dielectric constant greater than about 10.0. Such hafnium-based dielectric material can be selected from hafnium oxide (HfO2), hafnium silicate (HfSiOx), hafnium silicon oxynitride (HfSiON), a mixture of hafnium oxide and zirconium oxide (ZrO2), or multilayers thereof. More preferably, the gate dielectric layer 14 of the n-FET gate stack comprises hafnium oxide or hafnium nitride. In some embodiments, the hafnium-based gate dielectric layer 14 can be replaced by, or used in conjunction with, other dielectric materials having a dielectric constant (k) of greater than or equal to about 4.0, more typically greater than or equal to about 7.0. The other dielectric materials can be, for example, semiconductor oxides, semiconductor oxynitrides, metal oxides or mixed metal oxides that are well known to those skilled in the art, and they can be formed utilizing any of the techniques described hereinafter for forming the gate dielectric layer 14.
  • The hafnium-based gate dielectric layer 14 can be formed on the surface of the semiconductor substrate 12 by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, physical vapor deposition (PVD), metalorganic chemical vapor deposition (MOCVD), atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition and other like deposition processes. The hafnium-based gate dielectric layer 14 may also be formed utilizing any combination of the above-described processes.
  • The physical thickness of the hafnium-based gate dielectric layer 14 may vary, but typically, the layer 14 has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical.
  • The metallic gate conductor 16 preferably comprises a metallic material, such as a metal nitride or a metal silicon nitride, which contains a Group IVB or VB metal. More specifically, the metallic gate conductor 16 comprises a metal selected from the group consisting of Ti, Zr, Hf, V, Nb, or Ta, with Ti or Ta being highly preferred. For example, the metallic gate conductor 16 preferably comprises TiN or TaN. In addition, the metallic gate conductor 16 of the present invention may comprise a ternary alloy of Ti-AE-N (“AE” stands for alkaline earth metal), a ternary alloy of Ta-AE-N, a ternary alloy of Ti-RE-N (“RE” stands for rare earth metal), a ternary alloy of Ta-RE-N, or a stack containing mixtures thereof.
  • The metallic gate conductor 16 may comprise a single metallic layer, or it may comprise multiple metallic layers of different metallic compositions. Preferably, the metallic gate conductor 16 further comprises a workfunction defining metal layer (not shown) within one of the device regions between a first metallic layer (not shown) and the silicon-containing gate conductor 18. By “workfunction defining metal” it is meant a metal layer that can be used to adjust or set the workfunction of the gate stack. For n-type workfunctions, the workfunction defining metal comprises at least one element from Groups IIIB, IVB or VB of the Periodic Table of Elements (the nomenclature of the elements is based on the CAS version). Elements within the Lanthanide Series (e.g., La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Th, Dy, Ho, Er, Tm, Yb or Lu) also contemplated herein. Illustrative examples of metal that can be used in providing an n-type workfunction to a conductive electrode comprise, but are not limited to: Sc, Y, La, Zr, Hf, V, Nb, Ta, Ti and elements from the Lanthanide Series. Preferably, the workfunction defining metal used in providing the n-type workfunction shift is one of elements from the Lanthanide group. For p-type workfunctions, the workfunction defining metal comprises at least one element from Groups VIB, VIIB and VIII of the Periodic Table of Elements (the nomenclature of the elements is based on the CAS version). Illustrative examples of metals that can be used in providing a p-type workfunction to a conductive electrode comprise, but are not limited to: Re, Fe, Ru, Co, Rh, Ir, Ni, Pd, and Pt. Preferably, the workfunction defining metal used in providing the p-type workfunction shift is one of Re, Ru or Pt.
  • The metallic gate conductor 16 can be readily formed using a conventional deposition process, such as CVD, PVD, ALD, sputtering or evaporation. The physical thickness of the metallic conductor 16 may vary, but typically, the metallic conductor 16 has a thickness from about 0.5 to about 200 nm, with a thickness from about 5 to about 80 nm being more typical.
  • The gate dielectric layer 20 of the p-FET gate stack preferably comprises a conventional gate dielectric material, such as semiconductor oxide, semiconductor oxynitride, metal oxide such as Al2 0 3, AlON, AlN, and combinations and multilayers thereof. High k dielectric materials, as described hereinabove, can also be used to form the gate dielectric layer 20. The gate dielectric layer 20 can be formed by a thermal growing process such as, for example, oxidation or oxynitridation. Alternatively, the gate dielectric layer 20 can be formed by a deposition process such as CVD, PVD, ALD, evaporation, reactive sputtering, chemical solution deposition, or any other suitable deposition processes. The gate dielectric layer 20 may also be formed utilizing any combination of the above processes. The physical thickness of the gate dielectric layer 20 may vary, but typically, the gate dielectric layer 20 has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical.
  • The silicon gate conductors 18 and 22 of the n-FET and p-FET gate stacks may include Si or a SiGe alloy in, polycrystalline, or amorphous form, with polycrystalline Si or SiGe being more typical. Such silicon gate conductors 18 and 22 can be formed by depositing one or more blanket layers of a Si-containing material utilizing known deposition processes, such as, for example, CVD, PVD, or evaporation. The Si-containing material layers can be either doped or undoped. If doped, an in-situ doping deposition process may be employed to form the same. Alternatively, a doped Si-containing layer can be formed by deposition, ion implantation, and annealing. The ion implantation and annealing can occur prior to or after a subsequent etching step that patterns the material stack. The doping of the Si-containing layer will shift the work function of the gate conductor so formed. The thickness, i.e., height, of the Si-containing gate conductors 18 and 22 may vary depending on the deposition process used. Typically, the Si-containing gate conductors 18 and 22 each has a vertical thickness from about 20 to about 180 nm, with a thickness from about 40 to about 150 nm being more typical.
  • The CMOS circuit 10 as shown in FIG. 1 can be readily formed in an integrated manner by various methods of the present invention, which will now be described in greater detail by referring to the exemplary processing steps shown in the accompanying FIGS. 2A-4E.
  • Specifically, FIGS. 2A-2I show exemplary processing steps for forming the n-FET and p-FET gate stacks of FIG. 1, by first forming the a semiconductor oxide or semiconductor oxynitride gate dielectric and a polysilicon gate conductor in the p-FET device region, followed by covering the p-FET device region with a protective capping layer during the high k dielectric and metal deposition in the n-FET device region, according to one embodiment of the present invention.
  • Reference is first made to FIG. 2A, which shows a semiconductor substrate 12, which contains an n-FET device region and a p-FET device region that are located adjacent to each other and is preferably isolated from each other by a shallow trench isolation region (not shown). A gate dielectric layer 20 and a silicon-containing gate conductor 20 are selectively formed on the p-FET device region, but not the n-FET device region. Specifically, a blank gate dielectric layer 20 is first formed over both the n-FET and the p-FET device regions (not shown), preferably by a thermal oxide deposition process, followed by deposition of a blanket silicon-containing layer 22 over both the n-FET and the p-FET device regions (not shown). Portions of the layers 20 and 22 are then selectively removed from the n-FET device region (not shown), by one or more selective etching steps, such as soft/hard mask reactive ion etching (RIE), wet etching using a diluted hydrofluoric acid (DHF) etching solution, or any other suitable techniques.
  • The n-FET device region is then selectively covered with a photoresist material 74, as shown in FIG. 2B, followed by formation of a protective material layer 76 over the silicon-containing gate conductor 22 in the p-FET device region, as shown in FIG. 2C. The protective material layer 76 comprises at least one silane deactivator that selectively binds to the silicon-containing gate conductor 22 to form a protective coating that suppresses the growth or deposition of materials on the silicon-containing gate conductor 22. Suitable silane deactivators that can be used for the practice of the present invention include silane species selected from the broad families of chlorosilanes, organofunctional silanes, and alkylsilanes. Specific examples of the silane deactivators include, but are not limited to: dimethyl diacetoxy silane, bis diamino dimehtyl silane, dimethyl dichloro silane, dimethyl amino trimethyl silane, trichloro methyl silane, octadecyl trichloro silane, etc.,
  • The photoresist material 74 is subsequently removed from the n-FET device region, and a gate dielectric layer 14 (preferably, but not necessarily, a high k gate dielectric layer with a dielectric constant greater than that of silicon dioxide) is deposited over the n-FET device region, as shown in FIG. 2D. A rare earth metal-containing (RE-containing) and/or an alkaline earth metal-containing layer (AE-containing) layer (not shown) can be formed over the n-FET device region either on top of or in place of the high k gate dielectric layer 14. Subsequently, a metallic layer 16 is formed over the n-FET device region, as shown in FIG. 2E. The protective material layer 76 alters the surface morphology of the silicon-containing gate conductor 22 in the p-FET device region, thereby preventing deposition of the high k gate dielectric layer 14, the RE/AE-containing layer (not shown), and the metallic layer 16 in the p-FET device region.
  • After deposition of the metallic layer 16 over the n-FET device region, the protective material layer 76 is removed from the p-FET device region, as shown in FIG. 2F, followed by deposition of a blanket silicon-containing layer 78 over both the n-FET and p-FET device regions, as shown in FIG. 2G.
  • The blanket silicon-containing layer 78, the metallic layer 16, the high k gate dielectric layer 14, the silicon-containing gate conductor 22, and the gate dielectric 20 are then patterned by lithography and etching, so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET. Specifically, patterned polyconductor (PC) resists 80 and 82 are respectively formed over the n-FET and p-FET device regions by gate level lithography, as shown in FIG. 2H. The pattern in such PC resists 80 and 82 is then transferred to the blanket silicon-containing layer 78, the metallic layer 16, the high k gate dielectric layer 14, the silicon-containing gate conductor 22, and the gate dielectric 20, utilizing one or more dry etching steps, to form the patterned n-FET and p-FET gate stacks as shown in FIG. 21. Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation. The patterned PC resists 80 and 82 are removed after etching has been completed, resulting in the patterned n-FET and p-FET gate stacks as shown in FIG. 1.
  • FIGS. 3A-3E shows another set of exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1, using a “metal first” approach, wherein the high k gate dielectric layer, the metallic gate conductor, and the silicon-containing gate conductor are first formed in the n-FET device region, followed by formation of the gate dielectric layer and the silicon-containing gate conductor in the p-FET device region by deposition and planarization, according to one embodiment of the present invention.
  • Specifically, FIG. 3A shows a semiconductor substrate 12, which contains an n-FET device region and a p-FET device region that are located adjacent to each other and is isolated by a shallow trench isolation region 9. A high k gate dielectric layer 14, a metallic gate conductor layer 16, and a silicon-containing layer 84 are formed over both the n-FET and p-FET device regions, as shown in FIG. 3A. Subsequently, portions of the high k gate dielectric layer 14, the metallic gate conductor layer 16, and the silicon-containing layer 84 are selectively removed from the p-FET device region by a patterning technique, followed by deposition of a gate dielectric layer 84 over both the n-FET and p-FET device regions, as shown in FIG. 3B. The patterning is preferably carried out using a lithographic process, in which the n-FET device region is selectively blocked while the layered stack is removed from the p-FET device region.
  • Next, a blanket silicon-containing layer 86 is deposited over both the n-FET and the p-FET device regions, as shown in FIG. 3C, and a planarization step, such as a chemical mechanical polishing step, is then carried out to planarize the entire structure and to remove portions of the silicon-containing layer 86 and the gate dielectric layer 84 from the n-FET device region. Consequently, an upper surface of the first silicon-containing layer 82 is exposed in the planarized n-FET device region, and the exposed silicon-containing layer 82 is substantially coplanar with the un-removed portion of the silicon-containing layer 86 in the second device region, as shown in FIG. 3D.
  • Subsequently, a dielectric hard mask layer 88 is formed over both the silicon-containing layer 82 in the n-FET device region and the un-removed portion of the silicon-containing layer 86 in the second device region, and patterned photoresist structures 90 and 92 are deposited over the upper surface of the dielectric hard mask layer 88 by conventional lithographic techniques. The pattern in the photoresist structures 90 and 92 is then transferred to the dielectric mask layer 88, the silicon-containing gate conductor layer 82, the metallic gate conductor layer 16, the high k gate dielectric layer 14, the silicon-containing layer 86, and the gate dielectric layer 84, utilizing one or more dry etching steps, to forming the patterned n-FET and p-FET gate stacks as shown in FIG. 3E. Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • The first pattern gate stack in the n-FET device region therefore comprises, from bottom to top, a high k gate dielectric layer 14, a metallic gate conductor 16, a silicon-containing gate conductor 18, and a patterned dielectric hard mask 88A, as shown in FIG. 3E. The second patterned gate stack in the p-FET device region therefore comprises, from bottom to top, a gate dielectric layer 20, a silicon-containing gate conductor 22, and a patterned dielectric hard mask 88B, as shown in FIG. 3E. The patterned dielectric hard masks 88A and 88B can be subsequently removed from the patterned gate stacks.
  • FIGS. 4A-4E show exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 1, which also employs a “metal first” approach similar to the process illustrated by FIGS. 3A-3E, with the exception that the subsequently formed silicon-containing layer 86 and the gate dielectric layer 84 are removed from the n-FET device region by one or more etching steps, instead of the planarization step described hereinabove.
  • Specifically, FIG. 4A shows selectively covering of the p-FET device region by a photoresist material 90, after the deposition of the blanket silicon-containing layer 86 (i.e., after the step illustrated by FIG. 3C). One or more selective etching steps are then carried out to remove portions of the silicon-containing layer 86 and the gate dielectric layer 84 from regions that are not covered by the photoresist material 90 (i.e., the n-FET device region and the STI region 9), as shown in FIG. 4B.
  • Preferably, a silicon-etching step (not shown) is first carried out to selective remove a portion of the silicon-containing layer 86 from the n-FET device region and the STI region 9. Such silicon-etching step stops on and exposes a portion of the underlying gate dielectric layer 84 in the n-FET device region and the STI region 9. Subsequently, the photoresist material 90 is removed from the p-FET device region, followed by an oxide stripping step to remove the exposed portion of the gate dielectric layer 84 from the n-FET device region and the STI region 9. The remaining portion of the gate dielectric layer 84 in the p-FET device region is covered by the remaining portion of the silicon-containing layer 86 and is therefore not removed by the oxide stripping.
  • Because the photoresist material 90 in the p-FET device region is slightly offset from the silicon-containing gate conductor layer 82 in the n-FET device region, the selective etching results in a seam or trench 92 between the remaining portion of the silicon-containing layer 86 in the p-FET device region and the silicon-containing gate conductor layer 82 in the n-FET device region, as shown in FIG. 4B. The seam or trench 92 is preferably located over the STI region 9.
  • Next, a blanket silicon-containing layer 94 is deposited over both the n-FET and p-FET device regions, as shown in FIG. 4C. Such a blanket silicon-containing layer 94 fills the seam or trench 92 and forms a continuous silicon-containing structural layer 94 that incorporates both the silicon-containing layer 86 in the p-FET device region and the silicon-containing gate conductor layer 82 in the n-FET device region. Because the seam or trench 92 is located over the STI region 9, as describe hereinabove, the STI region 9 functions to electrically isolate the continuous silicon-containing structural layer 94 from the n-FET and p-FET device regions of the semiconductor substrate 12.
  • The continuous silicon-containing structural layer 94, the metallic layer 16, the high k gate dielectric layer 14, and the remaining portion of the gate dielectric 84 are then patterned by lithography and etching, so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET. Specifically, patterned polyconductor (PC) resists 96 and 98 as shown in FIG. 4D are respectively formed over the n-FET and p-FET device regions by gate level lithography, and the pattern in the PC resists 96 and 98 is then transferred to the continuous silicon-containing structural layer 94, the metallic layer 16, the high k gate dielectric layer 14, and the gate dielectric 84, utilizing one or more dry and/or wet etching steps, forming the patterned n-FET and p-FET gate stacks as shown in FIG. 4D. Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation. Suitable wet etching processes employ one or more etching solutions that can react with specific structural layers for removal of such layers.
  • The patterned PC resists 96 and 98 are then removed after etching has been completed, resulting in the patterned n-FET and p-FET gate stacks that are respectively located in the n-FET and p-FET device regions, which are isolated by the STI region 9, as shown in FIG. 4E. Note that a recess 100 is formed in the STI region 9 during one or more of the etching steps.
  • FIG. 5 shows a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each other over a semiconductor substrate 12, according to one embodiment of the present invention. Specifically, the patterned n-FET gate stack comprises, from bottom to top, an interfacial layer 13, a high k gate dielectric layer 14, a metallic gate conductor 16, a first polysilicon gate conductor 18A, and a second polysilicon gate conductor 18B. The patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric layer 20, a first polysilicon gate conductor 22A, and a second polysilicon gate conductor 22B. Such patterned n-FET and p-FET gate stacks as shown in FIG. 5 can be readily formed by a method containing at least those exemplary process steps illustrated by FIGS. 6A-6H. The first and second polysilicon gate conductor 18A and 18B of the n-FET gate stack, which are formed by two separate processing steps as described hereinabove, may comprise polysilicon materials of either the same or different properties.
  • Specifically, FIG. 6A shows formation of a blanket gate dielectric layer 20 and a blanket silicon-containing gate. conductor layer 22 over both the n-FET and p-FET device regions. A photoresist material 30 is then selectively formed over the p-FET device region, as shown in FIG. 6B. Portions of the layers 20 and 22 are then selectively removed from the n-FET device region (as shown in FIG. 6C) by one or more selective etching steps, such as soft/hard mask reactive ion etching (RIE), DHF wet etching, or any other suitable techniques.
  • Subsequently, an interfacial layer 13, a high k dielectric layer 14, a metallic gate conductor layer 16, and a silicon-containing material layer 18A are deposited over both the n-FET and p-FET device regions, as shown in FIG. 6D.
  • The interfacial layer 13 is optionally formed on the surface of the semiconductor substrate. 12 by chemical oxidation. The optional interfacial layer 13 is formed utilizing a conventional wet chemical process technique that is well known to those skilled in the art. Alternatively, the interfacial layer 13 may be formed by thermal oxidation, oxynitridation or by vapor deposition. When the substrate 12 is a Si-containing semiconductor, the interfacial layer 13 is comprised of chemical oxide grown by wet processing, or thermally grown or deposited silicon oxide, silicon oxynitride or a nitrided silicon oxide. When the substrate 12 is other than a Si-containing semiconductor, the interfacial layer 13 may comprise a semiconducting oxide, a semiconducting oxynitride or a nitrided semiconducting oxide or any other interface dielectric such as, for example, one having a low interface trap density with the semiconducting material. The thickness of the interfacial layer 13 ranges typically from about 0.4 to about 1.2 nm, with a thickness from about 0.6 to about 1 nm being more typical. The thickness of the interfacial layer 13, however, may be different after processing at higher temperatures, which are usually required during CMOS fabrication.
  • In a specific embodiment of the present invention, the interfacial layer 13 is a semiconductor oxide layer having a thickness ranging from about 0.6 to about 1.0 nm that is formed by a wet chemical oxidation step. The wet chemical oxidation step includes treating a cleaned semiconductor surface with a mixture of ammonium hydroxide, hydrogen peroxide and water (in a 1:1:5 ratio) at 65° C. Alternatively, the interfacial layer 13 can also be formed by treating the semiconductor surface in ozonated aqueous solutions, with the ozone concentration ranging from about 2 parts per million (ppm) to about 40 ppm.
  • Subsequently, the n-FET device region is selectively covered by a photoresist material 32, as shown in FIG. 6E. The photoresist material 32 is then used as a mask for selectively removal of portions of the silicon-containing gate conductor layer 18A, the metallic gate conductor layer 16, the high k gate dielectric layer 14, and the interfacial layer 13 from the p-FET device region, as shown in FIG. 6F, via one or more selective etching steps, such as soft/hard mask reactive ion etching (RIE), wet etching, or any other suitable techniques.
  • Next, a blanket silicon-containing material layer 34 and a dielectric hard mask layer 36 are formed over both the n-FET and the p-FET device regions, as shown in FIG. 6G.
  • The blanket silicon-containing material layer 34, the silicon-containing gate conductor layer 18A, the metallic gate conductor layer 16, the high k gate dielectric layer 14, the interfacial layer 13, the silicon-containing gate conductor 22, the gate dielectric layer 20, and the dielectric hard mask 36 are then patterned by lithography and etching so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET as shown in FIG. 5. The lithography steps include applying a photoresist (not shown) to the upper surface of the dielectric hard mask layer 36, exposing the photoresist to a desired pattern of radiation and developing the exposed photoresist utilizing a conventional resist developer. The pattern in the photoresist is then transferred to the dielectric mask layer 36, forming patterned dielectric hard masks 19 and 23, as shown in FIG. 6H. The patterned photoresist is then removed, and the pattern in the hard masks 19 and 23 is subsequently transferred to the underlying layers, utilizing one or more dry and/or wet etching steps, to form the patterned n-FET and p-FET gate stacks as shown in FIG. 5. Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation. The hard masks 19 and 23 are removed from the patterned gate stacks after the patterning.
  • FIG. 7 is a cross-sectional view of a patterned n-FET gate stack and a patterned p-FET gate stack located next to each-other, according to one embodiment of the present invention. Specifically, the patterned n-FET gate stack comprises, from bottom to top, a high k gate dielectric 14, a metal gate conductor 16, a conductive oxygen diffusion barrier layer 17, and a polysilicon gate conductor 18. The patterned p-FET gate stack comprises, from bottom to top, a semiconductor oxide or semiconductor oxynitride gate dielectric 20 and a polysilicon gate conductor 22.
  • The conductive oxygen diffusion barrier layer 17 functions to protect the n-FET gate stack from the harsh thermal oxidation processing conditions that are used to form the gate dielectric 20 in the p-FET device region. Such conductive oxygen diffusion barrier layer 17 preferably comprises an amorphous oxygen barrier material, such as TaSiN or HfSiN, which can prevent the diffusion of oxygen and effectively protect the n-FET gate stack from thermal oxidation conditions.
  • FIGS. 8A-8G show exemplary processing steps for forming the patterned n-FET and p-FET gate stacks of FIG. 7.
  • Specifically, FIG. 8A shows formation of a blanket high k gate dielectric layer 14, a blanket metallic gate conductor layer 16, and a blanket conductive oxygen diffusion barrier layer 17 over both the n-FET and the p-FET device regions. Next, a photoresist material 42 is formed over the conductive oxygen diffusion barrier layer 17 to selectively cover the n-FET device region, as shown in FIG. 8B. Selective etching is then carried out to remove portions of the high k gate dielectric layer 14, the metallic gate conductor layer 16, and the conductive oxygen diffusion barrier layer 17 from the p-FET device region, thereby exposing an upper surface of the semiconductor substrate 12 in the p-FET device region, as shown in FIG. 8C.
  • Thermal oxidation is then carried out to form the gate dielectric layer 20 in the p-FET device region, while the n-FET device region is protected from the thermal oxidation by the conductive oxygen diffusion barrier layer 17. Preferably, the thermal oxidation process includes a rapid thermal oxidation (RTO) step or a rapid thermal nitrification (RTNH3)/re-oxidation step.
  • Subsequently, a blanket silicon-containing material layer 44 is deposited over both the n-FET and the p-FET device regions, as shown by FIG. 8E. The blanket silicon-containing material layer 44, the conductive oxygen diffusion barrier layer 17, the metallic gate conductor layer 16, the high k gate dielectric layer 14, and the gate dielectric 20 are then patterned by lithography and etching, so as to provide two or more patterned gate stacks, one for the n-FET and one for the p-FET. Specifically, patterned polyconductor (PC) resists 46A and 46B, as shown in FIG. 8F, are respectively formed over the n-FET and p-FET device regions by gate level lithography, and the pattern in the PC resists 46A and 46B is transferred to the continuous silicon-containing material layer 44, the metallic gate conductor layer 16, the high k gate dielectric layer 14, and the gate dielectric 20, utilizing one or more dry and/or wet etching steps, forming the patterned n-FET and p-FET gate stacks as shown in FIG. 8G. Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation. Suitable wet etching processes employ one or more etching solutions that can react with specific structural layers for removal of such layers.
  • The patterned PC resists 46A and 46B are then removed after etching has been completed, resulting in the patterned n-FET and p-FET gate stacks as shown in FIG. 7.
  • Although FIGS. 8A-8G illustrate formation of patterned n-FET and p-FET gate stacks using a conductive oxygen diffusion barrier layer 17, which is subsequent retained in and becomes a part of the final n-FET gate structure, it is important to note that an insulating oxygen diffusion barrier layer (not shown), which contains an insulating material capable of preventing oxygen diffusion, can also be used to protect the n-FET gate stack during the thermal oxidation process as described hereinabove. Such an insulating oxygen diffusion barrier layer is removed after the thermal oxidation process. Therefore, the final n-FET gate structure formed by this alternative process does not contain any oxygen diffusion barrier layer and has substantially the same structure as the n-FET gate stack shown by FIG. 1.
  • FIG. 9 shows an n-FET gate structure and a p-FET gate structure located next to each other, according to one embodiment of the present invention. Specifically, the n-FET gate structure is located over an n-FET device region defined by a p-well 8A, and the p-FET gate structure is located over a p-FET device region defined by an n-well 8B, which are isolated from each other by the STI region 9. The n-FET gate structure comprises, from bottom to top, an un-patterned interfacial layer 13, an un-patterned HfO2 gate dielectric layer 14, an un-patterned RE-containing or AE-containing layer 15, a metal gate conductor 16, and a polysilicon gate conductor 18. The p-FET gate structure comprises, from bottom to top, an un-patterned semiconductor oxide or semiconductor oxynitride gate dielectric layer 20 and a polysilicon gate conductor 22.
  • In one embodiment of the present invention, layer 15 is a RE-containing (i.e., rare earth metal-containing) layer, which comprises an oxide or nitride of at least one element selected from Group IIIB of the Periodic Table of Elements, such as, for example, La, Ce, Pr, Nd. Pm, Sm, Eu, Ga, Th, Dy, Ho, Er, Tm, Yb, Lu, or mixtures thereof. Preferably, the RE-containing layer 16 comprises an oxide of La, Ce, Y, Sm, Er, and/or Th, with La2O3 or LaN being most preferred. The RE-containing layer 16 is formed utilizing a conventional deposition process including, for example, evaporation, molecular beam deposition, MOCVD, ALD, PVD, and other suitable processes. The RE-containing layer 15 typically has a thickness from about 0.1 nm to about 3.0 nm, with a thickness from about 0.3 nm to about 1.6 nm being more typical.
  • As a specific example, the RE-containing layer 15 is formed by placing the entire device structure into the load-lock of a molecular beam deposition chamber, followed by pumping this chamber down to the range of 10−5 to 10−8 Torr. After these steps, the device structure is inserted, without breaking vacuum into the growth chamber where the RE-containing layer 15 such as La oxide is deposited by directing atomic/molecular beams of the rare earth metal and oxygen or nitrogen onto the structure's surface. Specifically, because of the low pressure of the chamber, the released atomic/molecular species are beamlike and are not scattered prior to arriving at the structure. A substrate temperature of about 300° C. is used. In the case of La2O3 deposition, the La evaporation cell is held in the temperature range of 1400° to 1700° C., and a flow rate of 1 to 3 sccm of molecular oxygen is used. Alternatively, atomic or excited oxygen may be used as well, and this can be created by passing the oxygen through a radio frequency source excited in the range of 50 to 600 Watts. During the deposition, the pressure within the chamber can be in the range from 1×10−5 to 8×10−5 Torr, and the La oxide growth rate can be in the range from 0.1 to 2 nm per minute, with a range from 0.5 to 1.5 nm being more typical.
  • In an alternative embodiment of the present invention, layer 15 is an AE-containing (i.e., alkaline earth metal-containing) layer, which comprises a compound having the formula MxAy wherein M is an alkaline earth metal (e.g., Be, Mg, Ca, Sr, and/or Ba), A is one of O, S or a halide, x is 1 or 2, and y is 1, 2, or 3. It is noted that the present invention contemplates AE-containing compounds that include a mixture of alkaline earth metals and/or a mixture of anions, such as—OCl−2. Examples of AE-containing compounds that can be used in the present invention include, but are not limited to: MgO, MgS, MgF2, MgCl2, MgBr2, MgI2, CaO, CaS, CaF2, CaCl2, CaBr2, CaI2, SrO, SrS, SrF2, SrCl2, SrBr2, SrI2, BaO, BaS, BaF2, BaCl2, BaBr2, and BaI2. In one preferred embodiment of the present invention, the AE-containing compound includes Mg. MgO is a highly preferred AE-containing material employed in the present invention. The AE-containing layer 15 is formed utilizing a conventional deposition process including, for example, sputtering from a target, reactive sputtering of an alkaline earth metal under oxygen plasma conditions, electroplating, evaporation, molecular beam deposition, MOCVD, ALD, PVD and other like deposition processes. The AE-containing material 15 typically has a deposited thickness from about 0.1 nm to about 3.0 nm, with a thickness from about 0.3 nm to about 1.6 nm being more typical.
  • FIGS. 10A-10I show cross-sectional views that illustrate exemplary processing steps for forming the n-FET and p-FET gate structures of FIG. 9, and FIG. 10J further illustrates formation of complete n-FET and p-FET devices using the respective gate structures shown in FIG. 10I.
  • Specifically, FIG. 10A shows formation of an interfacial layer 13 and a hafnium layer 48 over both the n-FET and the p-FET device regions. A dielectric hard mask 50 is formed over the n-FET device region to selective over the n-FET device region. One or more selective etching steps are carried out using the hard mask 50 to selectively remove portions of the interfacial layer 13 and the hafnium layer 48 from the p-FET device region, thereby exposing an upper surface of the semiconductor substrate 12 in the p-FET device region, as shown in FIG. 10B. The hard mask 50 is then removed, followed by a rapid thermal oxidation/nitrification step, which forms an HfO2 gate dielectric layer 14 over the n-FET device region and a semiconductor oxide or semiconductor oxynitride gate dielectric layer 20 over the p-FET device region, as shown in FIG. 10C.
  • Subsequently, a RE-containing or an AE-containing layer 15 is selectively deposited over the n-FET device region, as shown in FIG. 10D. A blanket metallic gate conductor layer 16 is then formed over both the n-FET and the p-FET device regions, as shown in FIG. 10E.
  • Subsequently, a patterned hard mask 52 is deposited over the n-FET device region to allow selective etching of the blanket metallic gate conductor layer 16 from the p-FET device region, as shown in FIGS. 10F and 10G. The patterned hard mask 52 is removed after the selective etching, and a blanket silicon-containing material layer 53 is deposited over both the n-FET and the p-FET device regions, as shown in FIG. 10H.
  • The blanket silicon-containing material layer 53 and the metallic gate. conductor layer 16 are then patterned by lithography and etching, so as to the n-FET and p-FET gate structures as shown in FIG. 10I. Specifically, patterned polyconductor (PC) resists (not shown) are respectively formed over the n-FET and p-FET device regions by gate level lithography, and the pattern in the PC resists is transferred to the continuous silicon-containing material layer 44 and the metallic gate conductor layer 16, utilizing one or more dry etching steps, forming the n-FET and p-FET gate structures of FIG. 10I. Suitable dry etching processes that can be used in the present invention in forming the patterned gate stacks include, but are not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser ablation.
  • Conventional complementary metal-oxide-semiconductor (CMOS) processing steps can then be carried out to form complete n-FET and p-FET devices by using the n-FET and p-FET gate structures shown in FIG. 10I. Such conventional CMOS processing steps may include both front-end-of-line (FEOL) and back-end-of-line (BEOL) steps that are typically used for forming source/drain implants, extension and halo implants, metal silicide contacts, and sidewall spacers. The complete n-FET preferably comprises source and drain implants 54, source/drain metal silicide contacts 70, gate metal silicide contact 66, and sidewall spacers 58 and 60, as shown in FIG. 10J. The complete p-FET preferably comprises source and drain implants 56, source/drain metal silicide contacts 72, gate metal silicide contact 68, and sidewall spacers 62 and 64, as shown in FIG. 10J.
  • While FIGS. 1-10J illustratively demonstrates several exemplary semiconductor device structures and exemplary processing steps that can be used to form such device structures, according to specific embodiments of the present invention, it is clear that a person ordinarily skilled in the art can readily modify such device structures as well as the processing steps for adaptation to specific application requirements, consistent with the above descriptions. For example, while the CMOS circuits as illustrated hereinabove comprise n-FET gate stacks with metallic gate conductors and high k gate dielectrics and p-FET gate stacks with conventional polysilicon gate conductors and semiconductor oxide or semiconductor oxynitride gate dielectrics, it is clear that a person ordinarily skilled in the art can readily modify such CMOS circuits to provide p-FET gate stacks with metallic gate conductors and high k gate dielectrics and n-FET gate stacks with conventional polysilicon gate conductors and semiconductor oxide or semiconductor oxynitride gate dielectrics, if desired. It should therefore be recognized that the present invention is not limited to the specific embodiments illustrated hereinabove, but rather extends in utility to any other modification, variation, application, and embodiment, and accordingly all such other modifications, variations, applications, and embodiments are to be regarded as being within the spirit and scope of the invention.

Claims (20)

1. A semiconductor device comprising:
a semiconductor substrate containing at least first and second device regions adjacent to each other;
a first gate stack located over the first device region, wherein said first gate stack comprises at least, from bottom to top, a gate dielectric layer comprising a dielectric material having a dielectric constant (k) equal to or greater than that of silicon dioxide, a metallic gate conductor, and a silicon-containing gate conductor; and
a second gate stack located over the second device region, wherein said second gate stack comprises at least, from bottom to top, a gate dielectric layer and a silicon-containing gate conductor.
2. The semiconductor device of claim 1, wherein the gate dielectric layer of the first gate stack comprises a hafnium-based dielectric material selected from the group consisting of hafnium oxide, hafnium silicate, hafnium semiconductor oxynitride, a mixture of hafnium oxide and zirconium oxide, and multilayers thereof.
3. The semiconductor device of claim 1, wherein the metallic gate conductor of the first gate stack comprises a metal nitride or a metal silicon nitride that contains a Group IVB or VB metal.
4. The semiconductor device of claim 3, wherein the metallic gate conductor of the first gate stack comprises TiN, TaN, a ternary alloy of Ti-AE-N, a ternary alloy of Ta-AE-N, a ternary alloy of Ti-RE-N, a ternary alloy of Ta-RE-N, or a stack comprising mixtures thereof.
5. The semiconductor device of claim 1, wherein the silicon-containing gate conductor of the first gate stack and the silicon-containing gate conductor of the second gate stack both comprise polycrystalline silicon.
6. The semiconductor device of claim 1, wherein the first gate stack further comprises an interfacial layer located beneath the gate dielectric layer and an additional silicon-containing gate conductor located above the silicon-containing gate conductor, and wherein the second gate stack further comprises an additional silicon-containing gate conductor located above the silicon-containing gate conductor.
7. The semiconductor device of claim 1, wherein the first gate dielectric stack further comprises a conductive oxygen barrier layer located above the metallic gate conductor and beneath the silicon-containing gate conductor.
8. The semiconductor device of claim 7, wherein the conductive oxygen barrier layer comprises tantalum silicon nitride or hafnium silicon nitride.
9. The semiconductor device of claim 1, wherein the first gate dielectric stack further comprises an interfacial layer located beneath the gate dielectric layer, and a rare earth metal-containing or an alkaline earth metal-containing layer located above, or within, the gate dielectric layer and beneath the metallic gate conductor.
10. The semiconductor device of claim 9, wherein the first gate dielectric stack comprises a rare earth metal-containing layer.
11. The semiconductor device of claim 10, wherein the rare earth metal-containing layer comprises an oxide or nitride of at least one rare earth metal.
12. The semiconductor device of claim 9, wherein the first gate dielectric stack comprises a alkaline earth metal-containing layer.
13. The semiconductor device of claim 12, wherein the alkaline earth metal-containing layer comprises a compound having the formula MxAy, wherein M is at least one alkaline earth metal, and wherein A is one of O, S, or a halide, and x is 1 or 2 and y is 1, 2 or 3.
14. A method for forming the semiconductor device of claim 1, comprising:
forming a first gate dielectric layer and a silicon-containing gate conductor selectively over the second device region of the semiconductor substrate;
forming a protective capping layer selectively over the second device region;
forming a second gate dielectric layer and a metallic gate conductor selectively over the first device region of the semiconductor substrate, wherein the second gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
removing the protective capping layer from the second device region;
depositing a silicon-containing layer over both the first and second device regions; and
patterning the silicon-containing layer, the metallic gate conductor, the second gate dielectric layer, the silicon-containing gate conductor, and the first gate dielectric layer to form first and second gate stacks.
15. A method for forming the semiconductor device of claim 1, comprising:
forming a first gate dielectric layer, a metallic gate conductor and a silicon-containing gate conductor selectively over the first device region of the semiconductor substrate, wherein the first gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
forming a second gate dielectric layer over both the first and second device regions;
depositing a silicon-containing layer over both the first and second device regions;
planarizing the silicon-containing layer, the second gate dielectric layer and the silicon-containing gate conductor, so as to remove portions of the silicon-containing layer and the second gate dielectric layer from the first device region and to expose an upper surface of the silicon-containing gate conductor in the first device region, and wherein the exposed silicon-containing gate conductor in the first device region is substantially coplanar with the un-removed portion of the silicon-containing layer in the second device region; and
patterning the exposed silicon-containing gate conductor, the metallic gate conductor, the first gate dielectric layer and the un-removed portions of the silicon-containing layer and the second gate dielectric layer to form first and second gate stacks.
16. A method for forming the semiconductor device of claim 1, comprising:
forming a first dielectric layer, a metallic gate conductor and a silicon-containing gate conductor selectively over the first device region of the semiconductor substrate, wherein the first gate dielectric layer comprises a dielectric material having a dielectric constant (k) greater than or equal to that of silicon dioxide;
forming a second gate dielectric layer over both the first and second device regions;
depositing a silicon-containing layer over both the first and second device regions;
selectively etching the silicon-containing layer to remove a portion of the silicon-containing layer from the first device region;
selectively etching the second gate dielectric layer to remove a portion of the second gate dielectric layer from the first device region, thereby exposing an upper surface of the silicon-containing gate conductor; and
patterning the exposed silicon-containing gate conductor, the metallic gate conductor, the first gate dielectric layer and un-removed portions of the silicon-containing layer and the second gate dielectric layer to form first and second gate stacks.
17. A method for forming the semiconductor device of claim 6, comprising:
forming a first gate dielectric layer and a silicon-containing gate conductor selectively over the second device region of the semiconductor substrate;
forming an interfacial layer, a second dielectric layer, a metallic layer, and a silicon-containing layer over both the first and second device regions;
selectively remove the interfacial layer, the second dielectric layer, the metallic layer, and the silicon-containing layer from the second device region, thereby exposing an upper surface of the silicon-containing gate conductor in the second device region;
forming an additional silicon-containing layer over both the first and second device regions; and
patterning the additional silicon-containing layer, the silicon-containing layer, the metallic layer, the second dielectric layer, the interfacial layer, the silicon-containing gate conductor and the first gate dielectric layer to form first and second gate stacks.
18. A method for forming the semiconductor device of claim 7, comprising:
forming a first dielectric layer, a metallic gate conductor and a conductive oxygen diffusion barrier layer selectively over the first device region of the semiconductor substrate;
oxidizing an exposed upper surface of the semiconductor substrate in the second device region to form a second gate dielectric layer, wherein the conductive oxygen diffusion barrier layer protects the first device region from oxidation;
depositing a silicon-containing layer over both the first and second device regions; and
patterning the silicon-containing layer, the conductive oxygen diffusion barrier layer, the metallic gate conductor, the first gate dielectric layer, and the second gate dielectric layer to form first and second gate stacks.
19. A method for forming the semiconductor device of claim 1, comprising:
forming a first dielectric layer, a metallic gate conductor and an insulating oxygen diffusion barrier layer selectively over the first device region of the semiconductor substrate;
oxidizing an exposed upper surface of the semiconductor substrate in the second device region to form a second gate dielectric layer, wherein the insulating oxygen diffusion barrier layer protects the first device region from oxidation;
removing the insulating oxygen diffusion barrier layer from the first device region to expose an upper surface of the metallic gate conductor;
depositing a silicon-containing layer over both the first and second device regions; and
patterning the silicon-containing layer, the metallic gate conductor, the first gate dielectric layer, and the second gate dielectric layer to form first and second gate stacks.
20. A method for forming the semiconductor device of claim 9, wherein the gate dielectric layer of the first gate stack is a high k gate dielectric layer that comprises hafnium oxide, comprising:
forming an interfacial layer and a hafnium layer selectively over the first device region of the semiconductor substrate;
oxidizing the hafnium layer to form a high k gate dielectric layer that comprises hafnium oxide in the first device region, wherein an upper surface of the semiconductor substrate in the second device region is concurrently oxidized to form a gate dielectric layer in the second device region;
forming a rare earth metal-containing or an alkaline-earth metal-containing layer selectively over the first device region;
depositing a metallic layer over both the first and second device regions;
selectively removes the metallic layer from the second device region, thereby exposing an upper surface of the gate dielectric layer in the second device region;
depositing a silicon-containing layer over both the first and second device regions; and
patterning the silicon-containing layer, the metallic layer, the rare earth metal-containing or alkaline earth metal-containing layer, the high k gate dielectric layer, the interfacial layer, and the gate dielectric layer to form first and second gate stacks.
US11/323,578 2005-12-30 2005-12-30 High performance CMOS circuits, and methods for fabricating the same Abandoned US20070152276A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/323,578 US20070152276A1 (en) 2005-12-30 2005-12-30 High performance CMOS circuits, and methods for fabricating the same
CN2006101470739A CN1992274B (en) 2005-12-30 2006-11-14 High performance cmos circuits and methods for fabricating the same
KR1020060124425A KR101055930B1 (en) 2005-12-30 2006-12-08 High-performance CMOS circuit and its manufacturing method
JP2006343524A JP5128121B2 (en) 2005-12-30 2006-12-20 High performance CMOS circuit and manufacturing method thereof
US12/541,562 US8383483B2 (en) 2005-12-30 2009-08-14 High performance CMOS circuits, and methods for fabricating same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/323,578 US20070152276A1 (en) 2005-12-30 2005-12-30 High performance CMOS circuits, and methods for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/541,562 Division US8383483B2 (en) 2005-12-30 2009-08-14 High performance CMOS circuits, and methods for fabricating same

Publications (1)

Publication Number Publication Date
US20070152276A1 true US20070152276A1 (en) 2007-07-05

Family

ID=38214382

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/323,578 Abandoned US20070152276A1 (en) 2005-12-30 2005-12-30 High performance CMOS circuits, and methods for fabricating the same
US12/541,562 Expired - Fee Related US8383483B2 (en) 2005-12-30 2009-08-14 High performance CMOS circuits, and methods for fabricating same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/541,562 Expired - Fee Related US8383483B2 (en) 2005-12-30 2009-08-14 High performance CMOS circuits, and methods for fabricating same

Country Status (4)

Country Link
US (2) US20070152276A1 (en)
JP (1) JP5128121B2 (en)
KR (1) KR101055930B1 (en)
CN (1) CN1992274B (en)

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070099406A1 (en) * 2005-10-28 2007-05-03 Renesas Technology Corp. Semiconductor device manufacturing method
US20070161214A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
US20070173008A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US20070178681A1 (en) * 2006-02-02 2007-08-02 Samsung Electronics Co., Ltd., Semiconductor device having a plurality of metal layers deposited thereon
US20070228480A1 (en) * 2006-04-03 2007-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device having PMOS and NMOS transistors with different gate structures
US20080017936A1 (en) * 2006-06-29 2008-01-24 International Business Machines Corporation Semiconductor device structures (gate stacks) with charge compositions
US20080128813A1 (en) * 2006-11-30 2008-06-05 Ichiro Mizushima Semiconductor Device and Manufacturing Method Thereof
US20080150028A1 (en) * 2006-12-21 2008-06-26 Advanced Micro Devices, Inc. Zero interface polysilicon to polysilicon gate for semiconductor device
US20080272438A1 (en) * 2007-05-02 2008-11-06 Doris Bruce B CMOS Circuits with High-K Gate Dielectric
US20080272437A1 (en) * 2007-05-01 2008-11-06 Doris Bruce B Threshold Adjustment for High-K Gate Dielectric CMOS
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US20080277726A1 (en) * 2007-05-08 2008-11-13 Doris Bruce B Devices with Metal Gate, High-k Dielectric, and Butted Electrodes
US20090004792A1 (en) * 2007-06-29 2009-01-01 Karve Gauri V Method for forming a dual metal gate structure
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
US20090039435A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B Low Power Circuit Structure with Metal Gate and High-k Dielectric
US20090079015A1 (en) * 2007-09-26 2009-03-26 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US20090108366A1 (en) * 2007-10-30 2009-04-30 Tze-Chiang Chen Structure And Method To Fabricate Metal Gate High-K Devices
US20090140347A1 (en) * 2007-12-04 2009-06-04 International Business Machines Corporation Method and structure for forming multiple self-aligned gate stacks for logic devices
US20090152636A1 (en) * 2007-12-12 2009-06-18 International Business Machines Corporation High-k/metal gate stack using capping layer methods, ic and related transistors
WO2009086247A1 (en) * 2008-01-03 2009-07-09 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate
US20090243031A1 (en) * 2008-03-26 2009-10-01 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US20100059827A1 (en) * 2008-03-14 2010-03-11 Panasonic Corporation Semiconductor device and method of manufacturing the same
US20100214863A1 (en) * 2009-02-23 2010-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit and methods
US20100232203A1 (en) * 2009-03-16 2010-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US20100244144A1 (en) * 2009-03-31 2010-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US20100258875A1 (en) * 2008-02-06 2010-10-14 International Business Machines Corporation Cmos (complementary metal oxide semiconductor) devices having metal gate nfets and poly-silicon gate pfets
US20100258870A1 (en) * 2009-04-14 2010-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20100258881A1 (en) * 2009-04-14 2010-10-14 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k fets
US20110006390A1 (en) * 2009-07-08 2011-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Sti structure and method of forming bottom void in same
US20110079829A1 (en) * 2009-10-01 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US20110278539A1 (en) * 2010-05-12 2011-11-17 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US20120146160A1 (en) * 2010-12-10 2012-06-14 Globalfoundries Singapore Pte. Ltd. High-k metal gate device
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8350341B2 (en) 2010-04-09 2013-01-08 International Business Machines Corporation Method and structure for work function engineering in transistors including a high dielectric constant gate insulator and metal gate (HKMG)
US8420455B2 (en) 2010-05-12 2013-04-16 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US20130102139A1 (en) * 2010-11-09 2013-04-25 Le Wang Method for manufacturing double-gate structures
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8445337B2 (en) 2010-05-12 2013-05-21 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US20130126985A1 (en) * 2011-11-18 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. (110) surface orientation for reducing fermi-level-pinning between high-k dielectric and group iii-v compound semiconductor substrate
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8735243B2 (en) 2007-08-06 2014-05-27 International Business Machines Corporation FET device with stabilized threshold modifying material
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
EP2565929A3 (en) * 2011-08-30 2014-10-08 PS4 Luxco S.a.r.l. Semiconductor device and method for manufacturing the same
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US20150021714A1 (en) * 2013-07-22 2015-01-22 GlobalFoundries, Inc. Integrated circuits having a metal gate structure and methods for fabricating the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US20150318284A1 (en) * 2014-05-02 2015-11-05 International Business Machines Corporation Self aligned structure and method for high-k metal gate work function tuning
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US20180364684A1 (en) * 2017-06-14 2018-12-20 Fanuc Corporation Motor controller
US10256099B1 (en) * 2018-03-09 2019-04-09 Sandisk Technologies Llc Transistors having semiconductor-metal composite gate electrodes containing different thickness interfacial dielectrics and methods of making thereof
CN110047938A (en) * 2013-10-30 2019-07-23 株式会社理光 Field effect transistor, display element, image display device and system
US20190267243A1 (en) * 2014-05-02 2019-08-29 International Business Machines Corporation Method of lateral oxidation of nfet and pfet high-k gate stacks
US11094545B2 (en) * 2011-09-02 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-K metal gate device
US11837508B2 (en) 2020-06-12 2023-12-05 Changxin Memory Technologies, Inc. Method of forming high-k dielectric material

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5223364B2 (en) * 2008-02-07 2013-06-26 東京エレクトロン株式会社 Plasma etching method and storage medium
US7989902B2 (en) * 2009-06-18 2011-08-02 International Business Machines Corporation Scavenging metal stack for a high-k gate dielectric
CN101930979B (en) * 2009-06-26 2014-07-02 中国科学院微电子研究所 CMOSFETs structure for controlling threshold voltage of device and manufacturing method thereof
CN102201361A (en) * 2010-03-25 2011-09-28 上海宏力半导体制造有限公司 Method for reducing dislocation effectively and semiconductor device
US8853810B2 (en) * 2011-08-25 2014-10-07 GlobalFoundries, Inc. Integrated circuits that include deep trench capacitors and methods for their fabrication
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
US9373501B2 (en) 2013-04-16 2016-06-21 International Business Machines Corporation Hydroxyl group termination for nucleation of a dielectric metallic oxide
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
US9659655B1 (en) 2016-09-08 2017-05-23 International Business Machines Corporation Memory arrays using common floating gate series devices
WO2019125386A1 (en) * 2017-12-18 2019-06-27 Intel Corporation Oxygen diffusion barrier materials

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030092238A1 (en) * 2001-02-06 2003-05-15 Koji Eriguchi Method of forming insulating film and method of producing semiconductor device
US20040023478A1 (en) * 2002-07-31 2004-02-05 Samavedam Srikanth B. Capped dual metal gate transistors for CMOS process and method for making the same
US20040232499A1 (en) * 2002-10-29 2004-11-25 Hynix Semiconductor Inc. Transistor in semiconductor devices and method of fabricating the same
US20050064690A1 (en) * 2003-09-18 2005-03-24 International Business Machines Corporation Process options of forming silicided metal gates for advanced cmos devices
US20050106788A1 (en) * 2002-11-20 2005-05-19 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US6908801B2 (en) * 2003-09-19 2005-06-21 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US20050272235A1 (en) * 2004-06-03 2005-12-08 Chii-Ming Wu Method of forming silicided gate structure
US7388100B2 (en) * 2004-07-16 2008-06-17 Tetsuya Nishio Tertiary amine compounds

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001060630A (en) * 1999-08-23 2001-03-06 Nec Corp Manufacture of semiconductor device
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
KR100399356B1 (en) * 2001-04-11 2003-09-26 삼성전자주식회사 Method of forming cmos type semiconductor device having dual gate
US6518106B2 (en) * 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
KR100426441B1 (en) * 2001-11-01 2004-04-14 주식회사 하이닉스반도체 CMOS of semiconductor device and method for manufacturing the same
US7157337B2 (en) * 2003-02-03 2007-01-02 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained by means of such a method
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
US7329923B2 (en) 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7023055B2 (en) 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030092238A1 (en) * 2001-02-06 2003-05-15 Koji Eriguchi Method of forming insulating film and method of producing semiconductor device
US20040023478A1 (en) * 2002-07-31 2004-02-05 Samavedam Srikanth B. Capped dual metal gate transistors for CMOS process and method for making the same
US6894353B2 (en) * 2002-07-31 2005-05-17 Freescale Semiconductor, Inc. Capped dual metal gate transistors for CMOS process and method for making the same
US20040232499A1 (en) * 2002-10-29 2004-11-25 Hynix Semiconductor Inc. Transistor in semiconductor devices and method of fabricating the same
US20050106788A1 (en) * 2002-11-20 2005-05-19 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US20050064690A1 (en) * 2003-09-18 2005-03-24 International Business Machines Corporation Process options of forming silicided metal gates for advanced cmos devices
US6908801B2 (en) * 2003-09-19 2005-06-21 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US20050272235A1 (en) * 2004-06-03 2005-12-08 Chii-Ming Wu Method of forming silicided gate structure
US7388100B2 (en) * 2004-07-16 2008-06-17 Tetsuya Nishio Tertiary amine compounds

Cited By (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7537987B2 (en) * 2005-10-28 2009-05-26 Renesas Technology Corp. Semiconductor device manufacturing method
US20070099406A1 (en) * 2005-10-28 2007-05-03 Renesas Technology Corp. Semiconductor device manufacturing method
US9805949B2 (en) 2006-01-06 2017-10-31 Globalfoundries Inc. High κ gate stack on III-V compound semiconductors
US20070161214A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
US20070173008A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7750418B2 (en) 2006-01-20 2010-07-06 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US20070178681A1 (en) * 2006-02-02 2007-08-02 Samsung Electronics Co., Ltd., Semiconductor device having a plurality of metal layers deposited thereon
US20070228480A1 (en) * 2006-04-03 2007-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device having PMOS and NMOS transistors with different gate structures
US20080017936A1 (en) * 2006-06-29 2008-01-24 International Business Machines Corporation Semiconductor device structures (gate stacks) with charge compositions
US20080128813A1 (en) * 2006-11-30 2008-06-05 Ichiro Mizushima Semiconductor Device and Manufacturing Method Thereof
US20080150028A1 (en) * 2006-12-21 2008-06-26 Advanced Micro Devices, Inc. Zero interface polysilicon to polysilicon gate for semiconductor device
US20080272437A1 (en) * 2007-05-01 2008-11-06 Doris Bruce B Threshold Adjustment for High-K Gate Dielectric CMOS
US8187961B2 (en) 2007-05-01 2012-05-29 International Business Machines Corporation Threshold adjustment for high-K gate dielectric CMOS
US20090291553A1 (en) * 2007-05-01 2009-11-26 International Business Machines Corporation Threshold Adjustment for High-K Gate Dielectric CMOS
US20080318371A1 (en) * 2007-05-02 2008-12-25 Chien-Ting Lin Semiconductor device and method of forming the same
US20080272438A1 (en) * 2007-05-02 2008-11-06 Doris Bruce B CMOS Circuits with High-K Gate Dielectric
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US7759202B2 (en) * 2007-05-02 2010-07-20 United Microelectronics Corp. Method for forming semiconductor device with gates of different materials
US20080277726A1 (en) * 2007-05-08 2008-11-13 Doris Bruce B Devices with Metal Gate, High-k Dielectric, and Butted Electrodes
US20090004792A1 (en) * 2007-06-29 2009-01-01 Karve Gauri V Method for forming a dual metal gate structure
US7666730B2 (en) * 2007-06-29 2010-02-23 Freescale Semiconductor, Inc. Method for forming a dual metal gate structure
US8735243B2 (en) 2007-08-06 2014-05-27 International Business Machines Corporation FET device with stabilized threshold modifying material
US7723798B2 (en) 2007-08-07 2010-05-25 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
US20090039435A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B Low Power Circuit Structure with Metal Gate and High-k Dielectric
US7807525B2 (en) 2007-08-07 2010-10-05 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
US8399332B2 (en) 2007-09-26 2013-03-19 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US7662693B2 (en) 2007-09-26 2010-02-16 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US7956426B2 (en) 2007-09-26 2011-06-07 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US20090079015A1 (en) * 2007-09-26 2009-03-26 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US8153497B2 (en) 2007-09-26 2012-04-10 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
US7790592B2 (en) * 2007-10-30 2010-09-07 International Business Machines Corporation Method to fabricate metal gate high-k devices
US20090302396A1 (en) * 2007-10-30 2009-12-10 International Business Machines Corporation Structure and Method to Fabricate Metal Gate High-K Devices
US20090108366A1 (en) * 2007-10-30 2009-04-30 Tze-Chiang Chen Structure And Method To Fabricate Metal Gate High-K Devices
US7847356B2 (en) 2007-10-30 2010-12-07 International Business Machines Corporation Metal gate high-K devices having a layer comprised of amorphous silicon
US20090140347A1 (en) * 2007-12-04 2009-06-04 International Business Machines Corporation Method and structure for forming multiple self-aligned gate stacks for logic devices
US7790541B2 (en) * 2007-12-04 2010-09-07 International Business Machines Corporation Method and structure for forming multiple self-aligned gate stacks for logic devices
US9236314B2 (en) 2007-12-12 2016-01-12 GlobalFoundries, Inc. High-K/metal gate stack using capping layer methods, IC and related transistors
US20090152636A1 (en) * 2007-12-12 2009-06-18 International Business Machines Corporation High-k/metal gate stack using capping layer methods, ic and related transistors
US7776680B2 (en) 2008-01-03 2010-08-17 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate
US20090275179A1 (en) * 2008-01-03 2009-11-05 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate
WO2009086247A1 (en) * 2008-01-03 2009-07-09 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate
US8018005B2 (en) * 2008-02-06 2011-09-13 International Business Machines Corporation CMOS (complementary metal oxide semiconductor) devices having metal gate NFETs and poly-silicon gate PFETs
US20100258875A1 (en) * 2008-02-06 2010-10-14 International Business Machines Corporation Cmos (complementary metal oxide semiconductor) devices having metal gate nfets and poly-silicon gate pfets
US8350332B2 (en) 2008-03-14 2013-01-08 Panasonic Corporation Semiconductor device and method of manufacturing the same
US20100059827A1 (en) * 2008-03-14 2010-03-11 Panasonic Corporation Semiconductor device and method of manufacturing the same
US20090243031A1 (en) * 2008-03-26 2009-10-01 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US7955926B2 (en) * 2008-03-26 2011-06-07 International Business Machines Corporation Structure and method to control oxidation in high-k gate structures
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US20100214863A1 (en) * 2009-02-23 2010-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit and methods
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US20100232203A1 (en) * 2009-03-16 2010-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US20100244144A1 (en) * 2009-03-31 2010-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US20100258870A1 (en) * 2009-04-14 2010-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8436427B2 (en) 2009-04-14 2013-05-07 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-K FETs
US20110180880A1 (en) * 2009-04-14 2011-07-28 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k fets
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US7943457B2 (en) 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
US20100258881A1 (en) * 2009-04-14 2010-10-14 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k fets
US20110006390A1 (en) * 2009-07-08 2011-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Sti structure and method of forming bottom void in same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US9660082B2 (en) 2009-07-28 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit transistor structure with high germanium concentration SiGe stressor
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8896055B2 (en) 2009-09-01 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US11158725B2 (en) 2009-09-24 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US10355108B2 (en) 2009-09-24 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a fin field effect transistor comprising two etching steps to define a fin structure
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US20110079829A1 (en) * 2009-10-01 2011-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US9922827B2 (en) 2010-01-14 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor structure
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8482073B2 (en) 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8350341B2 (en) 2010-04-09 2013-01-08 International Business Machines Corporation Method and structure for work function engineering in transistors including a high dielectric constant gate insulator and metal gate (HKMG)
US8728925B2 (en) 2010-04-09 2014-05-20 International Business Machines Corporation Method and structure for work function engineering in transistors including a high dielectric constant gate insulator and metal gate (HKMG)
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9450097B2 (en) 2010-04-28 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping Fin field-effect transistors and Fin field-effect transistor
US9209280B2 (en) 2010-04-28 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9147594B2 (en) 2010-05-06 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US10998442B2 (en) 2010-05-06 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US10510887B2 (en) 2010-05-06 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US9564529B2 (en) 2010-05-06 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US11251303B2 (en) 2010-05-06 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US11855210B2 (en) 2010-05-06 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure and structure formed
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8445337B2 (en) 2010-05-12 2013-05-21 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8673698B2 (en) * 2010-05-12 2014-03-18 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US9728619B2 (en) 2010-05-12 2017-08-08 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8420455B2 (en) 2010-05-12 2013-04-16 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US20130017673A1 (en) * 2010-05-12 2013-01-17 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8519479B2 (en) * 2010-05-12 2013-08-27 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
TWI512836B (en) * 2010-05-12 2015-12-11 Ibm Generation of multiple diameter nanowire field effect transistors
US20110278539A1 (en) * 2010-05-12 2011-11-17 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US9209300B2 (en) 2010-10-13 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US8809940B2 (en) 2010-10-13 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin held effect transistor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9716091B2 (en) 2010-10-13 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor
US9893160B2 (en) 2010-10-19 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8536658B2 (en) 2010-11-08 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8735266B2 (en) 2010-11-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US20130102139A1 (en) * 2010-11-09 2013-04-25 Le Wang Method for manufacturing double-gate structures
US8895398B2 (en) * 2010-11-09 2014-11-25 Csmc Technologies Fab1 Co., Ltd. Method for manufacturing double-gate structures
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8806397B2 (en) 2010-11-12 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US9026959B2 (en) 2010-11-12 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US20120146160A1 (en) * 2010-12-10 2012-06-14 Globalfoundries Singapore Pte. Ltd. High-k metal gate device
US8691638B2 (en) * 2010-12-10 2014-04-08 Globalfoundries Singapore Pte. Ltd. High-K metal gate device
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US9184088B2 (en) 2011-01-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a shallow trench isolation (STI) structures
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
EP2565929A3 (en) * 2011-08-30 2014-10-08 PS4 Luxco S.a.r.l. Semiconductor device and method for manufacturing the same
US11094545B2 (en) * 2011-09-02 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-K metal gate device
CN103123930A (en) * 2011-11-18 2013-05-29 台湾积体电路制造股份有限公司 (110) surface orientation for reducing fermi-level-pinning between high-k dielectric and group iii-v compound semiconductor substrate
US10770588B2 (en) 2011-11-18 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor device
US9406518B2 (en) * 2011-11-18 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor substrate
US20130126985A1 (en) * 2011-11-18 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. (110) surface orientation for reducing fermi-level-pinning between high-k dielectric and group iii-v compound semiconductor substrate
US10964817B2 (en) 2011-11-18 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor device
US20150021714A1 (en) * 2013-07-22 2015-01-22 GlobalFoundries, Inc. Integrated circuits having a metal gate structure and methods for fabricating the same
US9337296B2 (en) * 2013-07-22 2016-05-10 GlobalFoundries, Inc. Integrated circuits having a metal gate structure and methods for fabricating the same
CN110047938A (en) * 2013-10-30 2019-07-23 株式会社理光 Field effect transistor, display element, image display device and system
US9401311B2 (en) * 2014-05-02 2016-07-26 International Business Machines Corporation Self aligned structure and method for high-K metal gate work function tuning
US20160315083A1 (en) * 2014-05-02 2016-10-27 International Business Machines Corporation Self aligned structure and method for high-k metal gate work function tuning
US20190267243A1 (en) * 2014-05-02 2019-08-29 International Business Machines Corporation Method of lateral oxidation of nfet and pfet high-k gate stacks
US9899384B2 (en) * 2014-05-02 2018-02-20 International Business Machines Corporation Self aligned structure and method for high-K metal gate work function tuning
US20150318284A1 (en) * 2014-05-02 2015-11-05 International Business Machines Corporation Self aligned structure and method for high-k metal gate work function tuning
US10627807B2 (en) 2017-06-14 2020-04-21 Fanuc Corporation Motor controller
CN109085802A (en) * 2017-06-14 2018-12-25 发那科株式会社 The control device of motor
US20180364684A1 (en) * 2017-06-14 2018-12-20 Fanuc Corporation Motor controller
US10256099B1 (en) * 2018-03-09 2019-04-09 Sandisk Technologies Llc Transistors having semiconductor-metal composite gate electrodes containing different thickness interfacial dielectrics and methods of making thereof
US11837508B2 (en) 2020-06-12 2023-12-05 Changxin Memory Technologies, Inc. Method of forming high-k dielectric material

Also Published As

Publication number Publication date
JP5128121B2 (en) 2013-01-23
CN1992274A (en) 2007-07-04
US20100041221A1 (en) 2010-02-18
CN1992274B (en) 2011-11-09
KR101055930B1 (en) 2011-08-09
JP2007184583A (en) 2007-07-19
KR20070072362A (en) 2007-07-04
US8383483B2 (en) 2013-02-26

Similar Documents

Publication Publication Date Title
US8383483B2 (en) High performance CMOS circuits, and methods for fabricating same
US7666732B2 (en) Method of fabricating a metal gate CMOS with at least a single gate metal and dual gate dielectrics
US7833849B2 (en) Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US9236314B2 (en) High-K/metal gate stack using capping layer methods, IC and related transistors
US7569466B2 (en) Dual metal gate self-aligned integration
EP1766691B1 (en) Selective implementation of barrier layers to achieve threshold voltage control in cmos device fabrication with high k dielectrics
US7855105B1 (en) Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8309447B2 (en) Method for integrating multiple threshold voltage devices for CMOS
US8105892B2 (en) Thermal dual gate oxide device integration
US20080224238A1 (en) ADVANCED HIGH-k GATE STACK PATTERNING AND STRUCTURE CONTAINING A PATTERNED HIGH-k GATE STACK
US9087784B2 (en) Structure and method of Tinv scaling for high k metal gate technology
US7943458B2 (en) Methods for obtaining gate stacks with tunable threshold voltage and scaling
US8513085B2 (en) Structure and method to improve threshold voltage of MOSFETs including a high k dielectric

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ARNOLD, JOHN C.;BIERY, GLENN A.;CALLEGARI, ALESSANDRO C.;AND OTHERS;REEL/FRAME:017478/0476;SIGNING DATES FROM 20060127 TO 20060206

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ARNOLD, JOHN C.;BIERY, GLENN A.;CALLEGARI, ALESSANDRO C.;AND OTHERS;REEL/FRAME:017478/0368;SIGNING DATES FROM 20060127 TO 20060206

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910