US20070161156A1 - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
US20070161156A1
US20070161156A1 US11/687,981 US68798107A US2007161156A1 US 20070161156 A1 US20070161156 A1 US 20070161156A1 US 68798107 A US68798107 A US 68798107A US 2007161156 A1 US2007161156 A1 US 2007161156A1
Authority
US
United States
Prior art keywords
film
interconnect
insulating film
semiconductor device
protection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/687,981
Inventor
Kazutoshi Shiba
Hiroyuki Kunishima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to US11/687,981 priority Critical patent/US20070161156A1/en
Publication of US20070161156A1 publication Critical patent/US20070161156A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor device including an interconnect insulating film constituted of a low dielectric constant film and to a method of manufacturing the same, more specifically to a semiconductor device including a concentrated region where a plurality of interconnects is closely formed, and to a manufacturing method thereof.
  • Damascene process is generally employed, which basically consists of forming an interconnect trench in an interconnect insulating film, burying copper in the trench, and removing surplus copper outside the trench by CMP (chemical mechanical polishing) process (JP-A laid open 2001-176965).
  • the Damascene process has a drawback that a part of the interconnect insulating film is also removed by CMP method performed after burying copper, because of which interconnect resistance increases or becomes unstable.
  • a low dielectric constant film has lower chemical resistance and less mechanical strength than an SiO 2 film conventionally used as an interconnect insulating film. Accordingly, an interconnect insulating film made of a low dielectric constant material is more prone to be scraped off by CMP than that made of an SiO 2 film.
  • FIG. 1A On a lower insulating layer 1 an etch-stopper film 2 made of for example an SiN film is formed, over which an interconnect insulating film 3 , for example made of an HSQ film, is formed. On the interconnect insulating film 3 , a barrier films constituted of for example Ta, TaN, Ti, TiN or layers thereof, and an interconnect 4 constituted of an interconnect metal film 6 such as Cu are formed.
  • an insulative etch-stopper film 7 made of for example an SiN film is formed, on which an interlayer insulating film 8 of for example SiO 2 is formed, and further on the interlayer insulating film 8 another etch-stopper film 9 of for example SiN, and then another interconnect insulating film 10 made of for example an HSQ film is formed on the etch-stopper film 9 .
  • another barrier film 12 constituted of for example Ta, TaN, Ti, TiN or multiple layers thereof, and a via and an interconnect made of an interconnect metal film 13 such as Cu are formed.
  • an excess of the interconnect metal film 13 and of the barrier film 12 deposited on the interconnect insulating film 10 are removed by CMP method.
  • an HSQ film having a cage-type molecular structure may be cited as an example of a low dielectric constant material having a dielectric constant not greater than 3.6.
  • the film is largely scraped off during a CMP process of removing an excess of the interconnect metal film 13 and so on, because of the lack of chemical resistance and mechanical strength.
  • the present invention has been conceived in view of the foregoing situation, with an object to provide a technique of improving erosion resistance in a semiconductor device that has a metal interconnect formed through the Damascene process, or in a method of manufacturing the same. It is another object of the present invention to provide a technique of reducing dispersion of interconnect resistance and interconnect capacitance without increasing an effective dielectric constant.
  • a semiconductor device comprising a semiconductor substrate; a low dielectric constant film constituted essentially of a ladder-type hydrogen siloxane provided on the semiconductor substrate; a protection film provided on the low dielectric constant film; and a metal interconnect formed in the low dielectric constant film and the protection film.
  • the low dielectric constant film (hereinafter simply referred to as “low-k film”) may be defined as a film constituted of a material having a specific dielectric constant not greater than 3.6, more preferably 2.9 or lower.
  • a ladder-type hydrogen siloxane for example an L-OxTM (trademark) (hereinafter simply referred to as “L-Ox”) may be used. With such constitution, interconnect capacitance can be reduced, while upgrading erosion resistance.
  • FIG. 2 is a bar graph showing difference in polishing rate of the relevant materials based on an amount polished off.
  • the graph shows an SiO 2 (silicon oxide) film, an HSQ film having a cage-type molecular structure and an L-Ox film having a ladder-type molecular structure, for comparison purpose.
  • SiO 2 silicon oxide
  • HSQ silicon oxide
  • L-Ox film having a ladder-type molecular structure
  • FIGS. 3A and 3B are comparative bar graphs showing changes of an HSQ film having a cage-type molecular structure and an L-Ox film against various kinds of treatments.
  • the molecular bond structure of the HSQ film has remarkably changed by O 2 plasma treatment and wet cleaning. This proves that the HSQ film having a cage-type molecular structure is chemically unstable. Therefore, even though a protection film is provided, interconnect thickness may still be reduced or uneven because of erosion during a polishing and removing process of metal interconnects especially in a concentrated region where the metal interconnects are closely formed, unless the protection film is sufficiently thick.
  • the protection film may be constituted of a material having greater resistance against a chemical mechanical polishing process than the low-k film.
  • the protection film may be constituted essentially of a silicon oxide film.
  • a silicon oxide film effectively serves as the protection film because of having a slower polishing rate than an L-Ox film does, as already proven.
  • the ladder-type hydrogen siloxane may have a refractive index not less than 1.38 but not greater than 1.40 at a wavelength of 633 nm.
  • the ladder-type hydrogen siloxane may have a density not less than 1.50 g/cm 3 but not greater than 1.58 g/cm 3 .
  • the ladder-type hydrogen siloxane may be formed through sintering in a temperature range of 200 to 400 degree centigrade.
  • a plurality of said metal interconnects may be provided so as to form an isolated region where one of the plurality of metal interconnects is separately located and a concentrated region where the other metal interconnects are closely disposed to one another.
  • the plurality of metal interconnects in the concentrated region may be disposed such that a maximum interval between substantially parallel portions of neighboring metal interconnects is not greater than a double of a width of the respective metal interconnects.
  • Such concentrated region is where erosion is more likely to take place, therefore providing the low-k film constituted of a ladder-type hydrogen siloxane and the protection film formed thereon results in higher erosion resistance.
  • a lower limit of the interval between metal interconnects is not specifically defined, however it is preferable to set the lower limit for example at 25% of a width of the respective metal interconnects, because an effective interconnect structure is normally formed in such range.
  • the present invention is applicable to a semiconductor device having an interconnect structure formed by single Damascene process, as well as that including an interconnect structure formed by dual Damascene process.
  • the present invention is applicable to the trench-first process of forming an interconnect trench at first, the via-first process of forming a via hole at first, and also to the middle-first process of forming an etching stopper film for disposing a via hole first, and forming an interconnect trench before forming a via hole.
  • the present invention is applicable to a semiconductor device including an interconnect structure formed by various other process than the mentioned ones.
  • the protection film may be formed such that a film thickness thereof at its thickest portion is in a range of 10% to 30% of a film thickness of the low dielectric constant film at its thickest portion.
  • FIG. 16 is a line graph showing a relation between a film thickness ratio (%) and an increase of interconnect capacitance in case where an SiO 2 film is used as a protection film and an L-Ox film is used as a low-k film.
  • the line shown as “with etching stopper” represents an increase of the interconnect capacitance according to a change of the SiO 2 film thickness, under a fixed condition that a film thickness of an etch-stopper film 213 is 50 nm and that of a second interconnect insulating film 216 is 240 nm in a semiconductor device shown in FIG. 4 to be subsequently described.
  • the line shown as “without etching stopper” represents an increase of the interconnect capacitance according to a change of the SiO 2 film thickness, under a fixed condition that a film thickness of a second interconnect insulating film 216 is 290 nm in a semiconductor device shown in FIG. 4 but without the etching stopper film 213 .
  • width of an upper interconnect 270 is set at 0.20 ⁇ m, and an interval between interconnects at 0.2 ⁇ m.
  • the semiconductor device of the present invention can be built up to a multilayer interconnect structure constituted of a plurality of layers including a metal interconnect and an interconnect insulating film consisting of a low-k film and a protection film.
  • a multilayer interconnect structure constituted of a plurality of layers including a metal interconnect and an interconnect insulating film consisting of a low-k film and a protection film.
  • the protection film may be provided over the low dielectric constant film in direct contact therewith.
  • the low-k film In case of employing a ladder-type hydrogen siloxane as the low-k film and a silicon oxide film as the protection film, close adhesion is achieved between these films, which is another factor that improves erosion resistance.
  • the protection film does not necessarily have to be in direct contact with the low-k film, but an intermediate layer (or film) may be provided between those films.
  • a method of manufacturing a semiconductor device comprising forming a low dielectric constant film constituted essentially of a ladder-type hydrogen siloxane on a semiconductor substrate; forming a protection film on the low dielectric constant film; forming a metal interconnect in the low dielectric constant film and the protection film; and polishing the metal interconnect with the protection film provided on the low dielectric constant film.
  • the protection film may be formed of a silicon oxide film.
  • the method of manufacturing a semiconductor device of the present invention may further comprise forming an interconnect trench in an interconnect insulating film; burying an interconnect metal film in the interconnect trench in the step of forming the metal interconnect; and polishing and removing the interconnect metal film outside the interconnect trench in the step of polishing the metal interconnect.
  • the method of manufacturing a semiconductor device of the present invention may further comprise forming an interlayer insulating film on the protection film after the step of polishing; polishing and planarizing the interlayer insulating film; and repeating the respective steps to thereby form a multilayer interconnect structure.
  • the respective layers can be better planarized, and by laminating a plurality of such layers a multilayer interconnect structure can be formed at a higher precision level that can be stably maintained.
  • FIGS. 1A and 1B are schematic cross-sectional views showing a conventional semiconductor device
  • FIG. 2 is a bar graph showing difference of polishing rate of different materials based on an amount polished off
  • FIGS. 3A and 3B are bar graphs showing changes of an HSQ film having a cage-type molecular structure and an L-Ox film against various kinds of treatments;
  • FIG. 4 is a schematic cross-sectional view showing a semiconductor device according to an embodiment of the present invention.
  • FIGS. 5A to 5 D are schematic cross-sectional views showing a formation process of an upper interconnect layer of the semiconductor device of FIG. 4 ;
  • FIG. 6 is a schematic cross-sectional view showing a semiconductor device according to another embodiment of the present invention.
  • FIGS. 7A to 7 D are schematic cross-sectional views showing a formation process of an upper interconnect layer of the semiconductor device of FIG. 6 ;
  • FIGS. 8A to 8 C are schematic cross-sectional views showing another formation process of an upper interconnect layer of the semiconductor device of FIG. 6 ;
  • FIGS. 9A to 9 D are schematic cross-sectional views showing still another formation process of an upper interconnect layer of the semiconductor device of FIG. 6 ;
  • FIG. 10 is a line graph showing a relation between an interconnect resistance ratio and a film thickness of a protection layer (SiO 2 film) in an isolated interconnect region after a CMP process, measured upon manufacturing a example of the semiconductor device;
  • FIG. 12 is a table showing property data of L-Ox
  • FIG. 13 is a line graph showing an IR (infrared) spectrum of L-Ox
  • FIG. 14 is a line graph showing a refractive index and a density of sintering condition dependence of L-Ox;
  • FIG. 15 is a schematic diagram showing a molecular structure of HSQ.
  • FIG. 16 is a line graph showing a relation between a film thickness ratio (%) of an SiO 2 film against an L-Ox film, and an increase of interconnect capacitance in case where an SiO 2 film is used as a protection film and an L-Ox film is used as a low-k film.
  • an interconnect insulating film in which an interconnect is to be formed includes a low-k film constituted of a ladder-type hydrogen siloxane.
  • a ladder-type hydrogen siloxane refers to a polymer that has a ladder-type molecular structure, and it is preferable to employ such one that has a dielectric constant not greater than 2.9 and a low film density, from the viewpoint of prevention of an interconnect delay.
  • the film density is not less than 1.50 g/cm 3 but not greater than 1.58 g/cm 3
  • a refractive index is not less than 1.38 but not greater than 1.40 at a wavelength of 633 nm.
  • An L-OxTM (trademark) called a ladder oxide can be cited as a specific example of such film material.
  • the porus L-Ox may be used as the insulating material.
  • FIG. 11 shows a structure of an L-Ox having a ladder-type hydrogen siloxane structure.
  • n is a positive numeral not less than 1.
  • Property data of the L-Ox having such structure is shown in FIG. 12 .
  • a measurement result by FT-IR (Fourier Transform Infrared Spectroscopy) shown in FIG. 13 proves that the L-Ox actually has the structure shown in FIG. 11 .
  • the chart of FIG. 13 characteristically shows a sharp Si—H bond that can be observed around 830 cm ⁇ 1 , and the steepness of the spectrum suggests that the L-Ox has a two-dimensional structure. Also, an extremely small peak that is supposed to be another peak of the Si—H bond is observed at a higher wave number side close to 870 cm ⁇ 1 , which endorses that the object of measurement has a two-dimensional structure.
  • R.I. designates a refractive index at a wavelength of 633 nm.
  • the refractive index which is a parameter that directly affects a dielectric constant, is changing in a range of 1.38 to 1.40.
  • the refractive index indicates a value higher than 1.40.
  • an L-Ox sintered at a temperature of 200 to 400 degree centigrade indicates 1.50 to 1.58 g/cm 3 .
  • the value exceeds 1.60 g/cm 3 .
  • density was not measurable.
  • FIG. 15 shows a conventionally known molecular skeleton of HSQ (Hydrogen Silsesquioxane) of a hydrogen siloxane structure having a three-dimensional cage-type molecular structure (cited from “Semiconductor Technology Outlook ” 1998 edition, pages 431 to 435).
  • the first embodiment represents a case where the present invention is applied to formation of a multilayer interconnect structure by single Damascene process.
  • FIG. 4 is a schematic cross-sectional view showing a semiconductor device according to the first embodiment.
  • a lower interconnect 255 is connected to an upper interconnect 270 through a via plug.
  • the lower interconnect 255 is disposed in a trench formed in film layers.
  • the trench is formed in film layers consisting of an underlying insulating film 201 deposited on a semiconductor substrate (not shown), an etch-stopper film 202 constituted of for example an SiC or SICN film, a first interconnect insulating film 203 constituted of an L-Ox film 203 which is a ladder-type hydrogen siloxane, and a first protection film 204 constituted of an SiO 2 film.
  • a lateral wall and a bottom portion of the lower interconnect 255 are covered with a barrier film 208 constituted of for example Ta, TaN, Ti, TiN or layers thereof.
  • the L-Ox film is a ladder-type hydrogen siloxane called a ladder oxide, as already stated.
  • the via plug is formed in a bore provided in film layers consisting of an etch-stopper film 211 which is an SICN film formed over the first protection film 204 and an interlayer insulating film 212 which is an SiO 2 film.
  • etch-stopper film 211 which is an SICN film formed over the first protection film 204
  • interlayer insulating film 212 which is an SiO 2 film.
  • a lateral wall and a bottom portion of the bore are covered with a barrier film 226 which is a Ta/TaN film, and inside of the barrier film is filled with a via metal film 228 which is a copper film.
  • the upper interconnect 270 is disposed in a trench formed in film layers.
  • the trench is formed in film layers consisting of an etch-stopper film 213 constituted of an SICN film, a second interconnect insulating film 216 constituted of an L-Ox film, and a second protection film 217 constituted of an Sio 2 film.
  • a lateral face of the upper interconnect 270 is covered with a barrier film 220 which is a Ta/TaN film, and inside of the barrier film is filled with an interconnect metal film 223 which is a copper film.
  • the upper interconnect 270 includes an isolated interconnect 270 a and a plurality of concentrated interconnects 270 b , 270 c and 270 d that are disposed close to one another. In a concentrated region where the concentrated interconnects 270 b to 270 d are disposed, an erosion region 271 is supposed to be formed.
  • the second interconnect insulating film 216 may be formed in a thickness of approx. 200 nm, and the upper interconnect 270 in a width of approx. 0.20 ⁇ m, for example. Meanwhile, a minimum interval between interconnects in the concentrated region where the concentrated interconnects 270 b to 270 d are formed is to be approx. 0.40 ⁇ m. In this case, it is preferable that the second protection film 217 is formed such that a thickest portion thereof in the proximity of a region where the isolated interconnect 270 a is formed becomes 30 nm to 70 nm.
  • the upper interconnect 270 has a width of 0.60 ⁇ m with the interval between interconnects of 0.20 ⁇ m, and though in such case erosion is prone to take place, by employing an L-Ox film as the second interconnect insulating film 216 erosion resistance can be upgraded.
  • a minimum interval between interconnects in the concentrated region where the concentrated interconnects 270 b to 270 d are formed may be set at approx. 0.80 ⁇ m.
  • the second interconnect insulating film 216 may be formed in a thickness of approx. 350 nm and the upper interconnect in a width of approx. 0.40 ⁇ m.
  • the second protection film 217 is formed such that a thickest portion thereof in the proximity of a region where the isolated interconnect 270 a is formed becomes 70 nm to 125 nm.
  • the upper interconnect 270 has a width of 1.60 ⁇ m with the interval between interconnects of 0.40 ⁇ m, and though in such case erosion is prone to take place, by employing an L-Ox film as the second interconnect insulating film 216 erosion resistance can be upgraded.
  • FIGS. 5A to 5 D are schematic cross-sectional views showing a formation process of an upper interconnect layer of the semiconductor device of FIG. 4 .
  • the components formed lower than the interlayer insulating film 212 are omitted, and the barrier film 226 and the via metal film 228 shown in FIG. 4 are omitted in the interlayer insulating film 212 .
  • a first step is, for example, forming an etch-stopper film 213 on the interlayer insulating film 212 , forming thereon the second interconnect insulating film 216 (film thickness 250 nm for example), and forming thereon the second protection film 217 ( FIG. 5A ).
  • a film thickness of the second protection film 217 is preferably in a range of 10% to 60%, more preferably approx. 50% of a film thickness of the second interconnect insulating film 216 .
  • interconnect trench 207 is formed through the etch-stopper film 213 , the second interconnect insulating film 216 and the second protection film 217 , by a known lithography and etching technique ( FIG. 5B ).
  • the barrier film 220 is formed in the interconnect trench 207 by sputtering, and the interconnect metal film 223 is formed on the barrier film 220 so as to fill in the interconnect trench 207 , for example by electrolytic plating ( FIG. 5C ).
  • an excess of the barrier film 220 and of the interconnect metal film 223 formed outside the interconnect trench 207 is removed by CMP.
  • the isolated interconnect 270 a and the concentrated interconnects 270 b to 270 d are formed ( FIG. 5D ).
  • a portion of the second protection film 217 and of the second interconnect insulating film 216 is removed, and especially in the concentrated region where the concentrated interconnects 270 b to 270 d are formed the second protection film 217 and the second interconnect insulating film 216 are scraped off in a larger scale, than in a region around the isolated interconnect 270 a .
  • the second interconnect insulating film 216 since an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216 in this embodiment, dimensions of the erosion region 271 where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced.
  • the second embodiment represents a case where the present invention is applied to formation of a multilayer interconnect structure by dual Damascene process.
  • the “trench-first” process is adopted as an example of forming an interconnect and a via.
  • the same numerals are given to components that are identical to those of the first embodiment, and description thereof may be omitted.
  • FIG. 6 is a schematic cross-sectional view showing a semiconductor device according to the second embodiment.
  • the semiconductor device 200 has, as in the first embodiment, a multilayer structure constituted of the underlying insulating film 201 , etch-stopper film 202 , first interconnect insulating film 203 , first protection film 204 , etch-stopper film 211 , interlayer insulating film 212 , etch-stopper film 213 , second interconnect insulating film 216 and second protection film 217 layered in this sequence.
  • the lower interconnect 255 constituted of the barrier film 208 and the interconnect metal film 209 is formed through the first interconnect insulating film 203 , the first protection film 204 and the etch-stopper film 211 .
  • the barrier film 220 and the interconnect metal film 223 are formed through the etch-stopper film 211 , interlayer insulating film 212 , etch-stopper film 213 , second interconnect insulating film 216 and second protection film 217 . Further, the isolated interconnect 270 a constituted of the barrier film 220 and the interconnect metal film 223 , as well as the concentrated interconnects 270 b , 270 c and 270 d likewise constituted are formed through the etch-stopper film 213 , the second interconnect insulating film 216 and the second protection film 217 .
  • the erosion region 271 is supposed to appear in the concentrated region where the concentrated interconnects 270 b to 270 d are disposed.
  • FIGS. 7A to 7 D are schematic cross-sectional views showing a formation process of an upper interconnect layer 270 of the semiconductor device shown in FIG. 6 .
  • a resist film 272 is formed on the second protection film 217 .
  • an isolated interconnect trench 273 a is formed through the second interconnect insulating film 216 , the second protection film 217 and the resist film 272 ( FIG. 7A ).
  • an anti-reflection film (not shown) under the resist film 272 for better controlled patterning by the resist film 272 .
  • the anti-reflection film interleaved between layers can also serve to prevent penetration of the etch-stopper film 213 .
  • the resist film 272 used for forming the isolated interconnect trench 273 a and the concentrated interconnect trenches 273 b to 273 d is removed, and another resist film 274 is formed on the second protection film 217 so as to fill in the isolated interconnect trench 273 a and the concentrated interconnect trenches 273 b to 273 d .
  • a via hole 275 is then formed through the etch-stopper film 213 , the interlayer insulating film 212 and the etch-stopper film 211 at a predetermined position on the resist film 274 , by a known lithography and etching technique ( FIG. 7B ).
  • the via hole 275 and the isolated interconnect trench 273 a are successively formed ( FIG. 7C ).
  • the barrier film 220 is formed by sputtering inside the via hole 275 , the isolated interconnect trench 273 a and the concentrated interconnect trench 273 b , concentrated interconnect trench 273 c and concentrated interconnect trench 273 d .
  • the interconnect metal film 223 is formed, for example by electrolytic plating, on the barrier film 220 so as to fill in the via hole 275 , the isolated interconnect trench 273 a and the concentrated interconnect trench 273 b , concentrated interconnect trench 273 c and concentrated interconnect trench 273 d respectively ( FIG. 7D ).
  • the semiconductor device 200 including the isolated interconnect 270 a and the concentrated interconnects 270 b to 270 d as shown in FIG. 6 is obtained.
  • a portion of the second protection film 217 and of the second interconnect insulating film 216 is also removed, thereby forming the erosion region 271 .
  • a portion of the second protection film 217 and of the second interconnect insulating film 216 is removed, and especially in the concentrated region where the concentrated interconnects 270 b to 270 d are formed the second protection film 217 and the second interconnect insulating film 216 are scraped off in a larger scale, than in a region around the isolated interconnect 270 a .
  • an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216 in this embodiment, dimensions of the erosion region 271 where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced.
  • the third embodiment also represents a case where the present invention is applied to formation of a multilayer interconnect structure by dual Damascene process, as in the second embodiment.
  • the difference is that the “via-first” process is adopted as an example of forming an interconnect and a via.
  • the same numerals are given to components that are identical to those of the first and the second embodiments, and description thereof may be omitted.
  • the semiconductor device 200 has the same structure as that of the second embodiment shown in FIG. 6 .
  • FIGS. 8A to 8 C are schematic cross-sectional views showing another formation process of an upper interconnect layer 270 of the semiconductor device shown in FIG. 6 .
  • the etch-stopper film 211 , the interlayer insulating film 212 , the second interconnect insulating film 216 and the second protection film 217 are deposited in this sequence on the lower interconnect 255 ( FIG. 8A ).
  • the respective layers can be maintained sufficiently planarized through the formation process of the multilayer interconnect structure, thereby enabling stable manufacturing of the semiconductor device at a high precision level.
  • a via hole 278 is then formed through the interlayer insulating film 212 , the etch-stopper film 213 , the second interconnect insulating film 216 and the second protection film 217 by a known lithography and etching technique ( FIG. 8B ). Meanwhile, the etch-stopper film 211 is provided for stopping a progress of etching for forming the via hole 278 .
  • the resist film 277 utilized for defining the interconnect trench 279 is entirely removed, and the etch-stopper film 211 at a bottom portion of the via hole 278 is removed by etching.
  • the barrier film 220 and the interconnect metal film 223 are formed in a similar manner to the method described in the second embodiment referring to FIG. 7D .
  • an excess of the barrier film 220 and of the interconnect metal film 223 formed outside the interconnect trench 279 is removed by CMP. In this way a semiconductor device similar to the one shown in FIG. 6 is obtained.
  • the second interconnect insulating film 216 since an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216 , dimensions of the erosion region 271 in the concentrated region where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced.
  • the fourth embodiment also represents a case where the present invention is applied to formation of a multilayer interconnect structure by dual Damascene process, as in the second and third embodiments.
  • the difference is that the so-called “middle-first” process is adopted as an example of forming an interconnect and a via.
  • the same numerals are given to components that are identical to those of the first to the third embodiments, and description thereof may be omitted.
  • the semiconductor device 200 has the same structure as that of the second embodiment shown in FIG. 6 .
  • FIGS. 9A to 9 D are schematic cross-sectional views showing another formation process of an upper interconnect layer 270 of the semiconductor device shown in FIG. 6 .
  • the etch-stopper film 211 , the interlayer insulating film 212 and the etch-stopper film 213 are sequentially deposited on the lower interconnect 255 ( FIG. 9A ).
  • a resist film 281 is then formed on the etch-stopper film 213 , after which a portion of the etch-stopper film 213 where a via hole 282 is to be located is removed by a known lithography and etching technique ( FIG. 9B ).
  • the resist film 281 is removed, and the second interconnect insulating film 216 and the second protection film 217 are formed on the etch-stopper film 213 ( FIG. 9C ).
  • a resist film 283 is formed on the second protection film 217 , following which an interconnect trench 284 is formed through these second interconnect insulating film 216 and the second protection film 217 by a known lithography and etching technique.
  • the barrier film 208 serves to stop the progress of etching for forming the via hole 282 .
  • the etch-stopper film 211 is removed and the barrier film 220 and the interconnect metal film 223 are formed as in the third embodiment.
  • an excess of the barrier film 220 and of the interconnect metal film 223 formed outside the interconnect trench 284 is removed by CMP. In this way a semiconductor device similar to the one shown in FIG. 6 is obtained.
  • the second interconnect insulating film 216 since an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216 , dimensions of the erosion region 271 in the concentrated region where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced.
  • a semiconductor device of a constitution shown in FIG. 4 has been actually manufactured by single Damascene process as described in the first embodiment.
  • an SiO 2 film (thickness 80 nm) was used as the second protection film 217
  • an L-Ox film (thickness 200 nm) as the second interconnect insulating film 216 .
  • Another semiconductor device has been manufactured, which is the same as the working sample except that an HSQ film (thickness 200 nm) was used as the second interconnect insulating film 216 .
  • FIG. 10 is a line graph showing a relation between a ratio of interconnect resistance in the concentrated region where the interconnects are closely disposed against interconnect resistance in the isolated region where the isolated interconnect is formed (interconnect resistance ratio), and a film thickness of the protection film (SiO 2 film) in the isolated interconnect region after the CMP process, measured upon manufacturing the semiconductor device examples.
  • the concentrated region was constituted such that an interval between interconnects became 0.20 ⁇ m
  • the isolated region was constituted such that an interval between interconnects became 5.00 ⁇ m.
  • the interconnect resistance ratio increases when a thickness of the protection film (SiO 2 film) in the isolated interconnect region is less than approx. 20 nm, even though the L-Ox film is used as the interconnect insulating film.
  • the reason is considered to be that erosion takes place in the concentrated region unless the protection film has a certain thickness, thereby increasing interconnect capacitance.
  • the protection film on the interconnect insulating film in a thickness corresponding to 60% at maximum of a thickness of the interconnect insulating film.
  • the interconnect resistance ratio is high even when a thickness of the protection film (SiO 2 film) in the isolated region is as thick as 70 nm.
  • a thickness of the protection film (SiO 2 film) in the isolated region is as thick as 70 nm.
  • the L-Ox film is, because of its ladder-type molecular structure, superior in chemical resistance and mechanical strength to the HSQ film which has a cage-type molecular structure, and that therefore loss of the interconnect insulating film constituted of an L-Ox film is minimal even in case where the SiO 2 film has been entirely removed by erosion caused in the concentrated region.
  • the L-Ox film having a ladder-type molecular structure is chemically more stable than the HSQ film having a cage-type molecular structure.

Abstract

There is provided a method of manufacturing a semiconductor device in which interconnect capacitance is restrained. The semiconductor device 200 comprises a semiconductor substrate; a second interconnect insulating film 216 constituted of a ladder-type hydrogen siloxane formed on the semiconductor substrate; a second protection film 217 provided on the second interconnect insulating film 216; and an upper interconnect 270 formed in the second interconnect insulating film 216 and the second protection film 217. The second interconnect insulating film 216 is constituted of for example an L-Ox™ (trademark) film, and the second protection film 217 is constituted of for example a silicon oxide film.

Description

  • This application is based on Japanese patent application NO.2003-024300, the content of which is incorporated hereinto by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor device including an interconnect insulating film constituted of a low dielectric constant film and to a method of manufacturing the same, more specifically to a semiconductor device including a concentrated region where a plurality of interconnects is closely formed, and to a manufacturing method thereof.
  • 2. Description of the Related Art
  • Recent progress in micronization and in operating speed of a semiconductor device has made it indispensable to employ so-called a low dielectric constant film in combination with a copper interconnect. As a method of forming a copper interconnect, Damascene process is generally employed, which basically consists of forming an interconnect trench in an interconnect insulating film, burying copper in the trench, and removing surplus copper outside the trench by CMP (chemical mechanical polishing) process (JP-A laid open 2001-176965).
  • However the Damascene process has a drawback that a part of the interconnect insulating film is also removed by CMP method performed after burying copper, because of which interconnect resistance increases or becomes unstable. Generally a low dielectric constant film has lower chemical resistance and less mechanical strength than an SiO2 film conventionally used as an interconnect insulating film. Accordingly, an interconnect insulating film made of a low dielectric constant material is more prone to be scraped off by CMP than that made of an SiO2 film.
  • This problem will be described in detail referring to FIGS. 1A and 1B. In FIG. 1A, on a lower insulating layer 1 an etch-stopper film 2 made of for example an SiN film is formed, over which an interconnect insulating film 3, for example made of an HSQ film, is formed. On the interconnect insulating film 3, a barrier films constituted of for example Ta, TaN, Ti, TiN or layers thereof, and an interconnect 4 constituted of an interconnect metal film 6 such as Cu are formed. On the interconnect insulating film 3 and the interconnect 4 an insulative etch-stopper film 7 made of for example an SiN film is formed, on which an interlayer insulating film 8 of for example SiO2 is formed, and further on the interlayer insulating film 8 another etch-stopper film 9 of for example SiN, and then another interconnect insulating film 10 made of for example an HSQ film is formed on the etch-stopper film 9. On these films 7 to 10, another barrier film 12 constituted of for example Ta, TaN, Ti, TiN or multiple layers thereof, and a via and an interconnect made of an interconnect metal film 13 such as Cu are formed.
  • Under the Damascene process, an excess of the interconnect metal film 13 and of the barrier film 12 deposited on the interconnect insulating film 10 are removed by CMP method. Here, an HSQ film having a cage-type molecular structure may be cited as an example of a low dielectric constant material having a dielectric constant not greater than 3.6. However, in the event of employing the HSQ film as an interconnect insulating film, the film is largely scraped off during a CMP process of removing an excess of the interconnect metal film 13 and so on, because of the lack of chemical resistance and mechanical strength. Consequently, in case where HSQ is used as the interconnect insulating film 11, a considerable portion of the interconnect insulating film 11 is removed especially in a concentrated region where the interconnects are closely formed, and an extensive erosion region 14 is formed as shown in FIG. 1B.
  • SUMMARY OF THE INVENTION
  • The present invention has been conceived in view of the foregoing situation, with an object to provide a technique of improving erosion resistance in a semiconductor device that has a metal interconnect formed through the Damascene process, or in a method of manufacturing the same. It is another object of the present invention to provide a technique of reducing dispersion of interconnect resistance and interconnect capacitance without increasing an effective dielectric constant.
  • According to the present invention, there is provided a semiconductor device comprising a semiconductor substrate; a low dielectric constant film constituted essentially of a ladder-type hydrogen siloxane provided on the semiconductor substrate; a protection film provided on the low dielectric constant film; and a metal interconnect formed in the low dielectric constant film and the protection film.
  • Here, the low dielectric constant film (hereinafter simply referred to as “low-k film”) may be defined as a film constituted of a material having a specific dielectric constant not greater than 3.6, more preferably 2.9 or lower. As a ladder-type hydrogen siloxane, for example an L-Ox™ (trademark) (hereinafter simply referred to as “L-Ox”) may be used. With such constitution, interconnect capacitance can be reduced, while upgrading erosion resistance.
  • FIG. 2 is a bar graph showing difference in polishing rate of the relevant materials based on an amount polished off. The graph shows an SiO2 (silicon oxide) film, an HSQ film having a cage-type molecular structure and an L-Ox film having a ladder-type molecular structure, for comparison purpose. As is apparent in view of the graph, a polishing rate of the HSQ film is evidently faster than that of the SiO2 film. A polishing rate of the L-Ox film is significantly slower than that of the HSQ film, though faster than that of the SiO2 film.
  • FIGS. 3A and 3B are comparative bar graphs showing changes of an HSQ film having a cage-type molecular structure and an L-Ox film against various kinds of treatments. As shown in FIG. 3A, the molecular bond structure of the HSQ film has remarkably changed by O2 plasma treatment and wet cleaning. This proves that the HSQ film having a cage-type molecular structure is chemically unstable. Therefore, even though a protection film is provided, interconnect thickness may still be reduced or uneven because of erosion during a polishing and removing process of metal interconnects especially in a concentrated region where the metal interconnects are closely formed, unless the protection film is sufficiently thick. However, in case of employing an SiO2 film as the protection film, since a specific dielectric constant of the SiO2 film is normally around 4.2, forming a generously thick protection film with such material increases an effective dielectric constant, thereby spoiling the advantage of employing a low-k film as the interconnect insulating film.
  • On the other hand, it has been proven that the molecular bond structure of the L-Ox film does not significantly change by O2 plasma treatment or wet cleaning as shown in FIG. 3B. Accordingly, employing an L-Ox film improves erosion resistance, even when the protection film is thinly formed.
  • In the semiconductor of the present invention, the protection film may be constituted of a material having greater resistance against a chemical mechanical polishing process than the low-k film.
  • As a result of such constitution, resistance against erosion that may take place during a chemical mechanical polishing process for forming the metal interconnect can be upgraded since the protection film is provided on the low-k film. Here, the protection film may be constituted essentially of a silicon oxide film. A silicon oxide film effectively serves as the protection film because of having a slower polishing rate than an L-Ox film does, as already proven.
  • In the semiconductor device of the present invention, the ladder-type hydrogen siloxane may have a refractive index not less than 1.38 but not greater than 1.40 at a wavelength of 633 nm. In the semiconductor device of the present invention, the ladder-type hydrogen siloxane may have a density not less than 1.50 g/cm3 but not greater than 1.58 g/cm3. Also, in the semiconductor device of the present invention, the ladder-type hydrogen siloxane may be formed through sintering in a temperature range of 200 to 400 degree centigrade.
  • In the semiconductor device of the present invention, a plurality of said metal interconnects may be provided so as to form an isolated region where one of the plurality of metal interconnects is separately located and a concentrated region where the other metal interconnects are closely disposed to one another.
  • In the semiconductor device of the present invention, the plurality of metal interconnects in the concentrated region may be disposed such that a maximum interval between substantially parallel portions of neighboring metal interconnects is not greater than a double of a width of the respective metal interconnects.
  • Such concentrated region is where erosion is more likely to take place, therefore providing the low-k film constituted of a ladder-type hydrogen siloxane and the protection film formed thereon results in higher erosion resistance. Meanwhile, a lower limit of the interval between metal interconnects is not specifically defined, however it is preferable to set the lower limit for example at 25% of a width of the respective metal interconnects, because an effective interconnect structure is normally formed in such range. Though erosion is more prone to take place as the interval between interconnects becomes narrower, it is evident that the advantage of upgraded erosion resistance can equally be obtained even when the interval between interconnects is made narrower.
  • Also, the present invention is applicable to a semiconductor device having an interconnect structure formed by single Damascene process, as well as that including an interconnect structure formed by dual Damascene process. Referring further to the dual Damascene process, the present invention is applicable to the trench-first process of forming an interconnect trench at first, the via-first process of forming a via hole at first, and also to the middle-first process of forming an etching stopper film for disposing a via hole first, and forming an interconnect trench before forming a via hole. Further, the present invention is applicable to a semiconductor device including an interconnect structure formed by various other process than the mentioned ones.
  • In the semiconductor device of the present invention, the protection film may be formed such that a film thickness thereof at its thickest portion is in a range of 10% to 30% of a film thickness of the low dielectric constant film at its thickest portion.
  • As a result of defining a lower limit of the film thickness of the protection film as above, performance by the protection film of improving erosion resistance is fully secured. Likewise, with the above specified upper limit of the protection film thickness, erosion resistance can be upgraded without reducing an effect of lowering a dielectric constant intended through employing a low-k film as the interconnect insulating film.
  • FIG. 16 is a line graph showing a relation between a film thickness ratio (%) and an increase of interconnect capacitance in case where an SiO2 film is used as a protection film and an L-Ox film is used as a low-k film. In FIG. 16, the line shown as “with etching stopper” represents an increase of the interconnect capacitance according to a change of the SiO2 film thickness, under a fixed condition that a film thickness of an etch-stopper film 213 is 50 nm and that of a second interconnect insulating film 216 is 240 nm in a semiconductor device shown in FIG. 4 to be subsequently described. Likewise, the line shown as “without etching stopper” represents an increase of the interconnect capacitance according to a change of the SiO2 film thickness, under a fixed condition that a film thickness of a second interconnect insulating film 216 is 290 nm in a semiconductor device shown in FIG. 4 but without the etching stopper film 213. In both cases, width of an upper interconnect 270 is set at 0.20 μm, and an interval between interconnects at 0.2 μm. As a result of restraining a film thickness of the protection film at its thickest portion not to exceed 30% of a film thickness of the low-k film at its thickest portion in this way, an increase of interconnect capacitance can be restrained to remain within 5% with respect to a case where the protection film is not provided, therefore erosion resistance can be improved maintaining the advantage of a lowered dielectric constant.
  • The semiconductor device of the present invention can be built up to a multilayer interconnect structure constituted of a plurality of layers including a metal interconnect and an interconnect insulating film consisting of a low-k film and a protection film. According to the present invention since erosion resistance of the respective interconnect insulating films is upgraded, the respective layers can be better planarized, and consequently a multilayer interconnect structure can be precisely and stably formed upon laminating a plurality of such layers.
  • In the semiconductor device of the present invention, the protection film may be provided over the low dielectric constant film in direct contact therewith. In case of employing a ladder-type hydrogen siloxane as the low-k film and a silicon oxide film as the protection film, close adhesion is achieved between these films, which is another factor that improves erosion resistance. Also, the protection film does not necessarily have to be in direct contact with the low-k film, but an intermediate layer (or film) may be provided between those films.
  • According to the present invention, there is provided a method of manufacturing a semiconductor device comprising forming a low dielectric constant film constituted essentially of a ladder-type hydrogen siloxane on a semiconductor substrate; forming a protection film on the low dielectric constant film; forming a metal interconnect in the low dielectric constant film and the protection film; and polishing the metal interconnect with the protection film provided on the low dielectric constant film.
  • By such method, erosion resistance during the polishing process of forming the metal interconnect is improved, since the protection film is provided on the low-k film. Here, the protection film may be formed of a silicon oxide film.
  • The method of manufacturing a semiconductor device of the present invention may further comprise forming an interconnect trench in an interconnect insulating film; burying an interconnect metal film in the interconnect trench in the step of forming the metal interconnect; and polishing and removing the interconnect metal film outside the interconnect trench in the step of polishing the metal interconnect.
  • The method of manufacturing a semiconductor device of the present invention may further comprise forming an interlayer insulating film on the protection film after the step of polishing; polishing and planarizing the interlayer insulating film; and repeating the respective steps to thereby form a multilayer interconnect structure.
  • According to the present invention, since erosion resistance in the respective interconnect insulating films is upgraded, the respective layers can be better planarized, and by laminating a plurality of such layers a multilayer interconnect structure can be formed at a higher precision level that can be stably maintained.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic cross-sectional views showing a conventional semiconductor device;
  • FIG. 2 is a bar graph showing difference of polishing rate of different materials based on an amount polished off;
  • FIGS. 3A and 3B are bar graphs showing changes of an HSQ film having a cage-type molecular structure and an L-Ox film against various kinds of treatments;
  • FIG. 4 is a schematic cross-sectional view showing a semiconductor device according to an embodiment of the present invention;
  • FIGS. 5A to 5D are schematic cross-sectional views showing a formation process of an upper interconnect layer of the semiconductor device of FIG. 4;
  • FIG. 6 is a schematic cross-sectional view showing a semiconductor device according to another embodiment of the present invention;
  • FIGS. 7A to 7D are schematic cross-sectional views showing a formation process of an upper interconnect layer of the semiconductor device of FIG. 6;
  • FIGS. 8A to 8C are schematic cross-sectional views showing another formation process of an upper interconnect layer of the semiconductor device of FIG. 6;
  • FIGS. 9A to 9D are schematic cross-sectional views showing still another formation process of an upper interconnect layer of the semiconductor device of FIG. 6;
  • FIG. 10 is a line graph showing a relation between an interconnect resistance ratio and a film thickness of a protection layer (SiO2 film) in an isolated interconnect region after a CMP process, measured upon manufacturing a example of the semiconductor device;
  • FIG. 11 is a schematic diagram showing a structure of L-Ox™ (trademark) having a ladder-type hydrogen siloxane structure;
  • FIG. 12 is a table showing property data of L-Ox;
  • FIG. 13 is a line graph showing an IR (infrared) spectrum of L-Ox;
  • FIG. 14 is a line graph showing a refractive index and a density of sintering condition dependence of L-Ox;
  • FIG. 15 is a schematic diagram showing a molecular structure of HSQ; and
  • FIG. 16 is a line graph showing a relation between a film thickness ratio (%) of an SiO2 film against an L-Ox film, and an increase of interconnect capacitance in case where an SiO2 film is used as a protection film and an L-Ox film is used as a low-k film.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the embodiments of the present invention, an interconnect insulating film in which an interconnect is to be formed includes a low-k film constituted of a ladder-type hydrogen siloxane.
  • A structure of a ladder-type hydrogen siloxane film will be described hereunder.
  • A ladder-type hydrogen siloxane refers to a polymer that has a ladder-type molecular structure, and it is preferable to employ such one that has a dielectric constant not greater than 2.9 and a low film density, from the viewpoint of prevention of an interconnect delay. Specifically, it is preferable that the film density is not less than 1.50 g/cm3 but not greater than 1.58 g/cm3, and a refractive index is not less than 1.38 but not greater than 1.40 at a wavelength of 633 nm. An L-Ox™ (trademark) called a ladder oxide can be cited as a specific example of such film material. Also, the porus L-Ox may be used as the insulating material.
  • FIG. 11 shows a structure of an L-Ox having a ladder-type hydrogen siloxane structure. In the drawing, n is a positive numeral not less than 1. Property data of the L-Ox having such structure is shown in FIG. 12.
  • A measurement result by FT-IR (Fourier Transform Infrared Spectroscopy) shown in FIG. 13 proves that the L-Ox actually has the structure shown in FIG. 11. The chart of FIG. 13 characteristically shows a sharp Si—H bond that can be observed around 830 cm−1, and the steepness of the spectrum suggests that the L-Ox has a two-dimensional structure. Also, an extremely small peak that is supposed to be another peak of the Si—H bond is observed at a higher wave number side close to 870 cm−1, which endorses that the object of measurement has a two-dimensional structure.
  • Property of an L-Ox changes also depending on a sintering condition. This aspect will be described referring to FIG. 14.
  • An L-Ox that has been sintered in an inert gas atmosphere such as nitrogen at a temperature of 200 to 400 degree centigrade has following characteristics. Referring to FIG. 14, R.I. designates a refractive index at a wavelength of 633 nm. The refractive index, which is a parameter that directly affects a dielectric constant, is changing in a range of 1.38 to 1.40. At a temperature below 200 degree centigrade or over 400 degree centigrade, the refractive index indicates a value higher than 1.40.
  • Referring to density, an L-Ox sintered at a temperature of 200 to 400 degree centigrade indicates 1.50 to 1.58 g/cm3. At a temperature higher than 400 degree centigrade, the value exceeds 1.60 g/cm3. At a temperature below 200 degree centigrade, density was not measurable.
  • Also, at a temperature below 200 degree centigrade, a bond supposed to be an Si—OH (silanol) was observed around 3650 cm−2 by FT-IR. At a sintering temperature higher than 400 degree centigrade, the density prominently increases.
  • Based on the foregoing data, it is understood that a low dielectric constant L-Ox provided with excellent characteristics can be stably obtained through a sintering process at an atmospheric temperature of 200 to 400 degree centigrade when depositing a insulating film including the L-Ox.
  • FIG. 15 shows a conventionally known molecular skeleton of HSQ (Hydrogen Silsesquioxane) of a hydrogen siloxane structure having a three-dimensional cage-type molecular structure (cited from “Semiconductor Technology Outlook ” 1998 edition, pages 431 to 435).
  • Significant difference in film stability during a manufacturing process is observed with respect to these two materials, of which the L-Ox shows prominently superior film stability. The reason is considered to be a lower Si—H reduction of the L-Ox than the HSQ. Also, difference of bonding mode of hydrogen atoms in the insulating film is considered to be another reason. Specifically, while a hydrogen atom is connected to a corner of the cubic structure of the HSQ, in case of the L-Ox a hydrogen atom is connected to a lateral portion of the ladder structure. Accordingly, density around a hydrogen atom of the HSQ is lower and consequently the hydrogen bond of the HSQ is more reactive than that of the L-Ox.
  • FIRST EMBODIMENT
  • The first embodiment represents a case where the present invention is applied to formation of a multilayer interconnect structure by single Damascene process.
  • FIG. 4 is a schematic cross-sectional view showing a semiconductor device according to the first embodiment.
  • In the semiconductor device 200 according to this embodiment, a lower interconnect 255 is connected to an upper interconnect 270 through a via plug.
  • The lower interconnect 255 is disposed in a trench formed in film layers. The trench is formed in film layers consisting of an underlying insulating film 201 deposited on a semiconductor substrate (not shown), an etch-stopper film 202 constituted of for example an SiC or SICN film, a first interconnect insulating film 203 constituted of an L-Ox film 203 which is a ladder-type hydrogen siloxane, and a first protection film 204 constituted of an SiO2 film. A lateral wall and a bottom portion of the lower interconnect 255 are covered with a barrier film 208 constituted of for example Ta, TaN, Ti, TiN or layers thereof. The L-Ox film is a ladder-type hydrogen siloxane called a ladder oxide, as already stated.
  • The via plug is formed in a bore provided in film layers consisting of an etch-stopper film 211 which is an SICN film formed over the first protection film 204 and an interlayer insulating film 212 which is an SiO2 film. A lateral wall and a bottom portion of the bore are covered with a barrier film 226 which is a Ta/TaN film, and inside of the barrier film is filled with a via metal film 228 which is a copper film.
  • The upper interconnect 270 is disposed in a trench formed in film layers. The trench is formed in film layers consisting of an etch-stopper film 213 constituted of an SICN film, a second interconnect insulating film 216 constituted of an L-Ox film, and a second protection film 217 constituted of an Sio2 film. A lateral face of the upper interconnect 270 is covered with a barrier film 220 which is a Ta/TaN film, and inside of the barrier film is filled with an interconnect metal film 223 which is a copper film.
  • The upper interconnect 270 includes an isolated interconnect 270 a and a plurality of concentrated interconnects 270 b, 270 c and 270 d that are disposed close to one another. In a concentrated region where the concentrated interconnects 270 b to 270 d are disposed, an erosion region 271 is supposed to be formed.
  • Now, the second interconnect insulating film 216 may be formed in a thickness of approx. 200 nm, and the upper interconnect 270 in a width of approx. 0.20 μm, for example. Meanwhile, a minimum interval between interconnects in the concentrated region where the concentrated interconnects 270 b to 270 d are formed is to be approx. 0.40 μm. In this case, it is preferable that the second protection film 217 is formed such that a thickest portion thereof in the proximity of a region where the isolated interconnect 270 a is formed becomes 30 nm to 70 nm. Otherwise, it is also possible to design, for example, such that the upper interconnect 270 has a width of 0.60 μm with the interval between interconnects of 0.20 μm, and though in such case erosion is prone to take place, by employing an L-Ox film as the second interconnect insulating film 216 erosion resistance can be upgraded.
  • Also, in case of forming the second interconnect insulating film 216 on an upper layer, a minimum interval between interconnects in the concentrated region where the concentrated interconnects 270 b to 270 d are formed may be set at approx. 0.80 μm. In this case, the second interconnect insulating film 216 may be formed in a thickness of approx. 350 nm and the upper interconnect in a width of approx. 0.40 μm. In this case, it is preferable that the second protection film 217 is formed such that a thickest portion thereof in the proximity of a region where the isolated interconnect 270 a is formed becomes 70 nm to 125 nm. Otherwise, it is also possible to design, for example, such that the upper interconnect 270 has a width of 1.60 μm with the interval between interconnects of 0.40 μm, and though in such case erosion is prone to take place, by employing an L-Ox film as the second interconnect insulating film 216 erosion resistance can be upgraded.
  • Hereunder, a method of manufacturing the semiconductor device according to this embodiment will be described. FIGS. 5A to 5D are schematic cross-sectional views showing a formation process of an upper interconnect layer of the semiconductor device of FIG. 4. In these drawings the components formed lower than the interlayer insulating film 212 are omitted, and the barrier film 226 and the via metal film 228 shown in FIG. 4 are omitted in the interlayer insulating film 212.
  • A first step is, for example, forming an etch-stopper film 213 on the interlayer insulating film 212, forming thereon the second interconnect insulating film 216 (film thickness 250 nm for example), and forming thereon the second protection film 217 (FIG. 5A). Here, a film thickness of the second protection film 217 is preferably in a range of 10% to 60%, more preferably approx. 50% of a film thickness of the second interconnect insulating film 216.
  • Following the above the interconnect trench 207 is formed through the etch-stopper film 213, the second interconnect insulating film 216 and the second protection film 217, by a known lithography and etching technique (FIG. 5B).
  • Then the barrier film 220 is formed in the interconnect trench 207 by sputtering, and the interconnect metal film 223 is formed on the barrier film 220 so as to fill in the interconnect trench 207, for example by electrolytic plating (FIG. 5C).
  • Thereafter, an excess of the barrier film 220 and of the interconnect metal film 223 formed outside the interconnect trench 207 is removed by CMP. At this stage, the isolated interconnect 270 a and the concentrated interconnects 270 b to 270 d are formed (FIG. 5D). During the CMP process a portion of the second protection film 217 and of the second interconnect insulating film 216 is removed, and especially in the concentrated region where the concentrated interconnects 270 b to 270 d are formed the second protection film 217 and the second interconnect insulating film 216 are scraped off in a larger scale, than in a region around the isolated interconnect 270 a. However since an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216 in this embodiment, dimensions of the erosion region 271 where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced. Here, it is preferable to form the second protection film 217 such that its film thickness becomes 10% to 30% of a thickest portion of the second interconnect insulating film 216 after the CMP process.
  • By repeating the foregoing process of forming an interconnect and of providing a via on the interconnect for electrical connection thereof, manufacturing by single Damascene process of a semiconductor device provided with a multilayer interconnect structure including a desired number of layers can be executed.
  • According to this embodiment, since employing an L-Ox film as an interconnect insulating film increases polishing resistance thereof, erosion resistance can be upgraded despite reducing a thickness of a protection film formed on the interconnect insulating film constituted of a low-k material.
  • SECOND EMBODIMENT
  • The second embodiment represents a case where the present invention is applied to formation of a multilayer interconnect structure by dual Damascene process. Hereunder the “trench-first” process is adopted as an example of forming an interconnect and a via. In this embodiment, the same numerals are given to components that are identical to those of the first embodiment, and description thereof may be omitted.
  • FIG. 6 is a schematic cross-sectional view showing a semiconductor device according to the second embodiment.
  • In this embodiment the semiconductor device 200 has, as in the first embodiment, a multilayer structure constituted of the underlying insulating film 201, etch-stopper film 202, first interconnect insulating film 203, first protection film 204, etch-stopper film 211, interlayer insulating film 212, etch-stopper film 213, second interconnect insulating film 216 and second protection film 217 layered in this sequence. Also, the lower interconnect 255 constituted of the barrier film 208 and the interconnect metal film 209 is formed through the first interconnect insulating film 203, the first protection film 204 and the etch-stopper film 211. The barrier film 220 and the interconnect metal film 223 are formed through the etch-stopper film 211, interlayer insulating film 212, etch-stopper film 213, second interconnect insulating film 216 and second protection film 217. Further, the isolated interconnect 270 a constituted of the barrier film 220 and the interconnect metal film 223, as well as the concentrated interconnects 270 b, 270 c and 270 d likewise constituted are formed through the etch-stopper film 213, the second interconnect insulating film 216 and the second protection film 217. The erosion region 271 is supposed to appear in the concentrated region where the concentrated interconnects 270 b to 270 d are disposed.
  • Now a method of manufacturing the semiconductor device according to this embodiment will be described. FIGS. 7A to 7D are schematic cross-sectional views showing a formation process of an upper interconnect layer 270 of the semiconductor device shown in FIG. 6.
  • In this embodiment, first a resist film 272 is formed on the second protection film 217. By a known lithography and etching technique, an isolated interconnect trench 273 a, a concentrated interconnect trench 273 b, a concentrated interconnect trench 273 c and a concentrated interconnect trench 273 d are formed through the second interconnect insulating film 216, the second protection film 217 and the resist film 272 (FIG. 7A). Meanwhile, it is also preferable to form an anti-reflection film (not shown) under the resist film 272 for better controlled patterning by the resist film 272. The anti-reflection film interleaved between layers can also serve to prevent penetration of the etch-stopper film 213.
  • Then the resist film 272 used for forming the isolated interconnect trench 273 a and the concentrated interconnect trenches 273 b to 273 d is removed, and another resist film 274 is formed on the second protection film 217 so as to fill in the isolated interconnect trench 273 a and the concentrated interconnect trenches 273 b to 273 d. A via hole 275 is then formed through the etch-stopper film 213, the interlayer insulating film 212 and the etch-stopper film 211 at a predetermined position on the resist film 274, by a known lithography and etching technique (FIG. 7B).
  • Thereafter the resist film 274 is removed. At this stage, the via hole 275 and the isolated interconnect trench 273 a are successively formed (FIG. 7C). Then the barrier film 220 is formed by sputtering inside the via hole 275, the isolated interconnect trench 273 a and the concentrated interconnect trench 273 b, concentrated interconnect trench 273 c and concentrated interconnect trench 273 d. Following the above the interconnect metal film 223 is formed, for example by electrolytic plating, on the barrier film 220 so as to fill in the via hole 275, the isolated interconnect trench 273 a and the concentrated interconnect trench 273 b, concentrated interconnect trench 273 c and concentrated interconnect trench 273 d respectively (FIG. 7D). Then an excess of the barrier film 220 and of the interconnect metal film 223 formed outside the isolated interconnect trench 273 a and the concentrated interconnect trenches 273 b to 273 d is removed by CMP. In this way the semiconductor device 200 including the isolated interconnect 270 a and the concentrated interconnects 270 b to 270 d as shown in FIG. 6 is obtained. At this stage, in the concentrated region where the concentrated interconnect trenches 273 b to 273 d were formed, a portion of the second protection film 217 and of the second interconnect insulating film 216 is also removed, thereby forming the erosion region 271.
  • In this embodiment also, during the CMP process a portion of the second protection film 217 and of the second interconnect insulating film 216 is removed, and especially in the concentrated region where the concentrated interconnects 270 b to 270 d are formed the second protection film 217 and the second interconnect insulating film 216 are scraped off in a larger scale, than in a region around the isolated interconnect 270 a. However since an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216 in this embodiment, dimensions of the erosion region 271 where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced.
  • THIRD EMBODIMENT
  • The third embodiment also represents a case where the present invention is applied to formation of a multilayer interconnect structure by dual Damascene process, as in the second embodiment. The difference is that the “via-first” process is adopted as an example of forming an interconnect and a via. In this embodiment, the same numerals are given to components that are identical to those of the first and the second embodiments, and description thereof may be omitted.
  • In this embodiment also, the semiconductor device 200 has the same structure as that of the second embodiment shown in FIG. 6.
  • Hereunder a method of manufacturing the semiconductor device according to this embodiment will be described. FIGS. 8A to 8C are schematic cross-sectional views showing another formation process of an upper interconnect layer 270 of the semiconductor device shown in FIG. 6.
  • First, the etch-stopper film 211, the interlayer insulating film 212, the second interconnect insulating film 216 and the second protection film 217 are deposited in this sequence on the lower interconnect 255 (FIG. 8A). During this process, it is preferable to perform CMP for planarizing the surface of the interlayer insulating film 212 upon forming the same, in order to level off an uneven surface formed through a preceding CMP process for forming the lower interconnect 255. As a result, the respective layers can be maintained sufficiently planarized through the formation process of the multilayer interconnect structure, thereby enabling stable manufacturing of the semiconductor device at a high precision level.
  • Then a resist film 277 is formed on the second protection film 217. A via hole 278 is then formed through the interlayer insulating film 212, the etch-stopper film 213, the second interconnect insulating film 216 and the second protection film 217 by a known lithography and etching technique (FIG. 8B). Meanwhile, the etch-stopper film 211 is provided for stopping a progress of etching for forming the via hole 278.
  • After the above the resist film 277 utilized for defining the via hole 278 is partly removed, and then an interconnect trench 279 is formed through the second interconnect insulating film 216 and the second protection film 217, by a known lithography and etching technique (FIG. 8C).
  • Thereafter the resist film 277 utilized for defining the interconnect trench 279 is entirely removed, and the etch-stopper film 211 at a bottom portion of the via hole 278 is removed by etching. Then the barrier film 220 and the interconnect metal film 223 are formed in a similar manner to the method described in the second embodiment referring to FIG. 7D. Finally an excess of the barrier film 220 and of the interconnect metal film 223 formed outside the interconnect trench 279 is removed by CMP. In this way a semiconductor device similar to the one shown in FIG. 6 is obtained.
  • According to this embodiment also, since an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216, dimensions of the erosion region 271 in the concentrated region where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced.
  • FOURTH EMBODIMENT
  • The fourth embodiment also represents a case where the present invention is applied to formation of a multilayer interconnect structure by dual Damascene process, as in the second and third embodiments. The difference is that the so-called “middle-first” process is adopted as an example of forming an interconnect and a via. In this embodiment, the same numerals are given to components that are identical to those of the first to the third embodiments, and description thereof may be omitted.
  • In this embodiment also, the semiconductor device 200 has the same structure as that of the second embodiment shown in FIG. 6.
  • Hereunder a method of manufacturing the semiconductor device according to this embodiment will be described. FIGS. 9A to 9D are schematic cross-sectional views showing another formation process of an upper interconnect layer 270 of the semiconductor device shown in FIG. 6.
  • First, the etch-stopper film 211, the interlayer insulating film 212 and the etch-stopper film 213 are sequentially deposited on the lower interconnect 255 (FIG. 9A).
  • A resist film 281 is then formed on the etch-stopper film 213, after which a portion of the etch-stopper film 213 where a via hole 282 is to be located is removed by a known lithography and etching technique (FIG. 9B).
  • Then the resist film 281 is removed, and the second interconnect insulating film 216 and the second protection film 217 are formed on the etch-stopper film 213 (FIG. 9C).
  • Thereafter a resist film 283 is formed on the second protection film 217, following which an interconnect trench 284 is formed through these second interconnect insulating film 216 and the second protection film 217 by a known lithography and etching technique. During this process, it is preferable to continue the etching to define the via hole 282 after the interconnect trench 284 being defined with the progress of the etching has reached the etch-stopper film 213 (FIG. 9D). Here, the barrier film 208 serves to stop the progress of etching for forming the via hole 282. Then the etch-stopper film 211 is removed and the barrier film 220 and the interconnect metal film 223 are formed as in the third embodiment. Finally an excess of the barrier film 220 and of the interconnect metal film 223 formed outside the interconnect trench 284 is removed by CMP. In this way a semiconductor device similar to the one shown in FIG. 6 is obtained.
  • According to this embodiment also, since an L-Ox film which has high polishing resistance is used as the second interconnect insulating film 216, dimensions of the erosion region 271 in the concentrated region where the second protection film 217 and the second interconnect insulating film 216 are scraped off can be reduced.
  • EXAMPLE
  • A semiconductor device of a constitution shown in FIG. 4 has been actually manufactured by single Damascene process as described in the first embodiment. In this case an SiO2 film (thickness 80 nm) was used as the second protection film 217, and an L-Ox film (thickness 200 nm) as the second interconnect insulating film 216.
  • COMPARATIVE EXAMPLE
  • Another semiconductor device has been manufactured, which is the same as the working sample except that an HSQ film (thickness 200 nm) was used as the second interconnect insulating film 216.
  • FIG. 10 is a line graph showing a relation between a ratio of interconnect resistance in the concentrated region where the interconnects are closely disposed against interconnect resistance in the isolated region where the isolated interconnect is formed (interconnect resistance ratio), and a film thickness of the protection film (SiO2 film) in the isolated interconnect region after the CMP process, measured upon manufacturing the semiconductor device examples. Meanwhile, the concentrated region was constituted such that an interval between interconnects became 0.20 μm, and the isolated region was constituted such that an interval between interconnects became 5.00 μm.
  • Regarding the example, the interconnect resistance ratio increases when a thickness of the protection film (SiO2 film) in the isolated interconnect region is less than approx. 20 nm, even though the L-Ox film is used as the interconnect insulating film. The reason is considered to be that erosion takes place in the concentrated region unless the protection film has a certain thickness, thereby increasing interconnect capacitance. Accordingly, it is preferable to form the protection film on the interconnect insulating film in a thickness corresponding to at least 10% of a thickness of the interconnect insulating film, in order to restrain an increase of the interconnect capacitance and stabilize the interconnect resistance and capacitance. On the other hand, from the viewpoint of maintaining a specific dielectric constant of the interconnect insulating as low as possible, it is preferable to form the protection film on the interconnect insulating film in a thickness corresponding to 60% at maximum of a thickness of the interconnect insulating film.
  • Meanwhile regarding the comparative example, in which an HSQ film having a cage-type molecular structure as the interconnect insulating film, the interconnect resistance ratio is high even when a thickness of the protection film (SiO2 film) in the isolated region is as thick as 70 nm. In view of this it is understood that, in case of employing an HSQ film as the interconnect insulating film, stabilized interconnect resistance and interconnect capacitance cannot be obtained despite increasing the thickness of the protection film.
  • Further, in view of the data shown in FIG. 2 it is understood that the L-Ox film is, because of its ladder-type molecular structure, superior in chemical resistance and mechanical strength to the HSQ film which has a cage-type molecular structure, and that therefore loss of the interconnect insulating film constituted of an L-Ox film is minimal even in case where the SiO2 film has been entirely removed by erosion caused in the concentrated region.
  • Furthermore in view of the data shown in FIGS. 3A and 3B as well as in FIGS. 11 to 15, it is understood that the L-Ox film having a ladder-type molecular structure is chemically more stable than the HSQ film having a cage-type molecular structure.

Claims (2)

1. A method of manufacturing a semiconductor device comprising:
forming a low dielectric constant film constituted essentially of a ladder-type hydrogen siloxane on a semiconductor substrate;
forming a protection film on said low dielectric constant film;
forming a metal interconnect in said low dielectric constant film and said protection film; and
polishing said metal interconnect with said protection film provided on said low dielectric constant film.
2. The method as recited in claim 9, further comprising:
forming an interlayer insulating film on said protection film after polishing said metal interconnect;
polishing and planarizing said interlayer insulating film; and
repeating the respective steps to thereby form a multilayer interconnect structure.
US11/687,981 2003-01-31 2007-03-19 Semiconductor device and method of manufacturing the same Abandoned US20070161156A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/687,981 US20070161156A1 (en) 2003-01-31 2007-03-19 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003024300A JP4092220B2 (en) 2003-01-31 2003-01-31 Semiconductor device and manufacturing method thereof
JP2003-024300 2003-01-31
US10/767,335 US7211896B2 (en) 2003-01-31 2004-01-30 Semiconductor device and method of manufacturing the same
US11/687,981 US20070161156A1 (en) 2003-01-31 2007-03-19 Semiconductor device and method of manufacturing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/767,335 Division US7211896B2 (en) 2003-01-31 2004-01-30 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
US20070161156A1 true US20070161156A1 (en) 2007-07-12

Family

ID=32952868

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/767,335 Expired - Lifetime US7211896B2 (en) 2003-01-31 2004-01-30 Semiconductor device and method of manufacturing the same
US11/687,981 Abandoned US20070161156A1 (en) 2003-01-31 2007-03-19 Semiconductor device and method of manufacturing the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/767,335 Expired - Lifetime US7211896B2 (en) 2003-01-31 2004-01-30 Semiconductor device and method of manufacturing the same

Country Status (2)

Country Link
US (2) US7211896B2 (en)
JP (1) JP4092220B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3645129B2 (en) * 1999-06-25 2005-05-11 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
CN101242794B (en) * 2005-08-17 2012-04-11 久光制药株式会社 Adhesive skin patch equipped with easily detachable release sheet
JP2012038961A (en) * 2010-08-09 2012-02-23 Renesas Electronics Corp Semiconductor device and method of manufacturing the same

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3818064A (en) * 1973-08-31 1974-06-18 Dow Corning Low temperature fluorosilicone compositions
US5848687A (en) * 1996-12-20 1998-12-15 Shultz; Bradley CD protector ring
US5906859A (en) * 1998-07-10 1999-05-25 Dow Corning Corporation Method for producing low dielectric coatings from hydrogen silsequioxane resin
US6074695A (en) * 1997-03-31 2000-06-13 Dow Corning Toray Silicone Co., Ltd. Composition and process for forming electrically insulating thin films
US6214748B1 (en) * 1997-05-28 2001-04-10 Dow Corning Toray Silicone Co. Semiconductor device and method for the fabrication thereof
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6329490B1 (en) * 1999-03-31 2001-12-11 Mitsubishi Materials Corporation Polyhedral organosilicon compound and method for producing the same
US6440550B1 (en) * 1999-10-18 2002-08-27 Honeywell International Inc. Deposition of fluorosilsesquioxane films
US6472076B1 (en) * 1999-10-18 2002-10-29 Honeywell International Inc. Deposition of organosilsesquioxane films
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6576300B1 (en) * 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6576345B1 (en) * 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6663902B1 (en) * 2000-09-19 2003-12-16 Ecolab Inc. Method and composition for the generation of chlorine dioxide using Iodo-Compounds, and methods of use
US6696352B1 (en) * 2001-09-11 2004-02-24 Silicon Wafer Technologies, Inc. Method of manufacture of a multi-layered substrate with a thin single crystalline layer and a versatile sacrificial layer
US20040046261A1 (en) * 2002-05-08 2004-03-11 Nec Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US20040195582A1 (en) * 2003-04-01 2004-10-07 Nec Electronics Corporation Semiconductor device with guard ring for preventing water from entering circuit region from outside
US20050049382A1 (en) * 2003-09-01 2005-03-03 Lyu Yi Yeol Novel siloxane-based resin and interlayer insulating film formed using the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001176965A (en) 1999-12-20 2001-06-29 Nec Corp Semiconductor device and method of fabrication

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3818064A (en) * 1973-08-31 1974-06-18 Dow Corning Low temperature fluorosilicone compositions
US5848687A (en) * 1996-12-20 1998-12-15 Shultz; Bradley CD protector ring
US6149966A (en) * 1997-03-31 2000-11-21 Dow Corning Toray Silicone Co., Ltd. Composition and process for forming electrically insulating thin films
US6074695A (en) * 1997-03-31 2000-06-13 Dow Corning Toray Silicone Co., Ltd. Composition and process for forming electrically insulating thin films
US6358804B2 (en) * 1997-05-28 2002-03-19 Dow Corning Toray Silicone Co., Ltd. Semiconductor device and method for the fabrication thereof
US6214748B1 (en) * 1997-05-28 2001-04-10 Dow Corning Toray Silicone Co. Semiconductor device and method for the fabrication thereof
US5906859A (en) * 1998-07-10 1999-05-25 Dow Corning Corporation Method for producing low dielectric coatings from hydrogen silsequioxane resin
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6329490B1 (en) * 1999-03-31 2001-12-11 Mitsubishi Materials Corporation Polyhedral organosilicon compound and method for producing the same
US6440550B1 (en) * 1999-10-18 2002-08-27 Honeywell International Inc. Deposition of fluorosilsesquioxane films
US6472076B1 (en) * 1999-10-18 2002-10-29 Honeywell International Inc. Deposition of organosilsesquioxane films
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6576300B1 (en) * 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6663902B1 (en) * 2000-09-19 2003-12-16 Ecolab Inc. Method and composition for the generation of chlorine dioxide using Iodo-Compounds, and methods of use
US6576345B1 (en) * 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6696352B1 (en) * 2001-09-11 2004-02-24 Silicon Wafer Technologies, Inc. Method of manufacture of a multi-layered substrate with a thin single crystalline layer and a versatile sacrificial layer
US20040046261A1 (en) * 2002-05-08 2004-03-11 Nec Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US20040195582A1 (en) * 2003-04-01 2004-10-07 Nec Electronics Corporation Semiconductor device with guard ring for preventing water from entering circuit region from outside
US20050049382A1 (en) * 2003-09-01 2005-03-03 Lyu Yi Yeol Novel siloxane-based resin and interlayer insulating film formed using the same

Also Published As

Publication number Publication date
JP4092220B2 (en) 2008-05-28
US20040183200A1 (en) 2004-09-23
US7211896B2 (en) 2007-05-01
JP2004235550A (en) 2004-08-19

Similar Documents

Publication Publication Date Title
US8264086B2 (en) Via structure with improved reliability
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
US8237208B2 (en) Semiconductor device including hydrogen barrier film for covering metal-insulator-meal capacitor and method of manufacturing the same
US8384219B2 (en) Semiconductor having interconnects with improved mechanical properties by insertion of nanoparticles
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
US7816256B2 (en) Process for improving the reliability of interconnect structures and resulting structure
KR100408953B1 (en) Semiconductor device and method of manufacturing the same
US20060043589A1 (en) Electronic device and method for fabricating the same
JPH1074755A (en) Microelectronic structure and its forming method
US9978681B2 (en) Semiconductor device
US6518646B1 (en) Semiconductor device with variable composition low-k inter-layer dielectric and method of making
KR20080102983A (en) Semiconductor device
JP2008288234A (en) Semiconductor device, and manufacturing method of semiconductor device
JP2004235548A (en) Semiconductor device and its fabricating method
US20040061236A1 (en) Semiconductor device provided with a dielectric film including porous structure and manufacturing method thereof
US20070161156A1 (en) Semiconductor device and method of manufacturing the same
US20050242430A1 (en) Multi-level semiconductor device with capping layer with improved adhesion
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
JP3762732B2 (en) Manufacturing method of semiconductor device
JP2005038999A (en) Method of manufacturing semiconductor device
US20040256733A1 (en) Method for manufacturing a semiconductor device and a semiconductor device
JP2004253780A (en) Semiconductor device and its manufacturing method
JP2006140373A (en) Manufacturing method for semiconductor device
KR20010030169A (en) Reduced Capacitance Dielectric Structure For Integrated Circuits

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION