US20070164325A1 - Three-dimensional multi-gate device and fabricating method thereof - Google Patents

Three-dimensional multi-gate device and fabricating method thereof Download PDF

Info

Publication number
US20070164325A1
US20070164325A1 US11/693,739 US69373907A US2007164325A1 US 20070164325 A1 US20070164325 A1 US 20070164325A1 US 69373907 A US69373907 A US 69373907A US 2007164325 A1 US2007164325 A1 US 2007164325A1
Authority
US
United States
Prior art keywords
dimensional multi
gate device
stress
layer
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/693,739
Inventor
Wen-Shiang Liao
Wei-Tsun Shiau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US11/693,739 priority Critical patent/US20070164325A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIAO, WEN-SHIANG, SHIAU, WEI-TSUN
Publication of US20070164325A1 publication Critical patent/US20070164325A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to a three-dimensional multi-gate device and a fabricating method thereof, and more particularly, to a three-dimensional multi-gate device having a stress-adjusting layer and a fabricating method thereof.
  • the three-dimensional multi-gate device is advantageous for the following reasons.
  • the manufacturing processes of three-dimensional multi-gate devices can be integrated into the traditional logic device processes, and thus are more compatible.
  • traditional shallow trench isolation is not required.
  • the three-dimensional structure increases the overlapping area between the gate and the substrate, the channel region is more effectively controlled. This therefore reduces drain-induced barrier lowering (DIBL) effect and short channel effect.
  • DIBL drain-induced barrier lowering
  • the channel region is longer under the same gate length. Therefore, the current between the source and the drain is increased.
  • the three-dimensional multi-gate device is advantageous for many reasons, the carrier mobility still requires to be improved.
  • a three-dimensional multi-gate device has a semiconductor substrate; a silicon fin disposed on the semiconductor substrate, the silicon fin having a top surface and two side surfaces; a gate structure disposed on the silicon fin and partially covering the top surface and the two side surfaces of the silicon fin; two doped regions disposed in the silicon fin under both sides of the gate structure; and a stress-adjusting layer covering the gate structure.
  • a method for fabricating a three-dimensional multi-gate device includes the following steps:
  • the three-dimensional multi-gate device features the stress-adjusting layer.
  • the stress-adjusting layer provides the gate structure with stress through a direction parallel to the length of the channel, such that the carrier mobility in the channel region under the gate structure is raised and the electrical performance of the device is improved.
  • FIG. 1 through FIG. 9 are schematic diagrams illustrating a method for fabricating a three-dimensional multi-gate device according to a preferred embodiment of the present invention.
  • FIG. 10 illustrates the I off versus I on curve of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • FIG. 11 illustrates the carrier mobility of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • FIG. 12 illustrates the DIBL effect of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • FIG. 1 through FIG. 9 are schematic diagrams illustrating a method for fabricating a three-dimensional multi-gate device according to a preferred embodiment of the present invention, and FIG. 9 also schematically illustrates a three-dimensional multi-gate device of the present invention.
  • a silicon-on-insulator (SOI) substrate 12 is provided.
  • the SOI substrate 12 includes a silicon substrate 120 , an insulator layer 122 disposed on the silicon substrate 120 , and a single crystalline silicon layer 124 disposed on the insulator layer 122 .
  • An oxidation process is then performed on the single crystalline silicon layer 124 to form a silicon oxide layer 125 on the top surface C of the single crystalline silicon layer 124 .
  • the thickness T of the single crystalline silicon layer 124 is controlled to between 50 to 100 nm. As shown in FIG.
  • a photoresist layer (not shown) is coated on the silicon oxide layer 125 , and a photolithography-and-development process is carried out to form a mask silicon oxide layer 126 .
  • an etching process is performed using the mask silicon oxide layer 126 as a hard mask to etch the single crystalline silicon layer 124 , so as to form a silicon fin 127 as shown in FIG. 3 .
  • other methods may be adopted to form the silicon fin 127 .
  • two sacrificial layers 128 e.g. silicon oxide layers, are formed on both side surfaces A, B of the silicon fin 127 .
  • an ion implantation process is performed on the silicon fin 127 as indicated by the arrows shown in FIG.
  • boron and arsenic ions may be doped into the silicon fin 127 to control the threshold voltage of the three-dimensional multi-gate device.
  • the sacrificial layers 128 are removed.
  • the sacrificial layers 128 aim at improving the surface condition of the silicon fin 127 such that the lattice of the silicon fin 127 on the side surfaces A, B is ensured.
  • a silicon oxynitride layer 130 is formed on the side surfaces A, B of the silicon fin 127 .
  • the silicon oxynitride layer 130 can be formed by, for instance, thermally oxidizing the side surfaces A, B of the silicon fin 127 , and then nitridizing the side surfaces A, B of the silicon fin 127 by plasma.
  • the thickness of the silicon oxynitride layer 130 is about 14 ⁇ .
  • a polysilicon layer 132 is deposited. As shown in FIG.
  • a photoresist layer (not shown) is coated on the polysilicon layer 132 , and a photolithography-and-etching process is carried out to form a polysilicon gate structure 133 .
  • the polysilicon gate structure 133 approximately orthogonal to the silicon fin 127 , has a thickness of about 80 nm. It is appreciated that the silicon oxynitride layer 130 remains on the side surfaces A, B and serves as gate dielectric layers.
  • the mask silicon oxide layer 126 functions as an etch stop layer while etching the polysilicon layer 132 .
  • FIG. 6 is a cross-sectional view of the three-dimensional multi-gate device along the line 6 - 6 ′ shown in FIG. 5 .
  • an ion implantation is performed to dope high dosage ions, such as phosphorus ions or boron ions, into the polysilicon gate structure 133 to ensure conductivity.
  • An offset oxide layer 134 a and a silicon nitride layer 134 b are consecutively formed on the polysilicon gate structure 133 , the mask silicon oxide layer 126 , and the insulator layer 122 .
  • the thickness of the offset oxide layer 134 a and the silicon nitride layer 134 b are respectively 100 ⁇ and 500 ⁇ .
  • the silicon nitride layer 134 b and the offset oxide layer 134 a are partially etched to form a spacer structure 134 on both sides of the polysilicon gate structure 133 . It is appreciated that the mask silicon oxide layer 126 not covered by the spacer structure 134 is also removed. Subsequently, a high dosage ion implantation is performed on the silicon fin 127 to form source/drain regions 136 , 138 in the silicon fin 127 under both sides of the polysilicon gate structure 133 . For example, arsenic and phosphorus ions are doped into the silicon fin 127 to form an N type three-dimensional multi-gate device.
  • a salicidation process is performed to form salicide layers 142 , 144 , 146 on the source/drain regions 136 , 138 and the polysilicon gate structure 133 .
  • the salicide layers 142 , 144 , 146 are cobalt salicide layers, but may also be other salicide layers such as nickel salicide layers, titanium salicide layers, platinum salicide layers, etc.
  • a chemical vapor deposition (CVD) process is performed to form a stress-adjusting layer 150 on the polysilicon gate structure 133 and the silicon fin 127 .
  • the stress-adjusting layer 150 is a silicon nitride layer, which is formed by introducing a nitrogen precursor in the CVD process.
  • bis (tertiary-butylamino) silane can be introduced in the CVD process.
  • BBAS bis (tertiary-butylamino) silane
  • APCVD atomic layer deposition
  • LPCVD LPCVD
  • PECVD PECVD
  • the thickness of the stress-adjusting layer 150 is between 100 ⁇ to 2000 ⁇ , and preferably between 400 ⁇ to 1800 ⁇ .
  • the thickness of the silicon nitride layer provides the three-dimensional multi-gate device with a high tensile stress through the X-X′ direction.
  • an inter layer dielectric (ILD) layer 152 is subsequently formed on the stress-adjusting layer 150 .
  • the ILD layer 152 may be a silicon oxide layer for instance.
  • the silicon oxide layer may be an undoped silicon glass (USG) layer formed by APCVD process.
  • the ILD layer 152 may be a phosphosilicate glass that is formed by a tetraethyl orthosilicate chemical vapor deposition (TEOS-CVD) process and doped with phosphorus.
  • TEOS-CVD tetraethyl orthosilicate chemical vapor deposition
  • a patterned copper layer 156 is formed and electrically connected to the tungsten in the via holes 154 .
  • Titanium nitride (TiN) layers (not shown) or tantalum nitride (TaN) layers (not shown) may be interposed between the tungsten and the sidewalls of the via holes 154 and between the patterned copper layer 156 and the ILD layer 152 to serve as barrier layers that prevent metals from diffusing.
  • the stress-adjusting layer By virtue of the stress-adjusting layer, the carrier mobility and the drive current characteristic of the three-dimensional multi-gate device are improved. It is to be noted that the aforementioned embodiment is illustrated with an N type three-dimensional multi-gate device, thus silicon nitride that can provide a high tensile stress is adopted. If a P type three-dimensional multi-gate device is desired, the stress-adjusting layer can be selected from other materials that provide a high compressed stress. For example, the stress-adjusting layer can be made of silicon oxide, silicon oxynitride, or other suitable materials.
  • FIG. 10 through FIG. 12 illustrate the advantages of the three-dimensional multi-gate device of the present invention compared with a traditional multi-gate device without a stress-adjusting layer.
  • FIG. 10 that illustrates the I off versus I on curve of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • the I on /I off ratio of the present three-dimensional multi-gate device is higher than the traditional multi-gate device.
  • Practically, 26% current gain is shown in the present three-dimensional multi-gate device.
  • FIG. 11 illustrates the carrier mobility of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device. As shown in FIG.
  • FIG. 12 illustrates the DIBL effect of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • the DIBL effect is smaller in the present three-dimensional multi-gate device than in the traditional multi-gate device, especially in case that the gate length is short
  • the three-dimensional multi-gate device of the present invention has better electrical performance resulted from the stress-adjusting layer.

Abstract

A three-dimensional multi-gate device has a silicon fin, a gate structure, and a stress-adjusting layer. The gate structure contacts with three surface of the silicon fin to form a three-dimensional gate structure. The stress-adjusting layer is disposed on the gate structure to provide stress along the direction parallel to the channel length of the gate structure. The stress helps promote the mobility of the charges in the channel region under the gate structure and improve the electrical performance such as drive current and DIBL of the three-dimensional multi-gate device.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of application Ser. No. 11/161,950 filed Aug. 23, 2005.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a three-dimensional multi-gate device and a fabricating method thereof, and more particularly, to a three-dimensional multi-gate device having a stress-adjusting layer and a fabricating method thereof.
  • 2. Description of the Prior Art
  • With increasing miniaturization of semiconductor devices, various three-dimensional multi-gate devices have been developed. The three-dimensional multi-gate device is advantageous for the following reasons. First, the manufacturing processes of three-dimensional multi-gate devices can be integrated into the traditional logic device processes, and thus are more compatible. Furthermore, due to the structural particularity of the three-dimensional multi-gate device, traditional shallow trench isolation is not required. In addition, since the three-dimensional structure increases the overlapping area between the gate and the substrate, the channel region is more effectively controlled. This therefore reduces drain-induced barrier lowering (DIBL) effect and short channel effect. Moreover, the channel region is longer under the same gate length. Therefore, the current between the source and the drain is increased.
  • Although the three-dimensional multi-gate device is advantageous for many reasons, the carrier mobility still requires to be improved.
  • SUMMARY OF THE INVENTION
  • It is therefore one of the objects of the claimed invention to provide a three-dimensional multi-gate device and a fabricating method thereof to solve the aforementioned problems.
  • According to the claimed invention, a three-dimensional multi-gate device is disclosed. The three-dimensional multi-gate structure has a semiconductor substrate; a silicon fin disposed on the semiconductor substrate, the silicon fin having a top surface and two side surfaces; a gate structure disposed on the silicon fin and partially covering the top surface and the two side surfaces of the silicon fin; two doped regions disposed in the silicon fin under both sides of the gate structure; and a stress-adjusting layer covering the gate structure.
  • According to the claimed invention, a method for fabricating a three-dimensional multi-gate device is also disclosed. The method includes the following steps:
  • (a) providing a semiconductor substrate and forming a silicon fin on the semiconductor substrate, the silicon fin having a top surface and two side surfaces;
  • (b) forming a gate structure on the silicon fin, the gate structure partially covering the top surface and the two side surfaces of the silicon fin;
  • (c) forming two doped regions in the silicon fin under both sides of the gate structure; and
  • (d) forming a stress-adjusting layer covering the gate structure.
  • The three-dimensional multi-gate device according to the claimed invention features the stress-adjusting layer. The stress-adjusting layer provides the gate structure with stress through a direction parallel to the length of the channel, such that the carrier mobility in the channel region under the gate structure is raised and the electrical performance of the device is improved.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 through FIG. 9 are schematic diagrams illustrating a method for fabricating a three-dimensional multi-gate device according to a preferred embodiment of the present invention.
  • FIG. 10 illustrates the Ioff versus Ion curve of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • FIG. 11 illustrates the carrier mobility of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • FIG. 12 illustrates the DIBL effect of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device.
  • DETAILED DESCRIPTION
  • A three-dimensional multi-gate device and a fabricating method thereof according to a preferred embodiment of the present invention are detailed thereinafter. Please refer to FIG. 1 through FIG. 9. FIG. 1 through FIG. 9 are schematic diagrams illustrating a method for fabricating a three-dimensional multi-gate device according to a preferred embodiment of the present invention, and FIG. 9 also schematically illustrates a three-dimensional multi-gate device of the present invention.
  • As shown in FIG. 1, a silicon-on-insulator (SOI) substrate 12 is provided. The SOI substrate 12 includes a silicon substrate 120, an insulator layer 122 disposed on the silicon substrate 120, and a single crystalline silicon layer 124 disposed on the insulator layer 122. An oxidation process is then performed on the single crystalline silicon layer 124 to form a silicon oxide layer 125 on the top surface C of the single crystalline silicon layer 124. In this embodiment, the thickness T of the single crystalline silicon layer 124 is controlled to between 50 to 100 nm. As shown in FIG. 2, a photoresist layer (not shown) is coated on the silicon oxide layer 125, and a photolithography-and-development process is carried out to form a mask silicon oxide layer 126. Subsequently, an etching process is performed using the mask silicon oxide layer 126 as a hard mask to etch the single crystalline silicon layer 124, so as to form a silicon fin 127 as shown in FIG. 3. Selectively, other methods may be adopted to form the silicon fin 127. Thereafter, two sacrificial layers 128 e.g. silicon oxide layers, are formed on both side surfaces A, B of the silicon fin 127. Then, an ion implantation process is performed on the silicon fin 127 as indicated by the arrows shown in FIG. 3. For instance, boron and arsenic ions may be doped into the silicon fin 127 to control the threshold voltage of the three-dimensional multi-gate device. Subsequently, the sacrificial layers 128 are removed. The sacrificial layers 128 aim at improving the surface condition of the silicon fin 127 such that the lattice of the silicon fin 127 on the side surfaces A, B is ensured.
  • As shown in FIG. 4, a silicon oxynitride layer 130 is formed on the side surfaces A, B of the silicon fin 127. The silicon oxynitride layer 130 can be formed by, for instance, thermally oxidizing the side surfaces A, B of the silicon fin 127, and then nitridizing the side surfaces A, B of the silicon fin 127 by plasma. In this embodiment, the thickness of the silicon oxynitride layer 130 is about 14 Å. After the silicon oxynitride layer 130 is formed, a polysilicon layer 132 is deposited. As shown in FIG. 5, a photoresist layer (not shown) is coated on the polysilicon layer 132, and a photolithography-and-etching process is carried out to form a polysilicon gate structure 133. The polysilicon gate structure 133, approximately orthogonal to the silicon fin 127, has a thickness of about 80 nm. It is appreciated that the silicon oxynitride layer 130 remains on the side surfaces A, B and serves as gate dielectric layers. In addition, the mask silicon oxide layer 126 functions as an etch stop layer while etching the polysilicon layer 132.
  • Please refer to FIG. 6. FIG. 6 is a cross-sectional view of the three-dimensional multi-gate device along the line 6-6′ shown in FIG. 5. As shown in FIG. 6, an ion implantation is performed to dope high dosage ions, such as phosphorus ions or boron ions, into the polysilicon gate structure 133 to ensure conductivity. An offset oxide layer 134 a and a silicon nitride layer 134 b are consecutively formed on the polysilicon gate structure 133, the mask silicon oxide layer 126, and the insulator layer 122. In this embodiment, the thickness of the offset oxide layer 134 a and the silicon nitride layer 134 b are respectively 100 Å and 500 Å. As shown in FIG. 7, the silicon nitride layer 134 b and the offset oxide layer 134 a are partially etched to form a spacer structure 134 on both sides of the polysilicon gate structure 133. It is appreciated that the mask silicon oxide layer 126 not covered by the spacer structure 134 is also removed. Subsequently, a high dosage ion implantation is performed on the silicon fin 127 to form source/ drain regions 136, 138 in the silicon fin 127 under both sides of the polysilicon gate structure 133. For example, arsenic and phosphorus ions are doped into the silicon fin 127 to form an N type three-dimensional multi-gate device.
  • As shown in FIG. 8, a salicidation process is performed to form salicide layers 142, 144, 146 on the source/ drain regions 136, 138 and the polysilicon gate structure 133. The salicide layers 142, 144, 146 are cobalt salicide layers, but may also be other salicide layers such as nickel salicide layers, titanium salicide layers, platinum salicide layers, etc. A chemical vapor deposition (CVD) process is performed to form a stress-adjusting layer 150 on the polysilicon gate structure 133 and the silicon fin 127. In this embodiment, the stress-adjusting layer 150 is a silicon nitride layer, which is formed by introducing a nitrogen precursor in the CVD process. For instance, bis (tertiary-butylamino) silane (BTBAS) can be introduced in the CVD process. However, other techniques such as APCVD, LPCVD, and PECVD, and other materials may be adopted to form the stress-adjusting layer 150. The thickness of the stress-adjusting layer 150 is between 100 Å to 2000 Å, and preferably between 400 Å to 1800 Å. The thickness of the silicon nitride layer provides the three-dimensional multi-gate device with a high tensile stress through the X-X′ direction.
  • As shown in FIG. 9, an inter layer dielectric (ILD) layer 152 is subsequently formed on the stress-adjusting layer 150. The ILD layer 152 may be a silicon oxide layer for instance. The silicon oxide layer may be an undoped silicon glass (USG) layer formed by APCVD process. Optionally, the ILD layer 152 may be a phosphosilicate glass that is formed by a tetraethyl orthosilicate chemical vapor deposition (TEOS-CVD) process and doped with phosphorus. After the ILD layer 152 is formed, a plurality of via holes 154 are formed, and filled with tungsten for instance. Interconnects are then formed. For example, a patterned copper layer 156 is formed and electrically connected to the tungsten in the via holes 154. Titanium nitride (TiN) layers (not shown) or tantalum nitride (TaN) layers (not shown) may be interposed between the tungsten and the sidewalls of the via holes 154 and between the patterned copper layer 156 and the ILD layer 152 to serve as barrier layers that prevent metals from diffusing.
  • By virtue of the stress-adjusting layer, the carrier mobility and the drive current characteristic of the three-dimensional multi-gate device are improved. It is to be noted that the aforementioned embodiment is illustrated with an N type three-dimensional multi-gate device, thus silicon nitride that can provide a high tensile stress is adopted. If a P type three-dimensional multi-gate device is desired, the stress-adjusting layer can be selected from other materials that provide a high compressed stress. For example, the stress-adjusting layer can be made of silicon oxide, silicon oxynitride, or other suitable materials.
  • FIG. 10 through FIG. 12 illustrate the advantages of the three-dimensional multi-gate device of the present invention compared with a traditional multi-gate device without a stress-adjusting layer. Please refer to FIG. 10 that illustrates the Ioff versus Ion curve of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device. As shown in FIG. 10, the Ion/Ioff ratio of the present three-dimensional multi-gate device is higher than the traditional multi-gate device. Practically, 26% current gain is shown in the present three-dimensional multi-gate device. Please refer to FIG. 11, which illustrates the carrier mobility of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device. As shown in FIG. 11, the carrier mobility in the channel region of the present three-dimensional multi-gate device is much better. Please refer to FIG. 12 that illustrates the DIBL effect of the three-dimensional multi-gate device of the present invention and a traditional three-dimensional multi-gate device. As shown in FIG. 12, the DIBL effect is smaller in the present three-dimensional multi-gate device than in the traditional multi-gate device, especially in case that the gate length is short
  • In comparison with the prior art, the three-dimensional multi-gate device of the present invention has better electrical performance resulted from the stress-adjusting layer.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (9)

1. A three-dimensional multi-gate device comprising:
a semiconductor substrate;
a silicon fin disposed on the semiconductor substrate, the silicon fin having a top surface and two side surfaces;
a gate structure disposed on the silicon fin and partially covering the top surface and the two side surfaces of the silicon fin;
two doped regions disposed in the silicon fin under both sides of the gate structure; and
a stress-adjusting layer covering the gate structure.
2. The three-dimensional multi-gate device of claim 1, further comprising a plurality of salicide layers disposed on the gate structure and the two doped regions.
3. The three-dimensional multi-gate device of claim 1, wherein the salicide layers comprise cobalt salicide layers, nickel salicide layers, titanium salicide layers or platinum salicide layers.
4. The three-dimensional multi-gate device of claim 1, wherein the stress-adjusting layer comprises a silicon nitride layer, a silicon oxide layer or a silicon oxynitride layer.
5. The three-dimensional multi-gate device of claim 1, wherein the stress-adjusting layer has a thickness ranging between 100 Å to 2000 Å.
6. The three-dimensional multi-gate device of claim 1, further comprising a dielectric layer disposed on the stress-adjusting layer.
7. The three-dimensional multi-gate device of claim 1, further comprising a plurality of via holes passing through the dielectric layer and the stress-adjusting layer.
8. The three-dimensional multi-gate device of claim 1, wherein the three-dimensional multi-gate device is N type, and the stress-adjusting layer provides a tensile stress.
9. The three-dimensional multi-gate device of claim 1, wherein the three-dimensional multi-gate device is P type, and the stress-adjusting layer provides a compressed stress.
US11/693,739 2005-08-23 2007-03-30 Three-dimensional multi-gate device and fabricating method thereof Abandoned US20070164325A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/693,739 US20070164325A1 (en) 2005-08-23 2007-03-30 Three-dimensional multi-gate device and fabricating method thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/161,950 US7326617B2 (en) 2005-08-23 2005-08-23 Method of fabricating a three-dimensional multi-gate device
US11/693,739 US20070164325A1 (en) 2005-08-23 2007-03-30 Three-dimensional multi-gate device and fabricating method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/161,950 Division US7326617B2 (en) 2005-08-23 2005-08-23 Method of fabricating a three-dimensional multi-gate device

Publications (1)

Publication Number Publication Date
US20070164325A1 true US20070164325A1 (en) 2007-07-19

Family

ID=37804793

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/161,950 Active 2025-12-14 US7326617B2 (en) 2005-08-23 2005-08-23 Method of fabricating a three-dimensional multi-gate device
US11/693,739 Abandoned US20070164325A1 (en) 2005-08-23 2007-03-30 Three-dimensional multi-gate device and fabricating method thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/161,950 Active 2025-12-14 US7326617B2 (en) 2005-08-23 2005-08-23 Method of fabricating a three-dimensional multi-gate device

Country Status (1)

Country Link
US (2) US7326617B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090111238A1 (en) * 2007-10-26 2009-04-30 Jun Ki Kim Method for manufacturing semiconductor device capable of increasing current drivability of pmos transistor

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7879663B2 (en) * 2007-03-08 2011-02-01 Freescale Semiconductor, Inc. Trench formation in a semiconductor material
US7575976B2 (en) * 2007-03-28 2009-08-18 Intel Corporation Localized spacer for a multi-gate transistor
US7611935B2 (en) * 2007-05-24 2009-11-03 Advanced Micro Devices, Inc. Gate straining in a semiconductor device
US20080290414A1 (en) * 2007-05-24 2008-11-27 Texas Instruments Incorporated Integrating strain engineering to maximize system-on-a-chip performance
US8394684B2 (en) * 2010-07-22 2013-03-12 International Business Machines Corporation Structure and method for stress latching in non-planar semiconductor devices
US8693097B2 (en) * 2010-09-03 2014-04-08 Guardian Industries Corp. Temperable three layer antireflective coating, coated article including temperable three layer antireflective coating, and/or method of making the same
US9796619B2 (en) * 2010-09-03 2017-10-24 Guardian Glass, LLC Temperable three layer antirefrlective coating, coated article including temperable three layer antirefrlective coating, and/or method of making the same
US9087915B2 (en) * 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
US9853140B2 (en) * 2012-12-31 2017-12-26 Vishay-Siliconix Adaptive charge balanced MOSFET techniques

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040217420A1 (en) * 2003-04-30 2004-11-04 Yee-Chia Yeo Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US20050116218A1 (en) * 2003-11-24 2005-06-02 Samsung Electronics Co., Ltd. Non-planar transistor having germanium channel region and method of manufacturing the same
US20060057787A1 (en) * 2002-11-25 2006-03-16 Doris Bruce B Strained finfet cmos device structures
US20060180866A1 (en) * 2005-02-15 2006-08-17 International Business Machines Corporation Structure and method for manufacturing strained finfet
US7166876B2 (en) * 2004-04-28 2007-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFET with electrostatic discharge protection structure and method of fabrication
US20070066018A1 (en) * 2003-02-19 2007-03-22 Samsung Electronics Co., Ltd. Methods of fabricating vertical channel field effect transistors having insulating layers thereon
US7221032B2 (en) * 2004-07-02 2007-05-22 Kabushiki Kaisha Toshiba Semiconductor device including FinFET having vertical double gate structure and method of fabricating the same
US7335544B2 (en) * 2004-12-15 2008-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making MOSFET device with localized stressor

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060057787A1 (en) * 2002-11-25 2006-03-16 Doris Bruce B Strained finfet cmos device structures
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US20070066018A1 (en) * 2003-02-19 2007-03-22 Samsung Electronics Co., Ltd. Methods of fabricating vertical channel field effect transistors having insulating layers thereon
US20040217420A1 (en) * 2003-04-30 2004-11-04 Yee-Chia Yeo Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050116218A1 (en) * 2003-11-24 2005-06-02 Samsung Electronics Co., Ltd. Non-planar transistor having germanium channel region and method of manufacturing the same
US7166876B2 (en) * 2004-04-28 2007-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFET with electrostatic discharge protection structure and method of fabrication
US7221032B2 (en) * 2004-07-02 2007-05-22 Kabushiki Kaisha Toshiba Semiconductor device including FinFET having vertical double gate structure and method of fabricating the same
US7335544B2 (en) * 2004-12-15 2008-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making MOSFET device with localized stressor
US20060180866A1 (en) * 2005-02-15 2006-08-17 International Business Machines Corporation Structure and method for manufacturing strained finfet

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090111238A1 (en) * 2007-10-26 2009-04-30 Jun Ki Kim Method for manufacturing semiconductor device capable of increasing current drivability of pmos transistor
US7858489B2 (en) * 2007-10-26 2010-12-28 Hynix Semiconductor Inc. Method for manufacturing semiconductor device capable of increasing current drivability of PMOS transistor

Also Published As

Publication number Publication date
US7326617B2 (en) 2008-02-05
US20070048958A1 (en) 2007-03-01

Similar Documents

Publication Publication Date Title
US10727110B2 (en) Semiconductor device and method of manufacturing the same
KR102073395B1 (en) A method of manufacturing a semiconductor device with separated merged source/drain structure
US7326617B2 (en) Method of fabricating a three-dimensional multi-gate device
US10096525B2 (en) Method for fabricating self-aligned contact in a semiconductor device
KR101435710B1 (en) High gate density devices and methods
US7820551B2 (en) Semiconductor device having fins FET and manufacturing method thereof
KR101815527B1 (en) Semiconductor device and method for manufacturing the same
US11043580B2 (en) Method of manufacturing semiconductor devices
KR20180131346A (en) Contact structure for semiconductor device
US20220172998A1 (en) Semiconductor device including a fin-fet and method of manufacturing the same
US10923595B2 (en) Semiconductor device having a SiGe epitaxial layer containing Ga
US11437495B2 (en) Semiconductor device and method of manufacturing the same
TW202013527A (en) A method of manufacturing a semiconductor device
US20220262911A1 (en) Semiconductor Device and Method
US11417739B2 (en) Contacts for semiconductor devices and methods of forming the same
US9941372B2 (en) Semiconductor device having electrode and manufacturing method thereof
US11211492B2 (en) Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga
TWI831110B (en) Semiconductor device and method
TW202410163A (en) Nanostructure field-effect transistor and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIAO, WEN-SHIANG;SHIAU, WEI-TSUN;REEL/FRAME:019088/0686

Effective date: 20050819

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION