US20070197012A1 - Grain growth promotion layer for semiconductor interconnect structures - Google Patents

Grain growth promotion layer for semiconductor interconnect structures Download PDF

Info

Publication number
US20070197012A1
US20070197012A1 US11/307,761 US30776106A US2007197012A1 US 20070197012 A1 US20070197012 A1 US 20070197012A1 US 30776106 A US30776106 A US 30776106A US 2007197012 A1 US2007197012 A1 US 2007197012A1
Authority
US
United States
Prior art keywords
interconnect
opening
forming
growth promotion
grain growth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/307,761
Other versions
US7666787B2 (en
Inventor
Chih-Chao Yang
Shom Ponoth
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/307,761 priority Critical patent/US7666787B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YANG, CHIH-CHAO, PONOTH, SHOM
Publication of US20070197012A1 publication Critical patent/US20070197012A1/en
Priority to US12/709,928 priority patent/US7952146B2/en
Application granted granted Critical
Publication of US7666787B2 publication Critical patent/US7666787B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure of the single or dual damascene type in which a grain growth promotion layer is used to provide a conductive region within the interconnect structure that has a bamboo microstructure and an average grain size of larger than 0.05 microns. The presence of the conductive region having such a microstructure and a relatively large average grain size results in a structure that has enhanced performance and reliability. The present invention also relates to a method of fabricating such a semiconductor structure.
  • semiconductor devices include a plurality of circuits which form an integrated circuit fabricated on a semiconductor substrate.
  • a complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures.
  • the wiring structure typically includes copper, Cu, since Cu based interconnects provide higher speed signal transmission between large numbers of transistors on a complex semiconductor chip as compared with aluminum, Al,-based interconnects.
  • metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate. Further enhancement of the signal speed and reduction of signals in adjacent metal lines (known as “crosstalk”) are achieved in today's IC product chips by embedding the metal lines and metal vias (e.g., conductive features) in a dielectric material having a dielectric constant of less than silicon dioxide.
  • the conductive material within the conductive region has a low electromigration resistance due to a high number of electromigration paths inside the conductive region.
  • the high number of paths is believed to be a result of the microstructure and the average grain size of the conductive material.
  • electromigration is predominately driven by (1) interface diffusion between the conductive material and the dielectric cap, and (2) bulk diffusion along the grain boundaries of the conductive material. The electromigration problem is expected to increase in future semiconductor technologies due to the scaling of such devices.
  • the present invention provides an interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces the electromigration problem that is exhibited by prior art interconnect structures.
  • this objective is achieved by utilizing a grain growth promotion layer which promotes the formation of a conductive region within the interconnect structure that has a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • bamboo microstructure is used throughout the instant application to denote that the conductive material of the interconnect is composed of grains all of which are larger than the cross sectional dimensions of the interconnect.
  • a bamboo microstructure is different from a near bamboo microstructure which is a mixture of bamboo and polycrystalline microstructures along the length of the interconnect structure.
  • a bamboo microstructure is also different from polycrystalline microstructures, which are also typically present in interconnect structures. The presence of the conductive region having such a microstructure and a relatively large average grain size results in a structure that has enhanced performance and reliability.
  • the inventive interconnect structure comprises: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; a grain growth promotion layer located on said diffusion barrier; and an interconnect conductive material located within the at least one opening, said interconnect conductive material having a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • a plating seed layer is formed on the grain growth promotion layer prior to forming the interconnect conductive material.
  • the present invention contemplates closed-via bottom structures, open-via bottom structures and anchored-via bottom structures.
  • a Cu interconnect structure in a preferred embodiment of the present invention, includes: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; a grain growth promotion layer located on said diffusion barrier; and a Cu interconnect metal located within the at least one opening, said Cu interconnect metal having a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • the present invention also provides a method of fabricating the same.
  • the method of the present invention includes: forming at least one opening in a dielectric material; forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening; forming a grain growth promotion layer on said diffusion barrier; and forming an interconnect conductive material within said at least one opening atop said grain growth promotion layer, said interconnect conductive material having a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • the inventive method includes the steps of: forming at least one opening in a dielectric material; forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening; forming a grain growth promotion layer on said diffusion barrier; forming a Cu seed layer on said grain growth promotion layer; and forming a Cu interconnect metal from said Cu seed layer, wherein said Cu interconnect metal has a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • FIG. 1 is a pictorial representation (through a cross sectional view) illustrating an interconnect structure through initial stages of the inventive method wherein at least one opening is provided in a dielectric material.
  • FIG. 2 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 1 after formation of a diffusion barrier inside the at least the one opening.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 2 after formation of a grain growth promotion layer.
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 3 after formation of a plating seed layer.
  • FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 4 after formation of a conductive material within the at least one opening and subsequent planarization.
  • a closed-via bottom is illustrated on the right hand side.
  • FIGS. 6A and 6B are pictorial representations (through cross sectional views) depicting alternative interconnect structure that can be formed utilizing the method of the present invention;
  • FIG. 6A includes an interconnect structure with an open-via bottom structure, while
  • FIG. 6B includes an interconnect structure with an anchored-via bottom structure.
  • FIG. 7 is an electron micrograph of a region of an inventive interconnect structure.
  • FIG. 8 is an electron micrograph of a region of a prior art interconnect structure.
  • the present invention which provides an interconnect structure including a grain growth promotion layer and a conductive region having a bamboo microstructure and an average grain size larger than 0.05 microns and a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application.
  • the drawings of the present application which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • the process flow of the present invention begins with providing the initial interconnect structure 10 shown in FIG. 1 .
  • the initial interconnect structure 10 shown in FIG. 1 comprises a multilevel interconnect including a lower interconnect level 12 and an upper interconnect level 16 that are separated in part by dielectric capping layer 14 .
  • the lower interconnect level 12 which may be located above a semiconductor substrate including one or more semiconductor devices, comprises a first dielectric material 18 having at least one conductive feature (i.e., conductive region) 20 that is separated from the first dielectric material 18 by a barrier layer 22 .
  • the upper interconnect level 16 comprises a second dielectric material 24 that has at least one opening located therein.
  • FIG. 1 illustrates a separate line opening and an opening for a via and a line, the present invention also contemplates cases in which only the line opening is present or cases in which the opening for the combined via and line is present.
  • the initial interconnect structure 10 shown in FIG. 1 is made utilizing standard interconnect processing which is well known in the art.
  • the initial interconnect structure 10 can be formed by first applying the first dielectric material 18 to a surface of a substrate (not shown).
  • the substrate which is not shown, may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof.
  • any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors may be used.
  • the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers.
  • the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride or combinations thereof including multilayers.
  • the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
  • CMOS complementary metal oxide semiconductor
  • the first dielectric material 18 of the lower interconnect level 12 may comprise any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics.
  • the first dielectric material 18 may be porous or non-porous.
  • suitable dielectrics include, but are not limited to: SiO 2 , silsesquixoanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, 0 and H, thermosetting polyarylene ethers, or multilayers thereof.
  • polyarylene is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
  • the first dielectric material 18 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic cross talk as compared with dielectric materials that have a higher dielectric constant than 4.0.
  • the thickness of the first dielectric material 18 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the lower interconnect level 12 . Typically, and for normal interconnect structures, the first dielectric material 18 has a thickness from about 200 to about 450 nm.
  • the lower interconnect level 12 also has at least one conductive feature 20 that is embedded in (i.e., located within) the first dielectric material 18 .
  • the conductive feature 20 comprises a conductive region that is separated from the first dielectric material 18 by a barrier layer 22 .
  • the conductive feature 20 is formed by lithography (i.e., applying a photoresist to the surface of the first dielectric material 18 , exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer), etching (dry etching or wet etching) an opening in the first dielectric material 18 and filling the etched region with the barrier layer 22 and then with a conductive material forming the conductive region.
  • the barrier layer 22 which may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent conductive material from diffusing there through, is formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition, or plating.
  • the thickness of the barrier layer 22 may vary depending on the exact means of the deposition process as well as the material employed. Typically, the barrier layer 22 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical.
  • the remaining region of the opening within the first dielectric material 18 is filled with a conductive material forming the conductive region.
  • the conductive material used in forming the conductive region includes, for example, polysi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal silicide or combinations thereof.
  • the conductive material that is used in forming the conductive region is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention.
  • the conductive material is filled into the remaining opening in the first dielectric material 18 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating.
  • a conventional planarization process such as, for example, chemical mechanical polishing (CMP) can be used to provide a structure in which the barrier layer 22 and the conductive feature 20 each have an upper surface that is substantially coplanar with the upper surface of the first dielectric material 18 .
  • CMP chemical mechanical polishing
  • the inventive method described herein below can be used to provide the conductive feature 20 with a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • the term “average grain size” is used throughout this application to denote the average grain size inside the mentioned interconnect conductive material.
  • the average grain size is measured utilizing standard techniques such as, for example, by placing a polished and etched specimen under a microscope and counting the number of grains inside a certain area, that are well known to those skilled in the art. The average grain size inside the microstructure is then calculated based on the known magnification, the number of grains, and the inspected area.
  • the dielectric capping layer 14 is formed on the surface of the lower interconnect level 12 utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition, or evaporation.
  • the dielectric capping layer 14 comprises any suitable dielectric capping material such as, for example, SiC, Si 4 NH 3 , SiO 2 , a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N,H) or multilayers thereof.
  • the thickness of the capping layer 14 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 14 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
  • the upper interconnect level 16 is formed by applying the second dielectric material 24 to the upper exposed surface of the capping layer 14 .
  • the second dielectric material 24 may comprise the same or different, preferably the same, dielectric material as that of the first dielectric material 18 of the lower interconnect level 12 .
  • the processing techniques and thickness ranges for the first dielectric material 18 are also applicable here for the second dielectric material 24 .
  • at least one opening is formed into the second dielectric material 24 utilizing lithography, as described above, and etching.
  • the etching may comprise a dry etching process, a wet chemical etching process or a combination thereof.
  • dry etching is used herein to denote an etching technique such as reactive-ion etching, ion beam etching, plasma etching or laser ablation.
  • reference number 26 denotes a line opening for a single damascene structure
  • reference numeral 28 A and 28 B denote a via opening and a line opening, respectively for a dual damascene structure. It is again emphasized that the present invention contemplates structures including only opening 26 or openings 28 A and 28 B.
  • the etching step also removes a portion of the dielectric capping layer 14 that is located atop the conductive feature 20 in order to make electrical contact between interconnect level 12 and level 16 .
  • a diffusion barrier 30 having diffusion barrier properties is provided by forming the diffusion barrier 30 on exposed surfaces (including wall surfaces within the opening) on the second dielectric material 24 .
  • the resultant structure is shown, for example, in FIG. 2 .
  • the diffusion barrier 30 comprises a same or different material as that of barrier layer 22 .
  • diffusion barrier 30 may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent a conductive material from diffusing there through. Combinations of these materials are also contemplated forming a multilayered stacked diffusion barrier.
  • the diffusion barrier 30 is formed utilizing a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition, or plating.
  • the thickness of the diffusion barrier 30 may vary depending on the number of material layers within the barrier, the technique used in forming the same as well as the material of the diffusion barrier itself. Typically, the diffusion barrier 30 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being even more typical.
  • FIG. 3 shows the structure of FIG. 2 after formation of grain growth promotion layer (GGPL) 32 atop the diffusion barrier 30 .
  • the GGPL 32 is comprised of any material, typically a metal or metal alloy, that aids in the formation of a conductive material that has an average grain size of larger than 0.05 microns.
  • suitable materials for the GGPL 32 include, but are not limited to: Ru, Ir, Rh, Mo, Re, Hf, Nb and alloys thereof. In some embodiments, it is preferred to use Ru, Ir or Rh as the GGPL 32 .
  • the GGPL 32 is formed by a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), and physical vapor deposition (PVP).
  • the thickness of the GGPL 32 may vary depending on number of factors including, for example, the compositional material of the GGPL 32 and the technique that was used in forming the same.
  • the GGPL 32 has a thickness from about 0.5 to about 10 nm, with a thickness of less than 6 nm being even more typical.
  • the GGPL 32 aids in the formation of the conductive region having a bamboo microstructure and an average grain size of greater than 0.05 microns by a low interfacial energy between the GGPL 32 and later deposited seed layer 34 /interconnect conductive material 38 .
  • FIG. 4 shows the resultant structure formed after forming a plating seed layer 34 .
  • the plating seed layer 34 is optional and need not be used in all instances. Although optional, it is preferred to include a plating seed layer 34 within the structure to aid in growth of the conductive material. This is especially the case when a conductive metal or metal alloy is to be subsequently formed within the at least one opening.
  • the plating seed layer 34 may comprise a conductive metal or metal alloy such as that used in forming the conductive material 38 to be described in greater detail herein below.
  • the plating seed layer comprises Cu, CuAl, Culr, CuTa, CuRh, or other alloys of Cu, i.e., Cu-containing alloys.
  • the plating seed layer 34 is formed by a conventional deposition process including, for example, ALD, CVD, PECVD, PVD, chemical solution deposition and other like deposition processes.
  • the thickness of the plating seed layer 34 may vary and it is within ranges that are well known to those skilled in the art. Typically, the plating seed layer 34 has a thickness from about 2 to about 80 nm.
  • FIG. 5 shows the structure after forming an interconnect conductive material 38 within the at least one opening.
  • the structure shown in FIG. 5 represents one possible embodiment of the present invention, while the structures shown in FIGS. 6A and 6B represent other possible embodiments of the present invention.
  • a closed-via bottom structure is shown.
  • the interconnect conductive material 38 is formed within an open-via bottom structure.
  • the open-via structure is formed by removing the diffusion barrier from the bottom of via 28 A utilizing ion bombardment or another like directional etching process prior to deposition of the other elements.
  • FIG. 6B an anchored-via bottom structure is shown.
  • the anchored-via bottom structure is formed by first etching a recess into the conductive feature 20 utilizing a selective etching process.
  • the diffusion barrier 30 is then formed and it is selectively removed from the bottom portion of the via and recess by utilizing one of the above-mentioned techniques.
  • the other elements, i.e., GGPL 32 , plating seed layer 34 and conductive material 38 are then formed within the opening as described herein.
  • the interconnect conductive material 38 may comprise the same or different, preferably the same, conductive material as that of the conductive feature 20 .
  • conductive material 38 is formed utilizing the same deposition processing as described above in forming the conductive feature 20 and following deposition of the conductive material, the structure is subjected to planarization. The planarization process removes the diffusion barrier 30 , GGPL 32 , plating seed layer 34 and conductive material 38 that is present above the upper horizontal surface of the upper interconnect level 16 .
  • the method of the present application is applicable in forming such a conductive material as a conductive feature in any one or all of the interconnect levels of an interconnect structure.
  • the same basic processing steps can be used to form other semiconductor structures, such as, for example, a field effect transistor, in which the conductive material is a gate electrode that has the inventive microstructure and average grain size.
  • the presence of the GGPL 32 aids in forming a conductive material 38 that has a bamboo microstructure.
  • the term “bamboo microstructure” is a term of art for describing that the conductive material of the interconnect is composed of grains all of which are larger than the cross sectional dimensions of the interconnect.
  • a bamboo microstructure is different from a near bamboo microstructure which is a mixture of bamboo and polycrystalline microstructures along the length of the interconnect structure.
  • the conductive material 38 is also characterized as having an average grain size of larger than 0.05 microns.
  • the average grain size of the conductive material 38 is from about 0.05 to about 0.5 microns, with an average grain size from about 0.08 to about 0.2 microns being even more typical.
  • the effects of the grain size and morphology of the conductive material 38 within an interconnect structure include the following:
  • the relatively large grain size of the conductive material 38 provides a conductive material 38 that has a low number of grain boundaries as compared to other morphologies, a low electron scattering effect (on the order of 10% ⁇ 30% less than that of the prior art), and a relatively low electrical resistance (on the order of about 10% ⁇ 30% less than that of the prior art. Because of these properties, the interconnect structure of the present invention exhibits better performance than conventional interconnect structure.
  • the bamboo microstructure provides less electromigration paths inside the conductive material 38 , high electromigration resistance, and can withstand current density (of greater than 6 mA/ ⁇ m 2 ), and thus, better circuit reliability.
  • FIGS. 7 and 8 are electron micrographs of a region of an inventive interconnect structure and a region of a prior art interconnect structure, respectively.
  • the micrographs are cross sectional views that are parallel to the interconnect line.
  • Cu was used as the conductive material.
  • Ru was used as the grain growth promotion layer, while such a layer is absent from the prior art interconnect structure.
  • the Cu conductive material has an average grain size that is smaller than that of the inventive structure (See FIG. 7 ), and within the prior art Cu conductive region there appears to a greater number of grain boundaries than in the inventive structure. It's clear that the grain growth promotion layer existing in the structure shown in the FIG.

Abstract

An interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces the electromigration problem that is exhibited by prior art interconnect structures, are provided. In accordance with the present invention, a grain growth promotion layer, which promotes the formation of a conductive region within the interconnect structure that has a bamboo microstructure and an average grain size of larger than 0.05 microns is utilized. The inventive structure has improved performance and reliability.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure of the single or dual damascene type in which a grain growth promotion layer is used to provide a conductive region within the interconnect structure that has a bamboo microstructure and an average grain size of larger than 0.05 microns. The presence of the conductive region having such a microstructure and a relatively large average grain size results in a structure that has enhanced performance and reliability. The present invention also relates to a method of fabricating such a semiconductor structure.
  • BACKGROUND OF THE INVENTION
  • Generally, semiconductor devices include a plurality of circuits which form an integrated circuit fabricated on a semiconductor substrate. A complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures. The wiring structure typically includes copper, Cu, since Cu based interconnects provide higher speed signal transmission between large numbers of transistors on a complex semiconductor chip as compared with aluminum, Al,-based interconnects.
  • Within a typical interconnect structure, metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate. Further enhancement of the signal speed and reduction of signals in adjacent metal lines (known as “crosstalk”) are achieved in today's IC product chips by embedding the metal lines and metal vias (e.g., conductive features) in a dielectric material having a dielectric constant of less than silicon dioxide.
  • One major problem with prior art interconnect structures is that the conductive material within the conductive region has a low electromigration resistance due to a high number of electromigration paths inside the conductive region. The high number of paths is believed to be a result of the microstructure and the average grain size of the conductive material. As is known to those skilled in the art, electromigration is predominately driven by (1) interface diffusion between the conductive material and the dielectric cap, and (2) bulk diffusion along the grain boundaries of the conductive material. The electromigration problem is expected to increase in future semiconductor technologies due to the scaling of such devices.
  • In view of the above-mentioned electromigration problem with prior art interconnect structures, there is a continued need to provide interconnect structures where the electromigration has been substantially reduced and/or eliminated.
  • SUMMARY OF THE INVENTION
  • The present invention provides an interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces the electromigration problem that is exhibited by prior art interconnect structures. In accordance with the present invention, this objective is achieved by utilizing a grain growth promotion layer which promotes the formation of a conductive region within the interconnect structure that has a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • The term “bamboo microstructure” is used throughout the instant application to denote that the conductive material of the interconnect is composed of grains all of which are larger than the cross sectional dimensions of the interconnect. A bamboo microstructure is different from a near bamboo microstructure which is a mixture of bamboo and polycrystalline microstructures along the length of the interconnect structure. A bamboo microstructure is also different from polycrystalline microstructures, which are also typically present in interconnect structures. The presence of the conductive region having such a microstructure and a relatively large average grain size results in a structure that has enhanced performance and reliability.
  • In general terms, the inventive interconnect structure comprises: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; a grain growth promotion layer located on said diffusion barrier; and an interconnect conductive material located within the at least one opening, said interconnect conductive material having a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • In some embodiments of the present invention, a plating seed layer is formed on the grain growth promotion layer prior to forming the interconnect conductive material.
  • The present invention contemplates closed-via bottom structures, open-via bottom structures and anchored-via bottom structures.
  • In a preferred embodiment of the present invention, a Cu interconnect structure is provided that includes: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; a grain growth promotion layer located on said diffusion barrier; and a Cu interconnect metal located within the at least one opening, said Cu interconnect metal having a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • In addition to providing the aforementioned interconnect structures, the present invention also provides a method of fabricating the same. In general terms, the method of the present invention includes: forming at least one opening in a dielectric material; forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening; forming a grain growth promotion layer on said diffusion barrier; and forming an interconnect conductive material within said at least one opening atop said grain growth promotion layer, said interconnect conductive material having a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • In a preferred embodiment of the present invention, the inventive method includes the steps of: forming at least one opening in a dielectric material; forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening; forming a grain growth promotion layer on said diffusion barrier; forming a Cu seed layer on said grain growth promotion layer; and forming a Cu interconnect metal from said Cu seed layer, wherein said Cu interconnect metal has a bamboo microstructure and an average grain size of larger than 0.05 microns.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a pictorial representation (through a cross sectional view) illustrating an interconnect structure through initial stages of the inventive method wherein at least one opening is provided in a dielectric material.
  • FIG. 2 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 1 after formation of a diffusion barrier inside the at least the one opening.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 2 after formation of a grain growth promotion layer.
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 3 after formation of a plating seed layer.
  • FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 4 after formation of a conductive material within the at least one opening and subsequent planarization. In the illustrated structure, a closed-via bottom is illustrated on the right hand side.
  • FIGS. 6A and 6B are pictorial representations (through cross sectional views) depicting alternative interconnect structure that can be formed utilizing the method of the present invention; FIG. 6A includes an interconnect structure with an open-via bottom structure, while FIG. 6B includes an interconnect structure with an anchored-via bottom structure.
  • FIG. 7 is an electron micrograph of a region of an inventive interconnect structure.
  • FIG. 8 is an electron micrograph of a region of a prior art interconnect structure.
  • DETAILED DESCRIPTION OF THE PRESENT INVENTION
  • The present invention, which provides an interconnect structure including a grain growth promotion layer and a conductive region having a bamboo microstructure and an average grain size larger than 0.05 microns and a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. The drawings of the present application, which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • The process flow of the present invention begins with providing the initial interconnect structure 10 shown in FIG. 1. Specifically, the initial interconnect structure 10 shown in FIG. 1 comprises a multilevel interconnect including a lower interconnect level 12 and an upper interconnect level 16 that are separated in part by dielectric capping layer 14. The lower interconnect level 12, which may be located above a semiconductor substrate including one or more semiconductor devices, comprises a first dielectric material 18 having at least one conductive feature (i.e., conductive region) 20 that is separated from the first dielectric material 18 by a barrier layer 22. The upper interconnect level 16 comprises a second dielectric material 24 that has at least one opening located therein. In FIG. 1, two openings are shown; reference number 26 denotes a line opening for a single damascene structure, and reference numeral 28A and 28B denote a via opening and a line opening, respectively for a dual damascene structure. Although FIG. 1 illustrates a separate line opening and an opening for a via and a line, the present invention also contemplates cases in which only the line opening is present or cases in which the opening for the combined via and line is present.
  • The initial interconnect structure 10 shown in FIG. 1 is made utilizing standard interconnect processing which is well known in the art. For example, the initial interconnect structure 10 can be formed by first applying the first dielectric material 18 to a surface of a substrate (not shown). The substrate, which is not shown, may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof. When the substrate is comprised of a semiconducting material, any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors may be used. In addition to these listed types of semiconducting materials, the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • When the substrate is an insulating material, the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers. When the substrate is a conducting material, the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride or combinations thereof including multilayers. When the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
  • The first dielectric material 18 of the lower interconnect level 12 may comprise any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics. The first dielectric material 18 may be porous or non-porous. Some examples of suitable dielectrics that can be used as the first dielectric material 18 include, but are not limited to: SiO2, silsesquixoanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, 0 and H, thermosetting polyarylene ethers, or multilayers thereof. The term “polyarylene” is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
  • The first dielectric material 18 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic cross talk as compared with dielectric materials that have a higher dielectric constant than 4.0. The thickness of the first dielectric material 18 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the lower interconnect level 12. Typically, and for normal interconnect structures, the first dielectric material 18 has a thickness from about 200 to about 450 nm.
  • The lower interconnect level 12 also has at least one conductive feature 20 that is embedded in (i.e., located within) the first dielectric material 18. The conductive feature 20 comprises a conductive region that is separated from the first dielectric material 18 by a barrier layer 22. The conductive feature 20 is formed by lithography (i.e., applying a photoresist to the surface of the first dielectric material 18, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer), etching (dry etching or wet etching) an opening in the first dielectric material 18 and filling the etched region with the barrier layer 22 and then with a conductive material forming the conductive region. The barrier layer 22, which may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent conductive material from diffusing there through, is formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • The thickness of the barrier layer 22 may vary depending on the exact means of the deposition process as well as the material employed. Typically, the barrier layer 22 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical.
  • Following the barrier layer 22 formation, the remaining region of the opening within the first dielectric material 18 is filled with a conductive material forming the conductive region. The conductive material used in forming the conductive region includes, for example, polysi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal silicide or combinations thereof. Preferably, the conductive material that is used in forming the conductive region is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention. The conductive material is filled into the remaining opening in the first dielectric material 18 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating. After deposition, a conventional planarization process such as, for example, chemical mechanical polishing (CMP) can be used to provide a structure in which the barrier layer 22 and the conductive feature 20 each have an upper surface that is substantially coplanar with the upper surface of the first dielectric material 18.
  • Although not specifically illustrated, the inventive method described herein below can be used to provide the conductive feature 20 with a bamboo microstructure and an average grain size of larger than 0.05 microns. The term “average grain size” is used throughout this application to denote the average grain size inside the mentioned interconnect conductive material. The average grain size is measured utilizing standard techniques such as, for example, by placing a polished and etched specimen under a microscope and counting the number of grains inside a certain area, that are well known to those skilled in the art. The average grain size inside the microstructure is then calculated based on the known magnification, the number of grains, and the inspected area.
  • After forming the at least one conductive feature 20, the dielectric capping layer 14 is formed on the surface of the lower interconnect level 12 utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition, or evaporation. The dielectric capping layer 14 comprises any suitable dielectric capping material such as, for example, SiC, Si4NH3, SiO2, a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N,H) or multilayers thereof. The thickness of the capping layer 14 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 14 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
  • Next, the upper interconnect level 16 is formed by applying the second dielectric material 24 to the upper exposed surface of the capping layer 14. The second dielectric material 24 may comprise the same or different, preferably the same, dielectric material as that of the first dielectric material 18 of the lower interconnect level 12. The processing techniques and thickness ranges for the first dielectric material 18 are also applicable here for the second dielectric material 24. Next, at least one opening is formed into the second dielectric material 24 utilizing lithography, as described above, and etching. The etching may comprise a dry etching process, a wet chemical etching process or a combination thereof. The term “dry etching” is used herein to denote an etching technique such as reactive-ion etching, ion beam etching, plasma etching or laser ablation. In FIG. 1, two openings are shown; reference number 26 denotes a line opening for a single damascene structure, and reference numeral 28A and 28B denote a via opening and a line opening, respectively for a dual damascene structure. It is again emphasized that the present invention contemplates structures including only opening 26 or openings 28A and 28B.
  • In the instances when a via opening 28A and a line opening 28B are formed, the etching step also removes a portion of the dielectric capping layer 14 that is located atop the conductive feature 20 in order to make electrical contact between interconnect level 12 and level 16.
  • Next, a diffusion barrier 30 having diffusion barrier properties is provided by forming the diffusion barrier 30 on exposed surfaces (including wall surfaces within the opening) on the second dielectric material 24. The resultant structure is shown, for example, in FIG. 2. The diffusion barrier 30 comprises a same or different material as that of barrier layer 22. Thus, diffusion barrier 30 may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent a conductive material from diffusing there through. Combinations of these materials are also contemplated forming a multilayered stacked diffusion barrier. The diffusion barrier 30 is formed utilizing a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • The thickness of the diffusion barrier 30 may vary depending on the number of material layers within the barrier, the technique used in forming the same as well as the material of the diffusion barrier itself. Typically, the diffusion barrier 30 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being even more typical.
  • FIG. 3 shows the structure of FIG. 2 after formation of grain growth promotion layer (GGPL) 32 atop the diffusion barrier 30. The GGPL 32 is comprised of any material, typically a metal or metal alloy, that aids in the formation of a conductive material that has an average grain size of larger than 0.05 microns. Examples of suitable materials for the GGPL 32 include, but are not limited to: Ru, Ir, Rh, Mo, Re, Hf, Nb and alloys thereof. In some embodiments, it is preferred to use Ru, Ir or Rh as the GGPL 32.
  • The GGPL 32 is formed by a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), and physical vapor deposition (PVP). The thickness of the GGPL 32 may vary depending on number of factors including, for example, the compositional material of the GGPL 32 and the technique that was used in forming the same. Typically, the GGPL 32 has a thickness from about 0.5 to about 10 nm, with a thickness of less than 6 nm being even more typical.
  • Without wishing to be bound by any theory, it is believed that the GGPL 32 aids in the formation of the conductive region having a bamboo microstructure and an average grain size of greater than 0.05 microns by a low interfacial energy between the GGPL 32 and later deposited seed layer 34/interconnect conductive material 38.
  • FIG. 4 shows the resultant structure formed after forming a plating seed layer 34. The plating seed layer 34 is optional and need not be used in all instances. Although optional, it is preferred to include a plating seed layer 34 within the structure to aid in growth of the conductive material. This is especially the case when a conductive metal or metal alloy is to be subsequently formed within the at least one opening.
  • When present, the plating seed layer 34 may comprise a conductive metal or metal alloy such as that used in forming the conductive material 38 to be described in greater detail herein below. Typically, and when the conductive material 38 comprises Cu, the plating seed layer comprises Cu, CuAl, Culr, CuTa, CuRh, or other alloys of Cu, i.e., Cu-containing alloys.
  • The plating seed layer 34 is formed by a conventional deposition process including, for example, ALD, CVD, PECVD, PVD, chemical solution deposition and other like deposition processes. The thickness of the plating seed layer 34 may vary and it is within ranges that are well known to those skilled in the art. Typically, the plating seed layer 34 has a thickness from about 2 to about 80 nm.
  • FIG. 5 shows the structure after forming an interconnect conductive material 38 within the at least one opening. The structure shown in FIG. 5 represents one possible embodiment of the present invention, while the structures shown in FIGS. 6A and 6B represent other possible embodiments of the present invention. In FIG. 5, a closed-via bottom structure is shown. In FIG. 6A, the interconnect conductive material 38 is formed within an open-via bottom structure. The open-via structure is formed by removing the diffusion barrier from the bottom of via 28A utilizing ion bombardment or another like directional etching process prior to deposition of the other elements. In FIG. 6B, an anchored-via bottom structure is shown. The anchored-via bottom structure is formed by first etching a recess into the conductive feature 20 utilizing a selective etching process. The diffusion barrier 30 is then formed and it is selectively removed from the bottom portion of the via and recess by utilizing one of the above-mentioned techniques. The other elements, i.e., GGPL 32, plating seed layer 34 and conductive material 38, are then formed within the opening as described herein.
  • In each of the illustrated structures, the interconnect conductive material 38 may comprise the same or different, preferably the same, conductive material as that of the conductive feature 20. Preferably, Cu, Al, W or alloys thereof are used, with Cu or AlCu being most preferred. The conductive material 38 is formed utilizing the same deposition processing as described above in forming the conductive feature 20 and following deposition of the conductive material, the structure is subjected to planarization. The planarization process removes the diffusion barrier 30, GGPL 32, plating seed layer 34 and conductive material 38 that is present above the upper horizontal surface of the upper interconnect level 16.
  • The method of the present application is applicable in forming such a conductive material as a conductive feature in any one or all of the interconnect levels of an interconnect structure. The same basic processing steps can be used to form other semiconductor structures, such as, for example, a field effect transistor, in which the conductive material is a gate electrode that has the inventive microstructure and average grain size.
  • As indicated above, the presence of the GGPL 32 aids in forming a conductive material 38 that has a bamboo microstructure. The term “bamboo microstructure” is a term of art for describing that the conductive material of the interconnect is composed of grains all of which are larger than the cross sectional dimensions of the interconnect. A bamboo microstructure is different from a near bamboo microstructure which is a mixture of bamboo and polycrystalline microstructures along the length of the interconnect structure. In the present invention, the conductive material 38 is also characterized as having an average grain size of larger than 0.05 microns. Typically, the average grain size of the conductive material 38 is from about 0.05 to about 0.5 microns, with an average grain size from about 0.08 to about 0.2 microns being even more typical.
  • The effects of the grain size and morphology of the conductive material 38 within an interconnect structure include the following:
  • I. The relatively large grain size of the conductive material 38 provides a conductive material 38 that has a low number of grain boundaries as compared to other morphologies, a low electron scattering effect (on the order of 10%˜30% less than that of the prior art), and a relatively low electrical resistance (on the order of about 10%˜30% less than that of the prior art. Because of these properties, the interconnect structure of the present invention exhibits better performance than conventional interconnect structure.
  • II. The bamboo microstructure provides less electromigration paths inside the conductive material 38, high electromigration resistance, and can withstand current density (of greater than 6 mA/μm2), and thus, better circuit reliability.
  • Reference is now made to FIGS. 7 and 8 which are electron micrographs of a region of an inventive interconnect structure and a region of a prior art interconnect structure, respectively. The micrographs are cross sectional views that are parallel to the interconnect line. In both instances, Cu was used as the conductive material. In the inventive interconnect structure shown in FIG. 7, Ru was used as the grain growth promotion layer, while such a layer is absent from the prior art interconnect structure. As shown in FIG. 8, the Cu conductive material has an average grain size that is smaller than that of the inventive structure (See FIG. 7), and within the prior art Cu conductive region there appears to a greater number of grain boundaries than in the inventive structure. It's clear that the grain growth promotion layer existing in the structure shown in the FIG. 7 makes the Cu microstructure different, as compared to the one from the prior art shown in FIG. 8, i.e., large grain size with bamboo structure in FIG. 7 vs. small grain size with polycrystalline structure in FIG. 8. Without the grain growth promotion layer existing in the structure shown in FIG. 7, one wouldn't see the Cu microstructure difference between FIG. 7 and FIG. 8.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (30)

1. An interconnect structure comprising:
a dielectric material including at least one opening therein;
a diffusion barrier located within said at least one opening;
a grain growth promotion layer located on said diffusion barrier; and
an interconnect conductive material located within the at least one opening, said interconnect conductive material having a bamboo microstructure and an average grain size of larger than 0.05 microns.
2. The interconnect structure of claim 1 wherein said dielectric material is one of SiO2, a silsesquixoane, a C doped oxide that includes atoms of Si, C, O and H, or a thermosetting polyarylene ether.
3. The interconnect structure of claim 1 wherein said at least one opening is a line opening, a combined line opening and via opening, or combinations thereof.
4. The interconnect structure of claim 1 wherein said grain growth promotion layer comprises Ru, Ir, Rh, Mo, Re, Hf, Nb or alloys thereof.
5. The interconnect structure of claim 4 wherein said grain growth promotion layer comprises Ru, Ir, or Rh.
6. The interconnect structure of claim 1 wherein said grain growth promotion layer has a thickness from about 0.5 to about 10 nm.
7. The interconnect structure of claim 1 wherein said diffusion barrier comprises Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent conductive material from diffusing there through.
8. The interconnect structure of claim 1 further comprising a plating seed layer located between said grain growth promotion layer and said interconnect conductive material.
9. The interconnect structure of claim 8 wherein said plating seed layer comprises Cu or a Cu-containing alloy.
10. The interconnect structure of claim 1 wherein said interconnect conductive material is one of polysi, a conductive metal, an alloys comprising at least one conductive metal, or a conductive metal silicide.
11. The interconnect structure of claim 10 wherein said interconnect conductive material is a conductive metal selected from the group consisting of Cu, Al, W and AlCu.
12. The interconnect structure of claim 1 wherein said interconnect conductive material comprises Cu and said grain growth promotion layer comprises Ru, Ir or Rh.
13. The interconnect structure of claim 1 wherein said interconnect conductive material is present in an open-via bottom or an anchored-via bottom structure.
14. The interconnect structure of claim 1 wherein said interconnect conductive material is present in a closed-bottom via.
15. An interconnect structure comprising:
a dielectric material including at least one opening therein;
a diffusion barrier located within said at least one opening;
a grain growth promotion layer located on said diffusion barrier; and
a Cu interconnect metal located within the at least one opening, said Cu interconnect metal having a bamboo microstructure and an average grain size of larger than 0.05 microns.
16. The interconnect structure of claim 15 wherein said grain growth promotion layer comprises Ru, Ir, Rh, Mo, Re, Hf, Nb or alloys thereof.
17. The interconnect structure of claim 16 wherein said grain growth promotion layer comprises Ru, Ir, or Rh.
18. The interconnect structure of claim 15 wherein said grain growth promotion layer has a thickness from about 0.5 to about 10 nm.
19. The interconnect structure of claim 15 wherein said interconnect conductive material is present in an open-via bottom or an anchored-via bottom structure.
20. The interconnect structure of claim 15 wherein said interconnect conductive material is present in a closed-via bottom structure.
21. A method of forming an interconnect structure comprising:
forming at least one opening in a dielectric material;
forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening;
forming a grain growth promotion layer on said diffusion barrier; and
forming an interconnect conductive material within said at least one opening atop said grain growth promotion layer, said interconnect conductive material having a bamboo microstructure and an average grain size of larger than 0.05 microns.
22. The method of claim 21 wherein said forming said at least one opening comprises lithography and etching one of a line opening, a via opening and a line opening or a combination of said openings.
23. The method of claim 21 wherein said forming said grain growth promotion layer comprises a deposition process.
24. The method of claim 21 further comprising forming a plating seed layer prior to forming said interconnect conductive material, said plating seed layer is formed on a surface of said grain growth promotion layer.
25. The method of claim 21 wherein said interconnect conductive material, said diffusion barrier and said grain growth promotion layer are planarized to provide a planarized structure.
26. The method of claim 21 further comprising removing a portion of said diffusion barrier within a bottom portion of said at least one opening which is in contact with at underlying conductive feature, said removing of said portion of said diffusion barrier is performed prior to forming said grain growth promotion layer.
27. The method of claim 26 wherein said removing comprises ion bombardment or etching.
28. The method of claim 21 further comprising removing a portion of a conductive feature located beneath and in contact with said at least one opening to provide a recessed area within said conductive feature prior to forming said diffusion barrier.
29. The method of claim 28 further comprising removing said diffusion barrier in said recessed area prior to forming said interconnect conductive material within said at least one opening.
30. A method of forming an interconnect structure comprising:
forming at least one opening in a dielectric material;
forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening;
forming a grain growth promotion layer on said diffusion barrier;
forming a Cu seed layer on said grain growth promotion layer; and
forming a Cu interconnect metal from said Cu seed layer, wherein said Cu interconnect metal has a bamboo microstructure and an average grain size of larger than 0.05 microns.
US11/307,761 2006-02-21 2006-02-21 Grain growth promotion layer for semiconductor interconnect structures Active 2026-07-24 US7666787B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/307,761 US7666787B2 (en) 2006-02-21 2006-02-21 Grain growth promotion layer for semiconductor interconnect structures
US12/709,928 US7952146B2 (en) 2006-02-21 2010-02-22 Grain growth promotion layer for semiconductor interconnect structures

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/307,761 US7666787B2 (en) 2006-02-21 2006-02-21 Grain growth promotion layer for semiconductor interconnect structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/709,928 Division US7952146B2 (en) 2006-02-21 2010-02-22 Grain growth promotion layer for semiconductor interconnect structures

Publications (2)

Publication Number Publication Date
US20070197012A1 true US20070197012A1 (en) 2007-08-23
US7666787B2 US7666787B2 (en) 2010-02-23

Family

ID=38428771

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/307,761 Active 2026-07-24 US7666787B2 (en) 2006-02-21 2006-02-21 Grain growth promotion layer for semiconductor interconnect structures
US12/709,928 Active US7952146B2 (en) 2006-02-21 2010-02-22 Grain growth promotion layer for semiconductor interconnect structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/709,928 Active US7952146B2 (en) 2006-02-21 2010-02-22 Grain growth promotion layer for semiconductor interconnect structures

Country Status (1)

Country Link
US (2) US7666787B2 (en)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100193795A1 (en) * 2009-01-28 2010-08-05 Fritzemeier Leslie G Large-grain crystalline thin-film structures and devices and methods for forming the same
US20100270653A1 (en) * 2009-04-24 2010-10-28 Christopher Leitz Crystalline thin-film photovoltaic structures and methods for forming the same
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US20110027937A1 (en) * 2005-08-25 2011-02-03 Fritzemeier Leslie G Methods of forming photovoltaic devices
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US20110049462A1 (en) * 2009-08-28 2011-03-03 International Business Machines Corporation Flat lower bottom electrode for phase change memory cell
US20110062587A1 (en) * 2009-09-16 2011-03-17 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US20110115087A1 (en) * 2009-11-16 2011-05-19 International Business Machines Corporation Self-aligned lower bottom electrode
US20110121252A1 (en) * 2009-11-25 2011-05-26 International Business Machines Corporation Single mask adder phase change memory element
US20110210307A1 (en) * 2009-08-28 2011-09-01 International Business Machines Corporation Chemical mechanical polishing stop layer for fully amorphous phase change memory pore cell
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US8298936B1 (en) 2007-02-01 2012-10-30 Novellus Systems, Inc. Multistep method of depositing metal seed layers
CN103003939A (en) * 2010-07-19 2013-03-27 国际商业机器公司 Method and structure to improve the conductivity of narrow copper filled vias
US8415653B2 (en) 2009-08-28 2013-04-09 International Business Machines Corporation Single mask adder phase change memory element
DE102012216153A1 (en) * 2012-01-19 2013-07-25 Globalfoundries Inc. Semiconductor devices with copper connections and method for their production
US8679972B1 (en) 2001-03-13 2014-03-25 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US8858763B1 (en) 2006-11-10 2014-10-14 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20160372375A1 (en) * 2015-06-18 2016-12-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Superimposed transistors with auto-aligned active zone of the upper transistor
US9793156B1 (en) * 2016-09-12 2017-10-17 International Business Machines Corporation Self-aligned low resistance metallic interconnect structures
US9799605B2 (en) * 2015-11-25 2017-10-24 International Business Machines Corporation Advanced copper interconnects with hybrid microstructure
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9997406B2 (en) * 2016-02-04 2018-06-12 International Business Machines Corporation Columnar interconnects and method of making them
US20190157200A1 (en) * 2017-11-21 2019-05-23 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same
DE112014001729B4 (en) 2013-03-29 2022-08-18 Korea Institute Of Industrial Technology A method of forming a seed layer on a high aspect ratio via and a semiconductor device having a high aspect ratio via

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7928570B2 (en) * 2009-04-16 2011-04-19 International Business Machines Corporation Interconnect structure
US20120273948A1 (en) * 2011-04-27 2012-11-01 Nanya Technology Corporation Integrated circuit structure including a copper-aluminum interconnect and method for fabricating the same
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US10170361B2 (en) 2014-05-28 2019-01-01 International Business Machines Corporation Thin film interconnects with large grains
US10529663B1 (en) 2018-10-14 2020-01-07 International Business Machines Corporation Copper interconnect with filled void
US11742290B2 (en) 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5801413A (en) * 1995-12-19 1998-09-01 Micron Technology, Inc. Container-shaped bottom electrode for integrated circuit capacitor with partially rugged surface
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6323120B1 (en) * 1999-03-18 2001-11-27 Kabushiki Kaisha Kobe Seiko Method of forming a wiring film
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6429523B1 (en) * 2001-01-04 2002-08-06 International Business Machines Corp. Method for forming interconnects on semiconductor substrates and structures formed
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
US20030001190A1 (en) * 2000-11-09 2003-01-02 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US6670270B1 (en) * 1998-03-24 2003-12-30 Nec Electronics Corporation Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
US20040061229A1 (en) * 1998-04-22 2004-04-01 Moslehi Mehrdad M. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6753251B2 (en) * 1998-02-04 2004-06-22 Semitool, Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6768202B2 (en) * 1999-03-23 2004-07-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6812147B2 (en) * 2001-10-11 2004-11-02 Epion Corporation GCIB processing to improve interconnection vias and improved interconnection via
US20050093163A1 (en) * 2002-10-15 2005-05-05 Ho Paul S. Multiple copper vias for integrated circuit metallization
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
US7241677B2 (en) * 2000-05-15 2007-07-10 Asm International N.V. Process for producing integrated circuits including reduction using gaseous organic compounds
US20090035954A1 (en) * 2007-07-31 2009-02-05 International Business Machines Corporation Interconnect structure with grain growth promotion layer and method for forming the same

Patent Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5801413A (en) * 1995-12-19 1998-09-01 Micron Technology, Inc. Container-shaped bottom electrode for integrated circuit capacitor with partially rugged surface
US5930641A (en) * 1995-12-19 1999-07-27 Micron Technology, Inc. Method for forming an integrated circuit container having partially rugged surface
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6429519B1 (en) * 1997-04-03 2002-08-06 International Business Machines Corporation Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries
US6753251B2 (en) * 1998-02-04 2004-06-22 Semitool, Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6670270B1 (en) * 1998-03-24 2003-12-30 Nec Electronics Corporation Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
US20040061229A1 (en) * 1998-04-22 2004-04-01 Moslehi Mehrdad M. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6323120B1 (en) * 1999-03-18 2001-11-27 Kabushiki Kaisha Kobe Seiko Method of forming a wiring film
US6768202B2 (en) * 1999-03-23 2004-07-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
US7241677B2 (en) * 2000-05-15 2007-07-10 Asm International N.V. Process for producing integrated circuits including reduction using gaseous organic compounds
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US20030001190A1 (en) * 2000-11-09 2003-01-02 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US20020171151A1 (en) * 2001-01-04 2002-11-21 International Business Machines Corporation Method for forming interconnects on semiconductor substrates and structures formed
US6570255B2 (en) * 2001-01-04 2003-05-27 International Business Machines Corporation Method for forming interconnects on semiconductor substrates and structures formed
US20020105082A1 (en) * 2001-01-04 2002-08-08 International Business Machines Corporation Method for forming interconnects on semiconductor substrates and structures formed
US6429523B1 (en) * 2001-01-04 2002-08-06 International Business Machines Corp. Method for forming interconnects on semiconductor substrates and structures formed
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US6812147B2 (en) * 2001-10-11 2004-11-02 Epion Corporation GCIB processing to improve interconnection vias and improved interconnection via
US20050093163A1 (en) * 2002-10-15 2005-05-05 Ho Paul S. Multiple copper vias for integrated circuit metallization
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
US20090035954A1 (en) * 2007-07-31 2009-02-05 International Business Machines Corporation Interconnect structure with grain growth promotion layer and method for forming the same

Cited By (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9099535B1 (en) 2001-03-13 2015-08-04 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US8679972B1 (en) 2001-03-13 2014-03-25 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US9508593B1 (en) 2001-03-13 2016-11-29 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8765596B1 (en) 2003-04-11 2014-07-01 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US9117884B1 (en) 2003-04-11 2015-08-25 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20110027937A1 (en) * 2005-08-25 2011-02-03 Fritzemeier Leslie G Methods of forming photovoltaic devices
US7855147B1 (en) * 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US8858763B1 (en) 2006-11-10 2014-10-14 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US8298936B1 (en) 2007-02-01 2012-10-30 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US8449731B1 (en) 2007-05-24 2013-05-28 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US20100193795A1 (en) * 2009-01-28 2010-08-05 Fritzemeier Leslie G Large-grain crystalline thin-film structures and devices and methods for forming the same
US8415187B2 (en) 2009-01-28 2013-04-09 Solexant Corporation Large-grain crystalline thin-film structures and devices and methods for forming the same
US20100270653A1 (en) * 2009-04-24 2010-10-28 Christopher Leitz Crystalline thin-film photovoltaic structures and methods for forming the same
US8471236B2 (en) 2009-08-28 2013-06-25 International Business Machines Corporation Flat lower bottom electrode for phase change memory cell
US8492194B2 (en) 2009-08-28 2013-07-23 International Business Machines Corporation Chemical mechanical polishing stop layer for fully amorphous phase change memory pore cell
US20110049462A1 (en) * 2009-08-28 2011-03-03 International Business Machines Corporation Flat lower bottom electrode for phase change memory cell
US20110210307A1 (en) * 2009-08-28 2011-09-01 International Business Machines Corporation Chemical mechanical polishing stop layer for fully amorphous phase change memory pore cell
US8415653B2 (en) 2009-08-28 2013-04-09 International Business Machines Corporation Single mask adder phase change memory element
US8283650B2 (en) 2009-08-28 2012-10-09 International Business Machines Corporation Flat lower bottom electrode for phase change memory cell
JP2013504886A (en) * 2009-09-16 2013-02-07 インターナショナル・ビジネス・マシーンズ・コーポレーション Interconnect structure and method of forming the same (conductive structure for narrow interconnect openings)
CN102498560A (en) * 2009-09-16 2012-06-13 国际商业机器公司 Conductive structure for narrow interconnect openings
US7956463B2 (en) 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US20110062587A1 (en) * 2009-09-16 2011-03-17 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US8129268B2 (en) * 2009-11-16 2012-03-06 International Business Machines Corporation Self-aligned lower bottom electrode
US9059394B2 (en) 2009-11-16 2015-06-16 International Business Machines Corporation Self-aligned lower bottom electrode
US20110115087A1 (en) * 2009-11-16 2011-05-19 International Business Machines Corporation Self-aligned lower bottom electrode
US20110121252A1 (en) * 2009-11-25 2011-05-26 International Business Machines Corporation Single mask adder phase change memory element
US8395192B2 (en) 2009-11-25 2013-03-12 International Business Machines Corporation Single mask adder phase change memory element
US9392690B2 (en) 2010-07-19 2016-07-12 Globalfoundries Inc. Method and structure to improve the conductivity of narrow copper filled vias
CN103003939A (en) * 2010-07-19 2013-03-27 国际商业机器公司 Method and structure to improve the conductivity of narrow copper filled vias
DE102012216153A1 (en) * 2012-01-19 2013-07-25 Globalfoundries Inc. Semiconductor devices with copper connections and method for their production
DE102012216153B4 (en) 2012-01-19 2021-12-02 Globalfoundries U.S. Inc. Semiconductor components with copper compounds and processes for their manufacture
US9190323B2 (en) 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
DE112014001729B4 (en) 2013-03-29 2022-08-18 Korea Institute Of Industrial Technology A method of forming a seed layer on a high aspect ratio via and a semiconductor device having a high aspect ratio via
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20160372375A1 (en) * 2015-06-18 2016-12-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Superimposed transistors with auto-aligned active zone of the upper transistor
US9852950B2 (en) * 2015-06-18 2017-12-26 Commissariat A L'energie Atomique Et Aux Energies Alternatives Superimposed transistors with auto-aligned active zone of the upper transistor
US9799605B2 (en) * 2015-11-25 2017-10-24 International Business Machines Corporation Advanced copper interconnects with hybrid microstructure
US11881433B2 (en) * 2015-11-25 2024-01-23 Tessera Llc Advanced copper interconnects with hybrid microstructure
US10615074B2 (en) 2015-11-25 2020-04-07 Tessera, Inc. Advanced copper interconnects with hybrid microstructure
US20220165620A1 (en) * 2015-11-25 2022-05-26 Tessera, Inc. Advanced copper interconnects with hybrid microstructure
US9997406B2 (en) * 2016-02-04 2018-06-12 International Business Machines Corporation Columnar interconnects and method of making them
US9793156B1 (en) * 2016-09-12 2017-10-17 International Business Machines Corporation Self-aligned low resistance metallic interconnect structures
CN109817568A (en) * 2017-11-21 2019-05-28 三星电子株式会社 Interconnection and its manufacturing method for integrated circuit
US10763207B2 (en) * 2017-11-21 2020-09-01 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same
US11289419B2 (en) 2017-11-21 2022-03-29 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same
KR102125326B1 (en) * 2017-11-21 2020-06-22 삼성전자주식회사 Interconnects having long grains and methods of manufacturing the same
KR20190058282A (en) * 2017-11-21 2019-05-29 삼성전자주식회사 Interconnects having long grains and methods of manufacturing the same
US20190157200A1 (en) * 2017-11-21 2019-05-23 Samsung Electronics Co., Ltd. Interconnects having long grains and methods of manufacturing the same

Also Published As

Publication number Publication date
US7666787B2 (en) 2010-02-23
US20100148366A1 (en) 2010-06-17
US7952146B2 (en) 2011-05-31

Similar Documents

Publication Publication Date Title
US7952146B2 (en) Grain growth promotion layer for semiconductor interconnect structures
US7956463B2 (en) Large grain size conductive structure for narrow interconnect openings
US7348648B2 (en) Interconnect structure with a barrier-redundancy feature
US8753979B2 (en) Hybrid interconnect structure for performance improvement and reliability enhancement
US8796853B2 (en) Metallic capped interconnect structure with high electromigration resistance and low resistivity
US8242600B2 (en) Redundant metal barrier structure for interconnect applications
US8003524B2 (en) Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US8802559B2 (en) Interconnect structure with an electromigration and stress migration enhancement liner
US8354751B2 (en) Interconnect structure for electromigration enhancement
US20090200668A1 (en) Interconnect structure with high leakage resistance
US20070259519A1 (en) Interconnect metallization process with 100% or greater step coverage
WO2009139962A2 (en) Efficient interconnect structure for electrical fuse applications
US20090072406A1 (en) Interconnect structure with improved electromigration resistance and method of fabricating same
US20160079172A1 (en) Adhesion layer for interconnect structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, CHIH-CHAO;PONOTH, SHOM;REEL/FRAME:017197/0150;SIGNING DATES FROM 20060208 TO 20060209

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION,NEW YO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, CHIH-CHAO;PONOTH, SHOM;SIGNING DATES FROM 20060208 TO 20060209;REEL/FRAME:017197/0150

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12