US20070224803A1 - Methods for etching a dielectric barrier layer with high selectivity - Google Patents

Methods for etching a dielectric barrier layer with high selectivity Download PDF

Info

Publication number
US20070224803A1
US20070224803A1 US11/565,050 US56505006A US2007224803A1 US 20070224803 A1 US20070224803 A1 US 20070224803A1 US 56505006 A US56505006 A US 56505006A US 2007224803 A1 US2007224803 A1 US 2007224803A1
Authority
US
United States
Prior art keywords
dielectric
barrier layer
gas
dielectric barrier
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/565,050
Inventor
Ying Xiao
Gerardo A. Delgadino
Karsten Schnelder
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/565,050 priority Critical patent/US20070224803A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHNEIDER, KARSTEN, DELGADINO, GERARDO A., XIAO, YING
Publication of US20070224803A1 publication Critical patent/US20070224803A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Definitions

  • the present invention generally relates to semiconductor processing technologies and, more specifically, to a method for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer in semiconductor processing.
  • Integrated circuits have evolved into complex devices that can include millions of components (e.g., transistors, capacitors and resistors) on a single chip.
  • components e.g., transistors, capacitors and resistors
  • the evolution of chip designs continually requires faster circuitry and greater circuit density.
  • the demands for greater circuit density necessitate a reduction in the dimensions of the integrated circuit components.
  • metal interconnects with low resistance provide conductive paths between the components on integrated circuits.
  • the metal interconnects are electrically isolated from each other by a dielectric bulk insulating material.
  • a dielectric bulk insulating material When the distance between adjacent metal interconnects and/or the thickness of the dielectric bulk insulating material has sub-micron dimensions, capacitive coupling potentially occurs between such interconnects. Capacitive coupling between adjacent metal interconnects may cause cross talk and/or resistance-capacitance (RC) delay which degrades the overall performance of the integrated circuit.
  • RC resistance-capacitance
  • low dielectric constant bulk insulating materials e.g., dielectric constants less than about 4.0
  • low dielectric constant bulk insulating materials include silicon dioxide (SiO 2 ), silicate glass, fluorosilicate glass (FSG), and carbon doped silicon oxide (SiOC), among others.
  • a dielectric barrier layer often separates the metal interconnects from the dielectric bulk insulating materials.
  • the dielectric barrier layer minimizes the diffusion of the metal into the dielectric bulk insulating material. Diffusion of the metal into the dielectric bulk insulating material is undesirable because such diffusion can affect the electrical performance of the integrated circuit, or render it inoperative.
  • the dielectric layer needs to have a low dielectric constant in order to maintain the low-k characteristic of the dielectric stack between conductive lines.
  • the dielectric barrier layer also acts as an etch-stop layer for a dielectric bulk insulating layer etching process, so that the underlying metal will not be exposed to the etching environment.
  • the dielectric barrier layer has a dielectric constant of about 5.5 or less. Examples of dielectric barrier layer are silicon carbide (SiC) and nitrogen containing silicon carbide (SiCN), among others.
  • Multilevel interconnect structures can have two or more bulk insulating layers, low dielectric barrier layers, and metal layers stacked on top of one another.
  • a dielectric bulk insulating layer 108 with an underlying dielectric barrier layer 106 are stacked on another previously formed interconnect with a conductive layer 104 embedded in another dielectric bulk insulating layer 102 .
  • the exposed dielectric barrier layer 106 defined by the via/trench 110 is subsequently removed to expose the underlying conductive layer 104 so that the following deposited conductive layer 116 can be connected and jointed therethrough, as shown in FIG. 1B .
  • the similarity of the selected materials of the bulk insulating layer 108 and dielectric barrier layer 106 results in similar etch properties therebetween, thereby causing poor selectivity during etching. As shown in FIG.
  • the dielectric bulk insulating layer 108 may be attacked simultaneously by the reactive etchant species, resulting in non-uniformity or tapered profile on the top and/or sidewall of the layer 114 .
  • the underlying dielectric bulk insulating layer 102 may be attacked 112 during etching of the dielectric barrier layer 106 due to poor selectivity to the dielectric bulk insulating layer 102 .
  • a method for etching a dielectric barrier layer includes providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer in a reactor, flowing a gas mixture containing H 2 gas into the reactor, and etching the exposed portion of the dielectric barrier layer selectively to the dielectric bulk insulating layer.
  • a method for etching a dielectric barrier layer includes providing a substrate having a portion of a dielectric barrier layer exposed therethrough a dielectric bulk insulating layer in a reactor, flowing a gas mixture containing H 2 gas and a fluorine containing gas into the reactor, and etching the exposed portion of the dielectric barrier layer in a presence of a plasma formed from the gas mixture.
  • a method for etching a dielectric barrier layer includes providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer in a reactor, wherein the dielectric barrier layer is a carbon containing silicon film, flowing a gas mixture containing H 2 gas, a fluorine containing gas and at least one insert gas into the reactor, and etching the exposed portion of the dielectric layer selectively to the dielectric bulk insulating layer.
  • FIGS. 1A-1D are sectional views of exemplary interconnect structures
  • FIG. 2 is a schematic cross-sectional view of a plasma reactor used according to one embodiment of the invention.
  • FIG. 3 is a flow diagram of one embodiment of a dielectric barrier layer removal process on an interconnect structure according to one embodiment of the invention.
  • FIGS. 4A-4B are sectional views of one embodiment of an interconnect structure having an exposed dielectric barrier layer disposed on a substrate.
  • Embodiments of the present invention include methods for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer.
  • the methods preserve the profile and dimension of the features formed on a substrate with selectively etching the dielectric barrier layer substantially without attacking the adjacent dielectric bulk insulating layer and/or underlying conductive layer and dielectric bulk insulating layer.
  • the high etching selectivity is achieved by using a gas mixture containing hydrogen gas (H 2 ) to etch the dielectric barrier layer.
  • FIG. 2 depicts a schematic, cross-sectional diagram of one embodiment of a plasma source etch reactor 202 suitable for performing the dielectric barrier layer etch according to the present invention.
  • a plasma source etch reactor 202 suitable for performing the dielectric barrier layer etch according to the present invention.
  • One such etch reactor suitable for performing the invention is the ENABLER® processing chamber, available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that the dielectric barrier layer etching process described herein may be performed in other etch reactors, including those from other manufacturers.
  • the reactor 202 includes a process chamber 210 having a conductive chamber wall 230 .
  • the temperature of the chamber wall 230 is controlled using liquid-containing conduits (not shown) that are located in and/or around the wall 230 .
  • the chamber 210 is a high vacuum vessel that is coupled through a throttle valve 227 to a vacuum pump 236 .
  • the chamber wall 230 is connected to an electrical ground 234 .
  • a liner 231 is disposed in the chamber 210 to cover the interior surfaces of the walls 230 .
  • the liner 231 facilitates in-situ self-cleaning capabilities of the chamber 210 , so that byproducts and residues deposited on the liner 231 can be readily removed from the liner 231 .
  • the process chamber 210 also includes a support pedestal 216 and a showerhead 232 .
  • the support pedestal 216 is disposed below the showerhead 232 in a spaced-apart relation.
  • the support pedestal 216 may include an electrostatic chuck 226 for retaining a substrate 200 during processing. Power to the electrostatic chuck 226 is controlled by a DC power supply 220 .
  • the support pedestal 216 is coupled to a radio frequency (RF) bias power source 222 through a matching network 224 .
  • the bias power source 222 is generally capable of producing an RF signal having a tunable frequency of from about 50 kHz to about 60 MHz and a bias power of about 0 to 5,000 Watts.
  • the bias power source 222 may be a DC or pulsed DC source.
  • the temperature of the substrate 200 supported on the support pedestal 216 is at least partially controlled by regulating the temperature of the support pedestal 216 .
  • the support pedestal 216 includes a cooling plate (not shown) having channels formed therein for flowing a coolant.
  • a backside gas such as helium (He) gas, provided from a gas source 248 , fits provided into channels disposed between the back side of the substrate 200 and grooves (not shown) formed in the surface of the electrostatic chuck 226 .
  • the backside He gas provides efficient heat transfer between the pedestal 216 and the substrate 200 .
  • the electrostatic chuck 226 may also include a resistive heater (not shown) within the chuck body to heat the chuck 226 during processing.
  • the substrate 200 is maintained at a temperature of between about 10 to about 500 degrees Celsius.
  • the showerhead 232 is mounted to a lid 213 of the processing chamber 210 .
  • a gas panel 238 is fluidly coupled to a plenum (not shown) defined between the showerhead 232 and the lid 213 .
  • the showerhead 232 includes a plurality of holes to allow gases provided to the plenum from the gas panel 238 to enter the process chamber 210 .
  • the holes in the showerhead 232 may be arranged in different zones such that various gases can be released into the chamber 210 with different volumetric flow rates.
  • the showerhead 232 and/or an upper electrode 228 positioned proximate thereto is coupled to an RF source power 218 through an impedance transformer 219 (e.g., a quarter wavelength matching stub).
  • the RF source power 218 is generally capable of producing an RF signal having a tunable frequency of about 160 MHz and a source power of about 0 to 5,000 Watts.
  • the reactor 202 may also include one or more coil segments or magnets 212 positioned exterior to the chamber wall 230 , near the chamber lid 213 . Power to the coil segment(s) 212 is controlled by a DC power source or a low-frequency AC power source 254 .
  • gas pressure within the interior of the chamber 210 is controlled using the gas panel 238 and the throttle valve 227 .
  • the gas pressure within the interior of the chamber 210 is maintained at about 0.1 to 999 mTorr.
  • a controller 240 including a central processing unit (CPU) 244 , a memory 242 , and support circuits 246 , is coupled to the various components of the reactor 202 to facilitate control of the processes of the present invention.
  • the memory 242 can be any computer-readable medium, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote to the reactor 202 or CPU 244 .
  • the support circuits 246 are coupled to the CPU 244 for supporting the CPU 244 in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • a software routine or a series of program instructions stored in the memory 242 when executed by the CPU 244 , causes the reactor 202 to perform an etch process of the present invention.
  • FIG. 2 only shows one exemplary configuration of various types of plasma reactors that can be used to practice the invention.
  • different types of source power and bias power can be coupled into the plasma chamber using different coupling mechanisms.
  • Using both the source power and the bias power allows independent control of a plasma density and a bias voltage of the substrate with respect to the plasma.
  • the source power may not be needed and the plasma is maintained solely by the bias power.
  • the plasma density can be enhanced by a magnetic field applied to the vacuum chamber using electromagnets driven with a low frequency (e.g., 0.1-0.5 Hertz) AC current source or a DC source.
  • the plasma may be generated in a different chamber from the one in which the substrate is located, e.g., remote plasma source, and the plasma subsequently guided into the chamber using techniques known in the art.
  • FIG. 3 illustrates a flow diagram of one embodiment of a dielectric barrier layer removal process 300 according to one embodiment of the invention.
  • FIGS. 4A-4B are schematic cross-sectional views illustrating the sequence of the dielectric barrier layer removal process 300 .
  • the process 300 may be stored in memory 242 as instructions that executed by the controller 240 to cause the process 300 to be performed in the reactor 202 .
  • the process 300 begins at step 302 by providing a substrate 400 having a dielectric barrier layer in an interconnect structure in the reactor 202 .
  • a dielectric stack 412 is disposed on a layer 402 having at least one conductive layer 404 , such as copper line, disposed therein.
  • the dielectric stack 412 includes a dielectric bulk insulating layer 408 over a dielectric barrier layer 406 .
  • a trench/via 410 is formed in the dielectric bulk insulating layer 408 by a conventional etching process, such as dual damascene etching process.
  • the dielectric bulk insulating layer 408 is a dielectric material having a dielectric constant less than 4.0 (low-k materials). Examples of suitable materials include carbon-containing silicon oxides (SiOC), such as BLACK DIAMOND® dielectric material available from Applied Materials, Inc., and other low-k polymers, such as polyamides.
  • the dielectric barrier layer 406 has a dielectric constant of about 5.5 or less.
  • the dielectric barrier layer 406 is a carbon containing silicon layer (SiC), a nitrogen doped carbon containing silicon layer (SiCN), or the like.
  • the dielectric barrier layer is a SiCN film.
  • An example of the dielectric barrier layer material is BLOK® dielectric material, available from Applied Materials, Inc.
  • the dielectric stack 410 is etched through an opening, thereby defining a feature 410 , such as a trench or via, in the dielectric bulk insulating layer 408 over the dielectric barrier layer 406 .
  • a portion of the dielectric bulk insulating layer 408 is removed to expose a surface 414 of the dielectric barrier layer 406 .
  • a conductive layer 404 present in the layer 402 is below the feature 410 formed in the dielectric barrier layer 406 .
  • the dielectric bulk insulating layer 408 is etched using a plasma formed from fluorine and carbon.
  • the dielectric bulk insulating layer 408 may be etched in an etch chamber, such as the reactor 202 described in FIG. 2 or other suitable reactor.
  • the etch process may be performed by supplying carbon and fluorine containing gas, such as carbon tetrafluoride (CF 4 ), at between about 5 to about 250 sccm, applying a power between about 50 Watt to about 2000 Watt, maintaining a temperature between about 0 degrees Celsius to about 50 Celsius, and controlling process pressure between about 5 mTorr to about 200 mTorr into the reactor.
  • carbon and fluorine containing gas such as carbon tetrafluoride (CF 4 )
  • CF 4 carbon tetrafluoride
  • a carrier gas such as argon (Ar)
  • the carrier gas may be supplied between about 50 to about 500 sccm.
  • a gas mixture containing H 2 gas is supplied into the reactor 202 to etch the exposed dielectric barrier layer 406 defined by the features 410 formed in the dielectric bulk insulating layer 408 .
  • the H 2 gas accompanying the gas mixture promotes etching of the dielectric barrier layer 406 by generating free hydrogen radicals that react with the nitrogen and carbon components of the dielectric barrier layer 406 , thereby selectively decomposing the dielectric barrier layer 406 substantially without etching the dielectric bulk insulating layer 408 .
  • the gas mixture may include, but is not limited to, H 2 gas and a fluorine containing gas.
  • Suitable examples of fluorine containing gas may include, but not limited to, CH 2 F 2 , CHF 3 , CH 3 F, C 2 F 6 , CF 4 , C 3 F 8 , C 4 F 6 , C 4 F 8 , and the like.
  • the gas mixture may include H 2 gas, a fluorine containing gas and at least one insert gas.
  • the insert gas may be selected from a group consisting of argon gas (Ar), helium gas (He), nitric oxide (NO), carbon monoxide (CO), nitrous oxide (N 2 O), oxygen gas (O 2 ), nitrogen gas (N 2 ) and the like.
  • the gas mixture does not include any gases containing oxygen.
  • a pressure of the gas mixture in the etch reactor is regulated between about 10 mTorr to about 200 mTorr, for example, between about 20 mTorr to about 60 mTorr, and the substrate temperature is maintained between about 0 degrees Celsius and about 50 degrees Celsius, for example, between about 0 degrees Celsius and about 25 degrees Celsius.
  • a plasma is formed from the gas mixture to etch the exposed dielectric barrier layer 406 and remove the dielectric barrier layer 406 from above the conductive layer 402 defined by the trench 410 in the dielectric bulk insulating layer 408 on the substrate, as shown in FIG. 4B .
  • RF source power may be applied at a power of about 100 Watts to about 800 Watts to provide a plasma from the gas mixture.
  • the H 2 gas may be provided at a flow rate between about 5 sccm to about 100 sccm, for example, about between about 20 sccm to about 60 sccm.
  • the fluorine containing gas such as CH 2 F 2
  • the fluorine containing gas may be provided at a flow rate at a rate between about 0 sccm to about 80 sccm, for example, between about 10 sccm to about 30 sccm.
  • the insert gas such as Ar or O 2 gas, may be provided at a flow rate between about 50 sccm to about 500 sccm, for example about 100 sccm to about 200 sccm.
  • the etching time may be processed at between about 10 seconds to about 80 seconds.
  • the etching process with the H 2 gas containing gas mixture enables the dielectric barrier layer 406 to be selectively etched in a manner without attacking the adjacent and/or underlying dielectric bulk insulating layer 408 .
  • the etching gas mixture of etching dielectric barrier layer 406 creates a high selectivity to dielectric bulk insulating layer 408 by generating hydrogen free radicals that mostly react with the nitrogen and carbon bonds contained in the dielectric barrier layer 406 , thereby allowing the exposed dielectric barrier layer 406 defined by the trenches 410 to be uniformly etched.
  • the selectivity of the dielectric barrier layer 406 to bulk insulating layer 408 is at least 5, for example, 15.
  • the process of etching the dielectric barrier layer 406 is terminated after reaching an endpoint signaling that the underlying conductive layer 404 has been exposed.
  • the endpoint may be determined by any suitable method. For example, the endpoint may be determined by monitoring optical emissions, expiration of a predefined time period or by another indicator for determining that the dielectric barrier layer 406 to be etched has been sufficiently removed.
  • the present invention provides an improved method for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer.
  • the method advantageously facilitates the profile and dimension of the features in an interconnect structure by selectively etching the dielectric barrier layer defined by the trenches in dielectric bulk insulating layer.

Abstract

Methods for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer are provided. In one embodiment, the method includes providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer in a reactor, flowing a gas mixture containing H2 gas, fluorine containing gas, at least an insert gas into the reactor, and etching the exposed portion of the dielectric barrier layer selectively to the dielectric bulk insulating layer.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 11,388,246, filed Mar. 22, 2006, which is incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to semiconductor processing technologies and, more specifically, to a method for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer in semiconductor processing.
  • 2. Description of the Related Art
  • Integrated circuits have evolved into complex devices that can include millions of components (e.g., transistors, capacitors and resistors) on a single chip. The evolution of chip designs continually requires faster circuitry and greater circuit density. The demands for greater circuit density necessitate a reduction in the dimensions of the integrated circuit components.
  • As the dimensions of the integrated circuit components are reduced (e.g. sub-micron dimensions), the materials used to fabricate such components contribute to their electrical performance. For example, metal interconnects with low resistance (e.g., copper and aluminum) provide conductive paths between the components on integrated circuits.
  • Typically, the metal interconnects are electrically isolated from each other by a dielectric bulk insulating material. When the distance between adjacent metal interconnects and/or the thickness of the dielectric bulk insulating material has sub-micron dimensions, capacitive coupling potentially occurs between such interconnects. Capacitive coupling between adjacent metal interconnects may cause cross talk and/or resistance-capacitance (RC) delay which degrades the overall performance of the integrated circuit.
  • In order to minimize capacitive coupling between adjacent metal interconnects, low dielectric constant bulk insulating materials (e.g., dielectric constants less than about 4.0) are needed. Examples of low dielectric constant bulk insulating materials include silicon dioxide (SiO2), silicate glass, fluorosilicate glass (FSG), and carbon doped silicon oxide (SiOC), among others.
  • In addition, a dielectric barrier layer often separates the metal interconnects from the dielectric bulk insulating materials. The dielectric barrier layer minimizes the diffusion of the metal into the dielectric bulk insulating material. Diffusion of the metal into the dielectric bulk insulating material is undesirable because such diffusion can affect the electrical performance of the integrated circuit, or render it inoperative. The dielectric layer needs to have a low dielectric constant in order to maintain the low-k characteristic of the dielectric stack between conductive lines. The dielectric barrier layer also acts as an etch-stop layer for a dielectric bulk insulating layer etching process, so that the underlying metal will not be exposed to the etching environment. The dielectric barrier layer has a dielectric constant of about 5.5 or less. Examples of dielectric barrier layer are silicon carbide (SiC) and nitrogen containing silicon carbide (SiCN), among others.
  • Some integrated circuit components include multilevel interconnect structures (e.g., dual damascene structures). Multilevel interconnect structures can have two or more bulk insulating layers, low dielectric barrier layers, and metal layers stacked on top of one another. As an exemplary dual damascene structure shown in FIG. 1A, a dielectric bulk insulating layer 108 with an underlying dielectric barrier layer 106 are stacked on another previously formed interconnect with a conductive layer 104 embedded in another dielectric bulk insulating layer 102. As a via/trench etching process is completed and a via/trench 110 is defined on the dielectric bulk insulating layer 108, the exposed dielectric barrier layer 106 defined by the via/trench 110 is subsequently removed to expose the underlying conductive layer 104 so that the following deposited conductive layer 116 can be connected and jointed therethrough, as shown in FIG. 1B. However, the similarity of the selected materials of the bulk insulating layer 108 and dielectric barrier layer 106 results in similar etch properties therebetween, thereby causing poor selectivity during etching. As shown in FIG. 1C, as the dielectric barrier layer 106 is etched, the dielectric bulk insulating layer 108 may be attacked simultaneously by the reactive etchant species, resulting in non-uniformity or tapered profile on the top and/or sidewall of the layer 114. In embodiments where the underlying conductive layer 104 is not aligned with the trench opening 110, as shown in FIG. 1D, the underlying dielectric bulk insulating layer 102 may be attacked 112 during etching of the dielectric barrier layer 106 due to poor selectivity to the dielectric bulk insulating layer 102.
  • Therefore, there is a need for a method of etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer.
  • SUMMARY OF THE INVENTION
  • Methods for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer are provided in the present invention. In one embodiment, a method for etching a dielectric barrier layer includes providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer in a reactor, flowing a gas mixture containing H2 gas into the reactor, and etching the exposed portion of the dielectric barrier layer selectively to the dielectric bulk insulating layer.
  • In another embodiment, a method for etching a dielectric barrier layer includes providing a substrate having a portion of a dielectric barrier layer exposed therethrough a dielectric bulk insulating layer in a reactor, flowing a gas mixture containing H2 gas and a fluorine containing gas into the reactor, and etching the exposed portion of the dielectric barrier layer in a presence of a plasma formed from the gas mixture.
  • In yet another embodiment, a method for etching a dielectric barrier layer includes providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer in a reactor, wherein the dielectric barrier layer is a carbon containing silicon film, flowing a gas mixture containing H2 gas, a fluorine containing gas and at least one insert gas into the reactor, and etching the exposed portion of the dielectric layer selectively to the dielectric bulk insulating layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • FIGS. 1A-1D are sectional views of exemplary interconnect structures;
  • FIG. 2 is a schematic cross-sectional view of a plasma reactor used according to one embodiment of the invention;
  • FIG. 3 is a flow diagram of one embodiment of a dielectric barrier layer removal process on an interconnect structure according to one embodiment of the invention; and
  • FIGS. 4A-4B are sectional views of one embodiment of an interconnect structure having an exposed dielectric barrier layer disposed on a substrate.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention include methods for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer. The methods preserve the profile and dimension of the features formed on a substrate with selectively etching the dielectric barrier layer substantially without attacking the adjacent dielectric bulk insulating layer and/or underlying conductive layer and dielectric bulk insulating layer. The high etching selectivity is achieved by using a gas mixture containing hydrogen gas (H2) to etch the dielectric barrier layer.
  • FIG. 2 depicts a schematic, cross-sectional diagram of one embodiment of a plasma source etch reactor 202 suitable for performing the dielectric barrier layer etch according to the present invention. One such etch reactor suitable for performing the invention is the ENABLER® processing chamber, available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that the dielectric barrier layer etching process described herein may be performed in other etch reactors, including those from other manufacturers.
  • In one embodiment, the reactor 202 includes a process chamber 210 having a conductive chamber wall 230. The temperature of the chamber wall 230 is controlled using liquid-containing conduits (not shown) that are located in and/or around the wall 230.
  • The chamber 210 is a high vacuum vessel that is coupled through a throttle valve 227 to a vacuum pump 236. The chamber wall 230 is connected to an electrical ground 234. A liner 231 is disposed in the chamber 210 to cover the interior surfaces of the walls 230. The liner 231 facilitates in-situ self-cleaning capabilities of the chamber 210, so that byproducts and residues deposited on the liner 231 can be readily removed from the liner 231.
  • The process chamber 210 also includes a support pedestal 216 and a showerhead 232. The support pedestal 216 is disposed below the showerhead 232 in a spaced-apart relation. The support pedestal 216 may include an electrostatic chuck 226 for retaining a substrate 200 during processing. Power to the electrostatic chuck 226 is controlled by a DC power supply 220.
  • The support pedestal 216 is coupled to a radio frequency (RF) bias power source 222 through a matching network 224. The bias power source 222 is generally capable of producing an RF signal having a tunable frequency of from about 50 kHz to about 60 MHz and a bias power of about 0 to 5,000 Watts. Optionally, the bias power source 222 may be a DC or pulsed DC source.
  • The temperature of the substrate 200 supported on the support pedestal 216 is at least partially controlled by regulating the temperature of the support pedestal 216. In one embodiment, the support pedestal 216 includes a cooling plate (not shown) having channels formed therein for flowing a coolant. In addition, a backside gas, such as helium (He) gas, provided from a gas source 248, fits provided into channels disposed between the back side of the substrate 200 and grooves (not shown) formed in the surface of the electrostatic chuck 226. The backside He gas provides efficient heat transfer between the pedestal 216 and the substrate 200. The electrostatic chuck 226 may also include a resistive heater (not shown) within the chuck body to heat the chuck 226 during processing. In one embodiment, the substrate 200 is maintained at a temperature of between about 10 to about 500 degrees Celsius.
  • The showerhead 232 is mounted to a lid 213 of the processing chamber 210. A gas panel 238 is fluidly coupled to a plenum (not shown) defined between the showerhead 232 and the lid 213. The showerhead 232 includes a plurality of holes to allow gases provided to the plenum from the gas panel 238 to enter the process chamber 210. The holes in the showerhead 232 may be arranged in different zones such that various gases can be released into the chamber 210 with different volumetric flow rates.
  • The showerhead 232 and/or an upper electrode 228 positioned proximate thereto is coupled to an RF source power 218 through an impedance transformer 219 (e.g., a quarter wavelength matching stub). The RF source power 218 is generally capable of producing an RF signal having a tunable frequency of about 160 MHz and a source power of about 0 to 5,000 Watts.
  • The reactor 202 may also include one or more coil segments or magnets 212 positioned exterior to the chamber wall 230, near the chamber lid 213. Power to the coil segment(s) 212 is controlled by a DC power source or a low-frequency AC power source 254.
  • During substrate processing, gas pressure within the interior of the chamber 210 is controlled using the gas panel 238 and the throttle valve 227. In one embodiment, the gas pressure within the interior of the chamber 210 is maintained at about 0.1 to 999 mTorr.
  • A controller 240, including a central processing unit (CPU) 244, a memory 242, and support circuits 246, is coupled to the various components of the reactor 202 to facilitate control of the processes of the present invention. The memory 242 can be any computer-readable medium, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote to the reactor 202 or CPU 244. The support circuits 246 are coupled to the CPU 244 for supporting the CPU 244 in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. A software routine or a series of program instructions stored in the memory 242, when executed by the CPU 244, causes the reactor 202 to perform an etch process of the present invention.
  • FIG. 2 only shows one exemplary configuration of various types of plasma reactors that can be used to practice the invention. For example, different types of source power and bias power can be coupled into the plasma chamber using different coupling mechanisms. Using both the source power and the bias power allows independent control of a plasma density and a bias voltage of the substrate with respect to the plasma. In some applications, the source power may not be needed and the plasma is maintained solely by the bias power. The plasma density can be enhanced by a magnetic field applied to the vacuum chamber using electromagnets driven with a low frequency (e.g., 0.1-0.5 Hertz) AC current source or a DC source. In other applications, the plasma may be generated in a different chamber from the one in which the substrate is located, e.g., remote plasma source, and the plasma subsequently guided into the chamber using techniques known in the art.
  • FIG. 3 illustrates a flow diagram of one embodiment of a dielectric barrier layer removal process 300 according to one embodiment of the invention. FIGS. 4A-4B are schematic cross-sectional views illustrating the sequence of the dielectric barrier layer removal process 300. The process 300 may be stored in memory 242 as instructions that executed by the controller 240 to cause the process 300 to be performed in the reactor 202.
  • The process 300 begins at step 302 by providing a substrate 400 having a dielectric barrier layer in an interconnect structure in the reactor 202. A dielectric stack 412, as shown in FIG. 4A, is disposed on a layer 402 having at least one conductive layer 404, such as copper line, disposed therein. The dielectric stack 412 includes a dielectric bulk insulating layer 408 over a dielectric barrier layer 406. A trench/via 410 is formed in the dielectric bulk insulating layer 408 by a conventional etching process, such as dual damascene etching process. In one embodiment, the dielectric bulk insulating layer 408 is a dielectric material having a dielectric constant less than 4.0 (low-k materials). Examples of suitable materials include carbon-containing silicon oxides (SiOC), such as BLACK DIAMOND® dielectric material available from Applied Materials, Inc., and other low-k polymers, such as polyamides.
  • The dielectric barrier layer 406 has a dielectric constant of about 5.5 or less. In one embodiment, the dielectric barrier layer 406 is a carbon containing silicon layer (SiC), a nitrogen doped carbon containing silicon layer (SiCN), or the like. In the embodiment depicted in FIG. 4A, the dielectric barrier layer is a SiCN film. An example of the dielectric barrier layer material is BLOK® dielectric material, available from Applied Materials, Inc.
  • In the embodiment depicted in FIG. 4A, the dielectric stack 410 is etched through an opening, thereby defining a feature 410, such as a trench or via, in the dielectric bulk insulating layer 408 over the dielectric barrier layer 406. A portion of the dielectric bulk insulating layer 408 is removed to expose a surface 414 of the dielectric barrier layer 406. A conductive layer 404 present in the layer 402 is below the feature 410 formed in the dielectric barrier layer 406. In one embodiment, the dielectric bulk insulating layer 408 is etched using a plasma formed from fluorine and carbon. The dielectric bulk insulating layer 408 may be etched in an etch chamber, such as the reactor 202 described in FIG. 2 or other suitable reactor.
  • In one embodiment, the etch process may be performed by supplying carbon and fluorine containing gas, such as carbon tetrafluoride (CF4), at between about 5 to about 250 sccm, applying a power between about 50 Watt to about 2000 Watt, maintaining a temperature between about 0 degrees Celsius to about 50 Celsius, and controlling process pressure between about 5 mTorr to about 200 mTorr into the reactor. In another embodiment, at least a carrier gas, such as argon (Ar), may also be supplied accompanying with the carbon and fluorine containing gas into the reactor. The carrier gas may be supplied between about 50 to about 500 sccm.
  • At step 304, a gas mixture containing H2 gas is supplied into the reactor 202 to etch the exposed dielectric barrier layer 406 defined by the features 410 formed in the dielectric bulk insulating layer 408. The H2 gas accompanying the gas mixture promotes etching of the dielectric barrier layer 406 by generating free hydrogen radicals that react with the nitrogen and carbon components of the dielectric barrier layer 406, thereby selectively decomposing the dielectric barrier layer 406 substantially without etching the dielectric bulk insulating layer 408. In one embodiment, the gas mixture may include, but is not limited to, H2 gas and a fluorine containing gas. Suitable examples of fluorine containing gas may include, but not limited to, CH2F2, CHF3, CH3F, C2F6, CF4, C3F8, C4F6, C4F8, and the like. In another embodiment, the gas mixture may include H2 gas, a fluorine containing gas and at least one insert gas. The insert gas may be selected from a group consisting of argon gas (Ar), helium gas (He), nitric oxide (NO), carbon monoxide (CO), nitrous oxide (N2O), oxygen gas (O2), nitrogen gas (N2) and the like. In embodiments preventing the underlying conductive layer 404 from oxidizing during the etching process, the gas mixture does not include any gases containing oxygen.
  • Several process parameters are regulated at step 304 while the gas mixture is supplied into the etch reactor. In one embodiment, a pressure of the gas mixture in the etch reactor is regulated between about 10 mTorr to about 200 mTorr, for example, between about 20 mTorr to about 60 mTorr, and the substrate temperature is maintained between about 0 degrees Celsius and about 50 degrees Celsius, for example, between about 0 degrees Celsius and about 25 degrees Celsius.
  • At step 306, a plasma is formed from the gas mixture to etch the exposed dielectric barrier layer 406 and remove the dielectric barrier layer 406 from above the conductive layer 402 defined by the trench 410 in the dielectric bulk insulating layer 408 on the substrate, as shown in FIG. 4B. In one embodiment, RF source power may be applied at a power of about 100 Watts to about 800 Watts to provide a plasma from the gas mixture. The H2 gas may be provided at a flow rate between about 5 sccm to about 100 sccm, for example, about between about 20 sccm to about 60 sccm. The fluorine containing gas, such as CH2F2, may be provided at a flow rate at a rate between about 0 sccm to about 80 sccm, for example, between about 10 sccm to about 30 sccm. The insert gas, such as Ar or O2 gas, may be provided at a flow rate between about 50 sccm to about 500 sccm, for example about 100 sccm to about 200 sccm. The etching time may be processed at between about 10 seconds to about 80 seconds.
  • The etching process with the H2 gas containing gas mixture enables the dielectric barrier layer 406 to be selectively etched in a manner without attacking the adjacent and/or underlying dielectric bulk insulating layer 408. The etching gas mixture of etching dielectric barrier layer 406 creates a high selectivity to dielectric bulk insulating layer 408 by generating hydrogen free radicals that mostly react with the nitrogen and carbon bonds contained in the dielectric barrier layer 406, thereby allowing the exposed dielectric barrier layer 406 defined by the trenches 410 to be uniformly etched. In one embodiment, the selectivity of the dielectric barrier layer 406 to bulk insulating layer 408 is at least 5, for example, 15.
  • The process of etching the dielectric barrier layer 406 is terminated after reaching an endpoint signaling that the underlying conductive layer 404 has been exposed. The endpoint may be determined by any suitable method. For example, the endpoint may be determined by monitoring optical emissions, expiration of a predefined time period or by another indicator for determining that the dielectric barrier layer 406 to be etched has been sufficiently removed.
  • Thus, the present invention provides an improved method for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer. The method advantageously facilitates the profile and dimension of the features in an interconnect structure by selectively etching the dielectric barrier layer defined by the trenches in dielectric bulk insulating layer.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (18)

1. A method for etching a dielectric barrier layer in an interconnect structure, comprising:
providing a substrate having a portion of a dielectric barrier layer having a dielectric constant less than 5.5 exposed through a dielectric bulk insulating layer in a reactor;
forming a plasma from a gas mixture containing at least H2 gas in the reactor; and
etching the exposed portion of the dielectric barrier layer selectively to the dielectric bulk insulating layer with the plasma formed in the reactor, wherein the selectivity is at least 5.
2. The method of claim 1, wherein the gas mixture from which the plasma is formed further comprises:
a fluorine containing gas.
3. The method of claim 1, wherein the gas mixture from which the plasma is formed further comprises:
at least one insert gas.
4. The method of claim 1, wherein the dielectric barrier layer selectively to the dielectric bulk insulating layer is 15.
5. The method of claim 1, wherein the step of etching further comprises:
maintaining a process pressure at between about 10 mTorr to about 200 mTorr;
controlling substrate temperature between about 0 degrees Celsius to about 50 degrees Celsius; and
applying a plasma power between about 100 Watts to about 800 Watts.
6. The method of claim 2, wherein the fluorine containing gas is at least one of CH2F2, CHF3, CH3F, C2F6, CF4 or C3F8.
7. The method of claim 3, wherein the insert gas is at least one of Ar, O2, CO, NO, N2O, He or N2.
8. The method of claim 1, wherein the dielectric insulating layer has a dielectric constant less then 4.
9. The method of claim 1, wherein the dielectric layer is a carbon containing silicon film.
10. The method of claim 1, further comprising:
removing the exposed dielectric barrier layer; and
exposing an underlying conductive layer disposed below the dielectric barrier layer on the substrate.
11. A method for etching a dielectric barrier layer in an interconnect structure, comprising:
providing a substrate having a portion of a dielectric barrier layer having a dielectric constant less than 5.5 exposed through a dielectric bulk insulating layer having a dielectric constant less then 4 in a reactor;
flowing a gas mixture containing H2 gas and a fluorine containing gas into the reactor;
etching the exposed portion of the dielectric barrier layer in a presence of a plasma formed from the gas mixture; and
exposing an underlying conductive layer disposed below the dielectric barrier layer on the substrate.
12. The method of claim 11, wherein the fluorine containing gas is at least one of CH2F2, CHF3, CH3F, C2F6, CF4 and C3F8.
13. The method of claim 12, wherein the gas mixture further comprises:
an insert gas selected from a group consisting of Ar, O2, CO, NO, N2O, He and N2.
14. The method of claim 11, wherein the step of flowing a gas mixture further comprises:
maintaining a process pressure at between about 10 mTorr to about 200 mTorr;
controlling substrate temperature between about 0 degree Celsius to about 50 degree Celsius; and
applying a plasma at between about 100 Watts to about 800 Watts.
15. The method of claim 11, wherein the dielectric barrier layer is a carbon containing silicon film.
16. A method for etching a dielectric barrier layer in an interconnect structure, comprising:
providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer having a dielectric constant less then 4 in a reactor, wherein the dielectric barrier layer is a carbon containing silicon film;
flowing a gas mixture containing H2 gas and a fluorine containing gas into the reactor; and
forming a plasma from the gas mixture in the reactor; and
etching the exposed portion of the dielectric barrier layer selectively to the dielectric bulk insulating layer with the plasma formed in the reactor, wherein the selectivity is at least 5.
17. The method of claim 16, wherein the step of flowing a gas mixture further comprises:
flowing the H2 gas at a flow rate between about 5 sccm to about 100 sccm;
flowing the fluorine containing gas at a rate between about 0 sccm to about 80 sccm, wherein the fluorine containing gas is selected from a group consisting of CH2F2, CHF3, CH3F, C2F6, CF4 and C3F8; and
flowing the insert gas at a flow rate between about 50 sccm to 500 sccm, wherein the insert gas is selected from a group consisting Ar, O2, CO, NO, N2O, He and N2.
18. The method of claim 16, wherein the step of flowing the gas mixture further comprises:
maintaining a process pressure at between about 10 mTorr to about 200 mTorr;
controlling substrate temperature between about 0 degrees Celsius to about 50 degrees Celsius; and
applying a plasma at between about 100 Watts to about 800 Watts.
US11/565,050 2006-03-22 2006-11-30 Methods for etching a dielectric barrier layer with high selectivity Abandoned US20070224803A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/565,050 US20070224803A1 (en) 2006-03-22 2006-11-30 Methods for etching a dielectric barrier layer with high selectivity

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/388,246 US7977245B2 (en) 2006-03-22 2006-03-22 Methods for etching a dielectric barrier layer with high selectivity
US11/565,050 US20070224803A1 (en) 2006-03-22 2006-11-30 Methods for etching a dielectric barrier layer with high selectivity

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/388,246 Continuation US7977245B2 (en) 2006-03-22 2006-03-22 Methods for etching a dielectric barrier layer with high selectivity

Publications (1)

Publication Number Publication Date
US20070224803A1 true US20070224803A1 (en) 2007-09-27

Family

ID=38523179

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/388,246 Expired - Fee Related US7977245B2 (en) 2006-03-22 2006-03-22 Methods for etching a dielectric barrier layer with high selectivity
US11/565,050 Abandoned US20070224803A1 (en) 2006-03-22 2006-11-30 Methods for etching a dielectric barrier layer with high selectivity

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/388,246 Expired - Fee Related US7977245B2 (en) 2006-03-22 2006-03-22 Methods for etching a dielectric barrier layer with high selectivity

Country Status (6)

Country Link
US (2) US7977245B2 (en)
EP (1) EP2008298A2 (en)
JP (1) JP2009530871A (en)
KR (1) KR101083211B1 (en)
CN (1) CN101405844A (en)
WO (1) WO2007109522A2 (en)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102832118B (en) * 2012-09-11 2015-02-18 上海华力微电子有限公司 Method for etching bottom anti-reflective coating in dual damascene structure
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
DE102014111781B4 (en) * 2013-08-19 2022-08-11 Korea Atomic Energy Research Institute Process for the electrochemical production of a silicon layer
CN104956476B (en) * 2013-11-06 2017-11-14 马特森技术有限公司 New mask minimizing technology strategy for vertical nand device
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN110088882B (en) 2016-12-14 2023-05-26 玛特森技术公司 Atomic layer etching process using plasma in combination with rapid thermal activation process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11728212B2 (en) * 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950126A (en) * 1996-12-03 1999-09-07 Nokia Telecommunications Oy Network operator controlled usage of long distance carriers
US6137126A (en) * 1999-08-17 2000-10-24 Advanced Micro Devices, Inc. Method to reduce gate-to-local interconnect capacitance using a low dielectric constant material for LDD spacer
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6406978B1 (en) * 2000-11-03 2002-06-18 United Microelectronics Corp. Method of removing silicon carbide
US20020102856A1 (en) * 2001-01-31 2002-08-01 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US20030068881A1 (en) * 2001-10-09 2003-04-10 Applied Materials, Inc. Method of depositing low k barrier layers
US20030111181A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US20040157453A1 (en) * 2002-12-31 2004-08-12 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US20040157486A1 (en) * 2003-01-23 2004-08-12 Fci Americas Technology Electrical connector having connector position assurance member
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US20040180556A1 (en) * 2003-03-11 2004-09-16 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US20040206725A1 (en) * 2001-08-31 2004-10-21 Takashi Fuse Method for etching object to be processed
US20050029229A1 (en) * 2003-08-08 2005-02-10 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050059234A1 (en) * 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000020770A1 (en) 1998-10-08 2000-04-13 Imo Industries, Inc. Universal joint for vehicle steering systems
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
JP3914452B2 (en) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
US6753260B1 (en) 2001-10-05 2004-06-22 Taiwan Semiconductor Manufacturing Company Composite etching stop in semiconductor process integration
JP3616615B2 (en) * 2002-06-06 2005-02-02 沖電気工業株式会社 Manufacturing method of semiconductor device
JP2004235361A (en) * 2003-01-29 2004-08-19 Nec Electronics Corp Method of manufacturing semiconductor device and semiconductor device manufacturing equipment
JP2004296835A (en) * 2003-03-27 2004-10-21 Applied Materials Inc Method for constructing damascene structure
US7015133B2 (en) 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
US7288482B2 (en) * 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950126A (en) * 1996-12-03 1999-09-07 Nokia Telecommunications Oy Network operator controlled usage of long distance carriers
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6137126A (en) * 1999-08-17 2000-10-24 Advanced Micro Devices, Inc. Method to reduce gate-to-local interconnect capacitance using a low dielectric constant material for LDD spacer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6406978B1 (en) * 2000-11-03 2002-06-18 United Microelectronics Corp. Method of removing silicon carbide
US20020102856A1 (en) * 2001-01-31 2002-08-01 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
US20040206725A1 (en) * 2001-08-31 2004-10-21 Takashi Fuse Method for etching object to be processed
US20030068881A1 (en) * 2001-10-09 2003-04-10 Applied Materials, Inc. Method of depositing low k barrier layers
US20030111181A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US20030228768A1 (en) * 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
US20040157453A1 (en) * 2002-12-31 2004-08-12 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US20040157486A1 (en) * 2003-01-23 2004-08-12 Fci Americas Technology Electrical connector having connector position assurance member
US20040180556A1 (en) * 2003-03-11 2004-09-16 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US20050029229A1 (en) * 2003-08-08 2005-02-10 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050059234A1 (en) * 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry

Also Published As

Publication number Publication date
WO2007109522A3 (en) 2008-03-20
US7977245B2 (en) 2011-07-12
KR101083211B1 (en) 2011-11-11
CN101405844A (en) 2009-04-08
US20070224807A1 (en) 2007-09-27
JP2009530871A (en) 2009-08-27
KR20080106290A (en) 2008-12-04
WO2007109522A2 (en) 2007-09-27
EP2008298A2 (en) 2008-12-31

Similar Documents

Publication Publication Date Title
US7977245B2 (en) Methods for etching a dielectric barrier layer with high selectivity
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US6921727B2 (en) Method for modifying dielectric characteristics of dielectric layers
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US7132369B2 (en) Method of forming a low-K dual damascene interconnect structure
KR100849707B1 (en) Selective etching of carbon-doped low-k dielectrics
US20070224827A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
US20060102197A1 (en) Post-etch treatment to remove residues
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
JP4451934B2 (en) Method and integrated circuit for etching a conductive layer
US7718543B2 (en) Two step etching of a bottom anti-reflective coating layer in dual damascene application
US20080102638A1 (en) Etch depth control for dual damascene fabrication process
US20090117745A1 (en) Methods for selectively etching a barrier layer in dual damascene applications
US7585778B2 (en) Method of etching an organic low-k dielectric material
US7393795B2 (en) Methods for post-etch deposition of a dielectric film
US20080203056A1 (en) Methods for etching high aspect ratio features

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIAO, YING;DELGADINO, GERARDO A.;SCHNEIDER, KARSTEN;REEL/FRAME:018567/0263;SIGNING DATES FROM 20060519 TO 20060606

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION