US20070237970A1 - Diffusion barrier with low dielectric constant and semiconductor device containing same - Google Patents

Diffusion barrier with low dielectric constant and semiconductor device containing same Download PDF

Info

Publication number
US20070237970A1
US20070237970A1 US11/763,829 US76382907A US2007237970A1 US 20070237970 A1 US20070237970 A1 US 20070237970A1 US 76382907 A US76382907 A US 76382907A US 2007237970 A1 US2007237970 A1 US 2007237970A1
Authority
US
United States
Prior art keywords
atoms
diffusion barrier
precursor gas
electronic device
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/763,829
Inventor
Stephan Cohen
Stephen Gates
Alfred Grill
Vishnubhai Patel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Alsephina Innovations Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/763,829 priority Critical patent/US20070237970A1/en
Publication of US20070237970A1 publication Critical patent/US20070237970A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to ALSEPHINA INNOVATIONS INC. reassignment ALSEPHINA INNOVATIONS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12535Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.] with additional, spatially distinct nonmetal component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]

Definitions

  • the present invention relates to a diffusion barrier having a low-dielectric constant (on the order of less than 3.5), the method of fabricating such a diffusion barrier and electronic devices that contain the inventive diffusion barrier therein. More particularly, the present invention provides a low-dielectric constant barrier to copper (i.e., Cu) and/or oxygen diffusion that is useful in back-end-of-the-line (BEOL) wiring structures of very large scale integration (VLSI) devices.
  • Cu copper
  • BEOL back-end-of-the-line
  • semiconductor devices include a plurality of integrated circuits (ICs).
  • ICs can be useful for many applications including computers and electronic equipment and they can contain millions of transistors and other circuit elements that can be fabricated on a single semiconductor chip.
  • ICs can be useful for many applications including computers and electronic equipment and they can contain millions of transistors and other circuit elements that can be fabricated on a single semiconductor chip.
  • a complex of signal paths will typically be routed to connect the circuit elements distributed on the surface of the semiconductor device. Efficient routing of these signals across the device can become more difficult as the complexity and number of ICs are increased.
  • the formation of multi-level or multi-layered interconnect schemes have become more desirable due to their efficacy in providing high-speed signal routing patterns between a large number of transistors on a single semiconductor chip.
  • the preferred interconnect metal is copper, and the preferred fabrication method is the dual damascene approach.
  • an insulating material (oftentimes referred to as a dielectric material) such as SiO 2 , will normally be patterned with several thousand openings to create openings for conductive lines and/or vias using photolithography and etching. These openings formed into the dielectric material are typically filled with a conductive material such as Cu or Al to interconnect the active device regions of the ICs. After the filling process, the semiconductor device is generally planarized.
  • a dielectric material such as SiO 2
  • a second dielectric material is formed over the diffusion barrier layer and openings for vias and lines are created in the second dielectric material as discussed above.
  • Another conductive material is then formed within the openings, the structure is planarized and another diffusion barrier layer is then formed atop the planarized structure.
  • a third dielectric may be formed atop the barrier layer and then the process described above may be repeated to fabricate a multi-level interconnect wiring structure.
  • the prior art Si 3 N 4 diffusion barrier layers function as an adhesive keeping the successive layers of the multi-level interconnect wiring structure together. Despite their adhesive properties, prior art Si 3 N 4 diffusion barrier layers do not provide optimum adhesion thereby causing the risk of delamination in the semiconductor device during device fabrication or service. Additionally, the prior art Si 3 N 4 diffusion barrier layers cause the semiconductor device to posses a relatively high-effective dielectric constant, on the order of about 6-7, thereby resulting in a higher capacitance between the conductive metal lines and vias causing the electric signals to travel at a slower speed with increased cross-talk through the multi-level interconnect wiring structure.
  • the present invention provides a novel diffusion barrier useful in semiconductor electronic devices.
  • the inventive diffusion barrier is characterized as having a low-dielectric constant of less than 3.5, preferably less than 3.0, as well as being capable of substantially preventing Cu and/or oxygen from diffusing into the active device areas of the electronic device. Since the inventive diffusion barrier has a low-dielectric constant, the inventive diffusion barrier has only a minor effect on the effective dielectric constant of the interconnect structure.
  • the inventive low-k diffusion barrier includes atoms of Si, C, H and N. The N atoms are non-uniformly distributed within the low-k diffusion barrier.
  • the inventive low-k diffusion barrier may include atoms of Ge, O, halogens such as F or any combination thereof.
  • the present invention provides a composition of matter including atoms of at least Si, C, H and N, said N atoms being non-uniformly distributed within the composition and said composition having a dielectric constant of less than 3.5 and being capable of substantially preventing Cu and/or oxygen from diffusing therethrough,
  • the inventive composition of matter may optionally include Ge, O and/or halogens.
  • the nitrogen atoms are present in an upper surface region of the diffusion barrier. In yet another embodiment, the nitrogen atoms are located in an upper and a lower surface region of the diffusion barrier. In still yet another embodiment of the present invention, the nitrogen atoms are located in upper, middle and lower regions of the diffusion barrier.
  • the present invention also provides an electronic device, such as an interconnect wiring structure, that includes at least the inventive diffusion barrier.
  • an electronic device such as an interconnect wiring structure, that includes at least the inventive diffusion barrier.
  • the inventive electronic device comprises:
  • a diffusion barrier having a dielectric constant of less than 3.5 located atop at least a portion of the substrate, said diffusion barrier comprising atoms of at least Si, C, H and N and is capable of substantially preventing Cu and/or oxygen from diffusing therethrough, said N atoms being non-uniformly distributed within the diffusion barrier.
  • the present invention also provides a method of fabricating the inventive diffusion barrier onto an electronic device. Specifically, the inventive method includes the steps of:
  • a dielectric film comprising a first phase comprised of atoms of at least Si, C and H onto at least a portion of said substrate utilizing at least said precursor gas;
  • annealing to convert said dielectric film into a diffusion barrier that includes a layer containing Si, C, H and optionally 0 and a second layer comprised of atoms of at least Si, C, H and N, said diffusion barrier having a dielectric constant of less than 3.5 and said N atoms are non-uniformly distributed within the diffusion barrier.
  • Examples of preferred precursor gas mixtures that can be used in the present invention include: trimethylsilane/paraxylene or trimethylsilane/cyclopentene oxide and optionally He or other diluent gas such as CO 2 .
  • FIGS. 1A-1J are pictorial representations (through cross-sectional views) illustrating the basic processing steps which are employed in the present invention.
  • FIG. 2 is a schematic representation of a parallel plate chemical vapor deposition reactor that can be employed in the present invention.
  • FIGS. 3A-3C are pictorial representations (through cross-sectional views) illustrating different types of diffusion barriers that can be formed using the method of the present invention.
  • FIG. 4 shows the temperature-bias stress measurements for a diffusion barrier of the present invention.
  • FIG. 5 shows the temperature-bias stress measurements for a control sample with 50 nm oxide prepared from TEOS (tetraethylorthosilicate) on 100 nm thermal oxide.
  • TEOS tetraethylorthosilicate
  • the present invention which provides a novel diffusion barrier for electronic devices, as well as the electronic devices containing the same and methods of fabricating the inventive diffusion barrier, will now be described in greater detail by referring to the drawings that accompany the present application.
  • the electronic device is a multi-level interconnect wiring structure.
  • the discussion that follows is directed to a multi-level interconnect wiring structure the present invention works well for any electronic device that includes a substrate containing a plurality of conductive regions.
  • the inventive diffusion barrier serves as a Cu and/or oxygen diffusion cap.
  • FIG. 1A illustrates an initial substrate (labeled as element 10 ) that is employed in the present invention.
  • Initial substrate 10 comprises a semiconductor wafer 12 that includes dielectric layer 14 having a plurality of conductive elements 16 formed within openings that are located within the dielectric layer.
  • the semiconductor wafer may include any number of active and/or passive devices (or regions) located within the wafer or on a surface thereof. For clarity, the active and/or passive devices (or regions) are not shown in the drawings, but are nevertheless meant to be included with wafer 12 .
  • the initial substrate is composed of materials well known to those skilled in the art, and conventional processes are employed in fabricating the initial structure.
  • the semiconductor wafer may comprise any conventional semiconductor material including, but not limited to: Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP and other III/V compound semiconductors.
  • the semiconductor wafer may also be composed of a silicon-on-insulator (SOI) material that includes a buried insulating region, such as a buried oxide region, which electrically isolates a top SOI material layer from a bottom Si-containing substrate.
  • SOI silicon-on-insulator
  • Dielectric layer 14 is formed atop the semiconductor wafer utilizing a conventional deposition process such as chemical vapor deposition (CVD), plasma-assisted CVD, evaporation, spin-on coating, or chemical solution deposition.
  • CVD chemical vapor deposition
  • plasma-assisted CVD plasma-assisted CVD
  • evaporation spin-on coating
  • chemical solution deposition chemical solution deposition
  • Dielectric layer 14 includes any suitable insulating material that is typically employed as an interlevel or intralevel dielectric. This includes inorganic dielectrics, organic dielectrics and combinations, including, multilayers thereof.
  • suitable materials for dielectric layer 14 include, but are not limited to: SiO 2 , fluorinated SiO 2 , organic thermoset or thermoplastic dielectrics such as polyimides, polyarylenes, benzocyclobutenes and the like, spun-on glasses, with or without porosity, such as hydrogen silsesquixoane, methyl silsesquixoane, tetraethylorthosilicate (TEOS) and the like, amorphous alloys of Si, O, C and H, or SiCOH, amorphous alloys of Si, O, F and H.
  • Preferred insulating materials for dielectric layer 14 are organic or SiCOH dielectrics.
  • openings are formed into the dielectric layer so as to expose portions of the underlying semiconductor wafer.
  • the openings are formed utilizing conventional lithography and etching.
  • the lithographic step includes applying a photoresist to the dielectric layer, exposing the photoresist to a pattern of radiation and developing the pattern into the exposed photoresist utilizing a conventional resist developer.
  • the etching step used in providing the openings into dielectric layer 14 includes chemical reactive ion etching (RIE), plasma etching, ion beam etching or laser ablation. Following etching, the photoresist is typically removed from the structure utilizing a conventional resist stripping process.
  • Conductive material such as Ti, TiN, TiW, Ta, TaN, W, Al, Pd, Cu and the like, as well as combinations thereof, are then formed utilizing a conventional deposition process, such as plating or sputtering, filling at least the openings.
  • a highly preferred conductive material used in the present application is Cu.
  • conductive material is also formed atop the patterned dielectric layer during the filling process.
  • the structure is typically subjected to a conventional planarization process, such as chemical-mechanical polishing or grinding, to provide a planar structure containing conductive elements 16 such as shown, for example, in FIG. 1A . Note that each top surface of conductive element 16 is substantially coplanar with the abutting top surface of dielectric layer 14 .
  • the exposed surface of conductive elements 16 will contain contaminants such as, for example, oxides of the metal conductor, which can lead to inferior adhesion of the inventive diffusion barrier to the conductive elements.
  • contaminants such as, for example, oxides of the metal conductor, which can lead to inferior adhesion of the inventive diffusion barrier to the conductive elements.
  • a gas mixture containing hydrogen, helium or argon may be used for cleaning.
  • a nitrogen-containing gas mixture because during plasma etching thin nitrogen-containing film 18 (See FIG. 1B ) is formed on the top surfaces of the dielectric layer and the conductive elements.
  • This thin nitrogen-containing film may form the lower part of the inventive diffusion barrier since it has been found that the presence of the same improves the adhesion of the barrier layer to the structure. It has also been determined that this thin film also renders the top surface of the conductive elements resistant to oxidation by air. It has been found that a substrate temperature of about 200° to about 500° C. can accelerate and assist in formation of the nitrogen-containing film.
  • the nitrogen-containing film when applying this film, will have (1) a nitrogen content of about 1 to about 20, preferably about 5 to about 10, atomic percent, and (2) a nitrogen:carbon ratio of about 0.1 to about 0.5, preferably less than about 0.2, atomic percent.
  • small amounts of oxygen may optionally be present in film 18 due to exposure to air or another source of oxygen.
  • the thickness of film 18 will typically be from about 1 to about 10 nm and, preferably from about 2 to about 4 nm.
  • a thin film is required herein since the greater the thickness of the film the greater amount of nitrogen will be present in the film which will result in a higher dielectric constant of the interconnect wiring structure.
  • the temperature and power employed in this optional step of the present invention can range from about 200° to about 500° C. at a power from about 100 to 1000 watts.
  • the time period for removing the contaminants and forming thin nitrogen film 18 will generally not exceed about 120 seconds.
  • dielectric film 20 is formed atop the substrate providing the structure shown, for example, in FIG. 1C .
  • the optional thin nitrogen-containing film is not shown, however, the various processing steps of the present invention work equally well with the structure shown in FIG. 1B .
  • the dielectric film formed at this point of the present invention is a film having a first phase comprised of atoms of at least Si, C and H.
  • the dielectric film may optionally include atoms of Ge, O and/or halogens such as F.
  • the dielectric film has a deposited thickness of from about 10 to about 100 nm, with a deposited thickness of from about 20 to about 80 nm being more highly preferred.
  • Dielectric film 20 is an amorphous film which may further comprise a multiplicity of nanometer-sized pores.
  • the pores comprise between 0 and 50%, preferably between 0 and 30% of the film's volume. The diameters of these pores are between 0.2 and about 10 nm, and preferably between 0.2 and 4 nm.
  • the dielectric film typically contains from about 10 to about 40, more preferably from about 20 to about 35 atomic percent Si; from about 15 to about 50, more preferably from about 25 to about 40, atomic percent C; and from about 25 to about 50, more preferably from about 30 to about 45, atomic percent H.
  • the optional element oxygen is present in an amount from about 1 to about 15, more preferably from about 5 to about 10, atomic percent.
  • the optional atoms Ge and/or halogen are present in an amount from about 1 to about 10, more preferably about 5, atomic percent.
  • Dielectric film 20 having the first phase is formed by placing the substrate shown in FIG. 1A into a reactor chamber of a parallel plate chemical vapor deposition (CVD) apparatus. Any suitable parallel plate CVD apparatus can be used in the present invention. In the case of FIG. 1B , that structure is already present in the reactor chamber. In FIG. 1B , dielectric film 20 would be formed atop thin nitrogen-containing film 18 .
  • CVD chemical vapor deposition
  • FIG. 2 is a schematic of a typical parallel plate CVD reactor that can be employed in the present invention.
  • the parallel plate CVD reactor (labeled as element 100 ) is the kind generally used in processing 200 mm wafers.
  • the inner diameter, X, of the reactor 100 is approximately 13 inches, while its height, Y, is approximately 8.5 inches.
  • the diameter of substrate chuck 102 is approximately 10.8 inches.
  • Reactant gases are introduced into reactor 100 through a gas distribution plate (“GDP”) 106 that is spaced apart from substrate chuck 102 by a gap Z of about 1 inch, and are exhausted out of reactor 100 through exhaust port 108 .
  • RF power 110 is connected to GDP 106 , which is electrically insulated from reactor 100 , and substrate chuck 102 is grounded. For practical purposes, all other parts of the reactor are grounded.
  • GDP gas distribution plate
  • RF power 110 can be connected to substrate chuck 102 and transmitted to substrate 10 .
  • the substrate acquires a negative bias, whose value is dependent on the reactor geometry and plasma parameters.
  • more than one electrical power supply can be used.
  • two power supplies can operate at the same RF frequency, or one may operate at a low frequency and one at a high frequency. The two power supplies may be connected both to the same electrode or to separate electrodes.
  • the RF power supply can be pulsed on and off during deposition. Process variables controlled during deposition of the dielectric film are RF power, precursor mixture and flow rate, pressure in reactor, and substrate temperature.
  • Surfaces 114 of reactor 100 may be coated with an insulating coating material. For instance, one specific type of coating is applied on reactor walls 114 to a thickness of several mils. Another type of coating material that may be used on substrate chuck 102 is a thin coating of alumina or other insulator resistant to etching with an oxygen plasma. The temperature of the heated wafer chuck controls the substrate temperature.
  • dielectric film 20 is formed by first flowing a precursor gas mixture of molecules comprising atoms of at least Si, C and H, optionally Ge, O, halogen with organic molecules and optionally another diluent gas into the reactor chamber containing the substrate shown in FIG. 1A .
  • the precursor gas mixture includes an admixture of a first precursor gas, a second precursor gas, and a diluent gas, which is injected into the reactor chamber to form dielectric layer 20 .
  • the diluent gas can be an inert gas such as He or Ar or a gas with low reactivity such as CO 2 , or can be a mixture of these gases.
  • the first precursor gas is any gas such as an organosilane which includes atoms of Si, C and H, optionally O.
  • the organosilanes that are employed in the present invention may be characterized by the following formula: H 4-x SiR x , wherein each R is the same or different and is a C 1-22 alkyl, C 2-22 alkenyl, C 2-22 alkynyl or an alkoxy containing from 1 to 22 carbon atoms; and x is a number from 0-4.
  • the R groups of the organosilane may be linear or branched, substituted or unsubstituted, and/or cyclic.
  • organosilanes include, but are not limited to: methylsilane, dimethylsilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, ethylsilane, various substituted ethylsilanes, tetramethylcyclotetrasiloxane and the like.
  • methylsilane, dimethylsilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, ethylsilane, various substituted ethylsilanes, tetramethylcyclotetrasiloxane and the like A further description of other types of materials that can be employed in the present invention as the first precursor gas can be found in co-assigned U.S. application Ser. No. 09/938,949, the entire content of which is incorporated herein by reference.
  • the precursor gas mixture also includes a second organic precursor gas which contains atoms of C and H (e.g., a hydrocarbon gas) and optionally O, F and N.
  • second precursors gases that can be employed in the present invention, include, but are not limited to: methane, ethylene, acetylene, cyclohexane, toluene, xylenes including paraxylene, cyclopentene oxide, cyclohexene oxide, cyclooctene oxide, 1,4-epoxycylohexene oxide and the like.
  • a farther description of other types of materials that can be employed in the present invention as the second precursor gas can be found in co-assigned U.S. application Ser. No. 09/938,949, the entire content of which is incorporated herein by reference.
  • the organic molecules containing C, H and O atoms and at least one ring can be used in the present invention as the second precursor gas.
  • the first precursor may further be mixed with CO 2 or He as a carrier gas or the first and second precursor gases may be mixed with CO 2 or He or a mixture of CO 2 and O 2 in the PECVD reactor.
  • the addition of CO 2 to the first precursor as a carrier gas, or the addition of He or CO 2 or a mixture of CO 2 and O 2 to the first and second precursors in the PECVD reactor provides a stabilizing effect on plasma in the PECVD reactor and improves the uniformity of the film deposited on the substrate.
  • the amount of He or CO 2 may be from about 25 sccm to about 10000 sccm, and more preferably from about 50 sccm to about 5000 sccm.
  • the amount of CO 2 admixed may be from about 25 seem to about 10000 sccm and the amount of O 2 admixed may be from about 0.5 sccm to 500 sccm.
  • the amount of CO 2 is from about 50 sccm to about 5000 sccm and the amount of O 2 is from about 1 sccm to about 300 sccm.
  • mixtures of CO 2 with He, Ar, Ne, Kr, or Xe may be used.
  • the main process variables controlled during the deposition of the dielectric film are the RF power, the flow rates of the first and second precursors and diluent gas, the reactor pressure and the substrate temperature.
  • the deposition conditions utilized are critical to enable a successful implementation of the deposition process according to the present invention. For instance, a wafer temperature of between about 25° C. and about 325° C., and preferably of between about 60° C. and about 200° C. is utilized.
  • a RF power density between about 0.05 W/cm 2 and about 4.0 W/cm 2 , and preferably between about 0.25 W/cm 2 and about 2 W/cm 2 is utilized.
  • a reactant gas flow rate of first precursor gas between about 5 sccm and about 1000 sccm, and preferably between about 25 seem and about 200 sccm is utilized.
  • a reactant gas flow rate of second precursor gas between about 5 sccm and about 1000 seem, and preferably between about 10 sccm and about 120 sccm is utilized.
  • a diluent gas, inert or CO 2 may be further added to the gas mixtures in amounts described above.
  • Reactor pressure during the deposition process between about 50 mTorr and about 5000 mTorr, and preferably between about 100 mTorr and about 3000 mTorr is utilized.
  • the densified dielectric portion of dielectric layer 20 is labeled as 22 in FIG. 1D .
  • the plasma employed can be any suitable gas, preferably, for example He, and it is typically injected into the reactor for a time period from about 10 to about 60 seconds with the power ranging from about 100 to about 500 watts.
  • the upper portions of the densified dielectric film 22 may also be referred to as a nitrided surface region.
  • the nitrided surface region is formed utilizing the procedure described above in forming optional thin nitrogen-containing film 18 .
  • the nitrided surface region has approximately the same thickness and nitrogen content as optional thin nitrogen-containing film 18 .
  • the steps of plasma deposition, plasma treatment and surface nitridation is repeated any number of times.
  • the repeated steps of plasma treatment and nitridation provide a means for incorporating nitrogen atoms into different regions of the dielectric film, which subsequently will be converted into the inventive diffusion barrier.
  • plasma treatment followed by nitridation provides a surface nitride region.
  • Repeated plasma deposition-plasma treatment-nitridation-plasma deposition-plasma treatment-nitridation provides a nitrided surface region and another nitrogen region that is incorporated below the surface nitride region.
  • the dielectric structure containing the nitrided region(s) and, if present the optional thin nitrogen-containing film, is stabilized before undergoing further integration processing.
  • the stabilization process can be performed in a furnace-annealing step at about 300° C. to about 400° C. for a time period between about 0.5 hours and about 4 hours.
  • the stabilization process can also be performed in a rapid thermal annealing process at temperatures above about 300° C.
  • the stabilization anneal is performed in non-oxidizing ambient such as He, N 2 , or Ar.
  • the dielectric film containing the surface densified and nitrided region is converted into diffusion barrier 26 , See FIG. 1F .
  • the diffusion barrier includes a second phase comprised of atoms of least Si, C, H and N, and it has a dielectric constant of less than 3.5, preferably less than 3.0.
  • barrier layer 26 thus include upper nitrogen-containing surface region 24 , densified region 22 as well as original dielectric film 20 .
  • labels 20 , 22 and 24 are omitted, but are nevertheless meant to be included within the inventive diffusion barrier.
  • the diffusion barrier including the nitrogen-containing regions has a thickness after annealing from about 10 to about 100 nm, with a thickness from about 20 to about 80 nm being more highly preferred.
  • the diffusion barrier typically contains from about 10 to about 40, more preferably from about 20 to about 35 atomic percent Si; from about 15 to about 50, more preferably from about 25 to about 40, atomic percent C; and from about 25 to about 50, more preferably from about 30 to about 45, atomic percent H.
  • the optional element oxygen is present in an amount from about 1 to about 15, more preferably from about 5 to about 10, atomic percent.
  • the optional atoms Ge and/or halogen are present in an amount from about 1 to about 10, more preferably about 5, atomic percent.
  • the diffusion barrier is further characterized as an amorphous structure, which may further comprise, in at least non-densified layer 20 , a multiplicity of nanometer-sized pores.
  • the pores comprise between 0 and 50%, preferably between 0 and 30% of the film's volume.
  • the diameters of these pores are between 0.2 and about 10 nm and preferably between 0.2 and 4 ⁇ m.
  • a second layer of dielectric layer 27 may be formed atop the barrier layer utilizing any of the processing techniques which were used in depositing the first dielectric layer, i.e., dielectric layer 14 .
  • an adhesion promoter may be formed prior to formation of second dielectric layer 27 .
  • Suitable adhesion promoters for use herein include conventional adhesion promoters known to one skilled in the art.
  • Preferred adhesion promoters include organosilanes such as, for example, gamma-amino propylsilane and the like.
  • Second dielectric layer 27 may be composed of the same or different dielectric as that of first dielectric layer 14 .
  • the dielectric layer formed at this point of the present invention typically has a thickness of from about 200 to about 1000 nm, with a thickness of from about 300 to about 700 nm being more highly preferred.
  • the resultant structure containing second dielectric layer 27 is shown, for example, in FIG. 10 .
  • line openings 28 and via openings 30 are then created (by conventional lithography and etching) within second dielectric layer 27 and the diffusion barrier (including surface nitrided regions) to expose the top surface of at least one of the underlying conductive elements. See FIG. 1H . It is particularly advantageous to create line and via openings that are mono and dual damascene (via plus next level conductor) wiring structure for use herein.
  • a conductive metal material is deposited within and fills each of the openings to form a second set of conductive elements 32 as is shown, for example, in FIG. 1I .
  • the second set of conductive metal elements can be formed using the same processes as used in forming the previous set of conductive elements.
  • the metal of the second set of conductive elements may be the same or different from the metal used in forming the previous set of conductive elements.
  • Cu is again the preferred material for the second set of conductive elements.
  • a second diffusion barrier 34 (including non-densified regions, densified regions and nitride surface) can be formed atop the planar surface of the structure shown in FIG. 1I providing the structure shown, for example, in FIG. 1J .
  • the second diffusion barrier is made using the same technique as mentioned above in forming the previous diffusion barrier.
  • the second diffusion barrier is composed of the same basic composition as that of the previous diffusion barrier.
  • the procedure of forming a dielectric material, creating line and via openings in the dielectric material, filling the openings with a conductive metal material and forming the inventive diffusion barrier atop the surface of the dielectric material containing the conductive elements can be repeated any number of times to provide multi-level wiring structures.
  • FIGS. 3A-3C illustrate different types of diffusion barriers that can be formed in the present invention.
  • Reference numeral 50 denotes dielectric material comprised of Si, C and H, optionally O, Ge and/or halogen
  • reference numeral 52 denotes regions containing nitrogen-atoms.
  • the nitrogen atoms are located in the upper surface of the diffusion barrier.
  • the nitrogen atoms are present in the lower region and the upper region of the diffusion barrier; while in FIG. 3C , upper, middle and lower regions containing nitrogen atoms are shown.
  • test structures were built.
  • the substrates for these structures consisted of n-type silicon with 1000 ⁇ thick thermally grown SiO 2 .
  • the control consisted of 500 ⁇ thick TEOS film deposited on the silicon dioxide and the test structure consisted of the inventive diffusion barrier of Example 3 on the silicon dioxide.
  • the inventive diffusion barrier was made in accordance with the present invention. Copper and aluminum dots were deposited on the top surface of each wafer. Triangular Voltage Sweep (TVS) measurements were performed to determine the copper penetration into the underlying SiO 2 . These measurements are performed at 300° C. and consist of a stress to push Cu + ions through the barrier and a voltage ramp to measure the current due to the motion of this and other ions.
  • TVS Triangular Voltage Sweep
  • the curve corresponding to the Al dot in FIG. 4 shows a sodium peak near ⁇ 15 volts with no other ions present.
  • the curves corresponding to Cu dots do not have any peaks, indicating no or low ( ⁇ 10 9 /cm 2 ) Cu penetration. Some noise is seen on one Cu dot curve suggesting leakage at that site.
  • a large copper signal is easily seen in FIG. 5 as a broad peak between ⁇ 5 and ⁇ 25 volts.
  • the TVS measurements thus show that the films of this invention are good diffusion barriers and that the Cu diffusion was below the detection level.
  • the TVS method is more sensitive than many other methods to detect copper mobility through a diffusion barrier film.
  • the substrate was heated to a temperature of 180° C. and the diffusion barrier film was deposited from a mixture of trimethylsilane (3MS)/He/cyclopentene oxide (CPO); flow rate was 10+25+3 sccm, respectively.
  • the pressure in the reactor was controlled at 500 mtorr and the plasma was sustained with an RF generator of 13.56 MHz at 50 W.
  • the film was deposited for 75 seconds to obtain a thickness of 50 nm.
  • a He plasma at a He flow of 40 sccm, 100 mtorr and 50W RF
  • He+NH 3 40+10 sccm, 100 mtorr, 50W RF.
  • the film was then annealed at 400° C. in an inert ambience.
  • the film has been prepared similar to the procedure in Example 2, but the deposition was done for 37 seconds, followed by the He and He+NH 3 plasma treatment and this procedure was repeated twice.
  • the obtained film had about the same total thickness as the film described in Example 2 but had middle and top densified and nitrided layers.
  • the film was then annealed at 400° C. in an inert ambient.
  • the pressure in the reactor was controlled at 3 torr and the plasma was sustained with an RF generator of 13.56 MHz at 300 W.
  • He+NH 3 40+10 sccm, 100 mtorr, 50W RF.
  • the film was then annealed at 400° C. in an inert atmosphere.

Abstract

A diffusion barrier useful in semiconductor electronic devices, such as multi-level interconnect wiring structures, is provided. The diffusion barrier is characterized as having a low-dielectric constant of less than 3.5, preferably less than 3.0, as well as being capable of substantially preventing Cu and/or oxygen from diffusing into the active device areas of the electronic device. Since the diffusion barrier has a low-dielectric constant, the diffusion barrier has only a minor effect on the effective dielectric constant of the interconnect structure. The low-k diffusion battier includes atoms of Si, C, H and N. The N atoms are non-uniformly distributed within the low-k diffusion barrier. Optionally, the low-k diffusion barrier may include atoms of Ge, O, halogens such as F or any combination thereof.

Description

    RELATED APPLICATION
  • This application is a divisional of U.S. application Ser. No. 10/320,111 filed Dec. 16, 2002.
  • FIELD OF THE INVENTION
  • The present invention relates to a diffusion barrier having a low-dielectric constant (on the order of less than 3.5), the method of fabricating such a diffusion barrier and electronic devices that contain the inventive diffusion barrier therein. More particularly, the present invention provides a low-dielectric constant barrier to copper (i.e., Cu) and/or oxygen diffusion that is useful in back-end-of-the-line (BEOL) wiring structures of very large scale integration (VLSI) devices.
  • BACKGROUND OF THE INVENTION
  • Generally, semiconductor devices include a plurality of integrated circuits (ICs). ICs can be useful for many applications including computers and electronic equipment and they can contain millions of transistors and other circuit elements that can be fabricated on a single semiconductor chip. For device functionality, a complex of signal paths will typically be routed to connect the circuit elements distributed on the surface of the semiconductor device. Efficient routing of these signals across the device can become more difficult as the complexity and number of ICs are increased. Thus, the formation of multi-level or multi-layered interconnect schemes have become more desirable due to their efficacy in providing high-speed signal routing patterns between a large number of transistors on a single semiconductor chip. The preferred interconnect metal is copper, and the preferred fabrication method is the dual damascene approach.
  • In fabricating IC wiring with a multi-level scheme, an insulating material (oftentimes referred to as a dielectric material) such as SiO2, will normally be patterned with several thousand openings to create openings for conductive lines and/or vias using photolithography and etching. These openings formed into the dielectric material are typically filled with a conductive material such as Cu or Al to interconnect the active device regions of the ICs. After the filling process, the semiconductor device is generally planarized.
  • A diffusion barrier layer formed from, e.g., Si3N4 with the nitrogen being uniformly distributed throughout the layer, is then typically formed over the planarized surface featuring the dielectric material and the conductive filled vias and lines. Next, a second dielectric material is formed over the diffusion barrier layer and openings for vias and lines are created in the second dielectric material as discussed above. Another conductive material is then formed within the openings, the structure is planarized and another diffusion barrier layer is then formed atop the planarized structure. A third dielectric may be formed atop the barrier layer and then the process described above may be repeated to fabricate a multi-level interconnect wiring structure.
  • The prior art Si3N4 diffusion barrier layers function as an adhesive keeping the successive layers of the multi-level interconnect wiring structure together. Despite their adhesive properties, prior art Si3N4 diffusion barrier layers do not provide optimum adhesion thereby causing the risk of delamination in the semiconductor device during device fabrication or service. Additionally, the prior art Si3N4 diffusion barrier layers cause the semiconductor device to posses a relatively high-effective dielectric constant, on the order of about 6-7, thereby resulting in a higher capacitance between the conductive metal lines and vias causing the electric signals to travel at a slower speed with increased cross-talk through the multi-level interconnect wiring structure.
  • In view of the drawbacks mentioned above with prior art diffusion barriers, there is a continued need for developing a new and improved diffusion barrier that can provide robust adhesion between the interconnect layers while also maintaining a relatively low dielectric constant such that when the diffusion barrier is used in an electronic device, such as, for example, an interconnect wiring structure, the diffusion barrier does not significantly increase the overall effective dielectric constant of the structure.
  • SUMMARY OF THE INVENTION
  • The present invention provides a novel diffusion barrier useful in semiconductor electronic devices. The inventive diffusion barrier is characterized as having a low-dielectric constant of less than 3.5, preferably less than 3.0, as well as being capable of substantially preventing Cu and/or oxygen from diffusing into the active device areas of the electronic device. Since the inventive diffusion barrier has a low-dielectric constant, the inventive diffusion barrier has only a minor effect on the effective dielectric constant of the interconnect structure. The inventive low-k diffusion barrier includes atoms of Si, C, H and N. The N atoms are non-uniformly distributed within the low-k diffusion barrier. Optionally, the inventive low-k diffusion barrier may include atoms of Ge, O, halogens such as F or any combination thereof.
  • Specifically, the present invention provides a composition of matter including atoms of at least Si, C, H and N, said N atoms being non-uniformly distributed within the composition and said composition having a dielectric constant of less than 3.5 and being capable of substantially preventing Cu and/or oxygen from diffusing therethrough, The inventive composition of matter may optionally include Ge, O and/or halogens.
  • In some embodiments, the nitrogen atoms are present in an upper surface region of the diffusion barrier. In yet another embodiment, the nitrogen atoms are located in an upper and a lower surface region of the diffusion barrier. In still yet another embodiment of the present invention, the nitrogen atoms are located in upper, middle and lower regions of the diffusion barrier.
  • The present invention also provides an electronic device, such as an interconnect wiring structure, that includes at least the inventive diffusion barrier. Specifically, the inventive electronic device comprises:
  • a substrate containing a plurality of conductive elements; and
  • a diffusion barrier having a dielectric constant of less than 3.5 located atop at least a portion of the substrate, said diffusion barrier comprising atoms of at least Si, C, H and N and is capable of substantially preventing Cu and/or oxygen from diffusing therethrough, said N atoms being non-uniformly distributed within the diffusion barrier.
  • The present invention also provides a method of fabricating the inventive diffusion barrier onto an electronic device. Specifically, the inventive method includes the steps of:
  • positioning a substrate containing a plurality of conductive elements in a reactor chamber of a parallel plate plasma enhanced chemical vapor deposition reactor;
  • flowing a precursor gas containing a mixture of molecules comprising atoms of at least Si, C and H and organic molecules into the reactor chamber;
  • forming a dielectric film comprising a first phase comprised of atoms of at least Si, C and H onto at least a portion of said substrate utilizing at least said precursor gas;
  • exposing the dielectric film to a plasma so as to densify a top layer of the dielectric film;
  • introducing nitrogen atoms at least into a portion of the densified dielectric film; and
  • annealing to convert said dielectric film into a diffusion barrier that includes a layer containing Si, C, H and optionally 0 and a second layer comprised of atoms of at least Si, C, H and N, said diffusion barrier having a dielectric constant of less than 3.5 and said N atoms are non-uniformly distributed within the diffusion barrier.
  • Examples of preferred precursor gas mixtures that can be used in the present invention include: trimethylsilane/paraxylene or trimethylsilane/cyclopentene oxide and optionally He or other diluent gas such as CO2.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1J are pictorial representations (through cross-sectional views) illustrating the basic processing steps which are employed in the present invention.
  • FIG. 2 is a schematic representation of a parallel plate chemical vapor deposition reactor that can be employed in the present invention.
  • FIGS. 3A-3C are pictorial representations (through cross-sectional views) illustrating different types of diffusion barriers that can be formed using the method of the present invention.
  • FIG. 4 shows the temperature-bias stress measurements for a diffusion barrier of the present invention.
  • FIG. 5 shows the temperature-bias stress measurements for a control sample with 50 nm oxide prepared from TEOS (tetraethylorthosilicate) on 100 nm thermal oxide.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention, which provides a novel diffusion barrier for electronic devices, as well as the electronic devices containing the same and methods of fabricating the inventive diffusion barrier, will now be described in greater detail by referring to the drawings that accompany the present application.
  • In the following discussion, the electronic device is a multi-level interconnect wiring structure. Although the discussion that follows is directed to a multi-level interconnect wiring structure the present invention works well for any electronic device that includes a substrate containing a plurality of conductive regions. In the illustrated multi-level interconnect wiring structure, the inventive diffusion barrier serves as a Cu and/or oxygen diffusion cap.
  • Reference is first made to FIG. 1A, which illustrates an initial substrate (labeled as element 10) that is employed in the present invention. Initial substrate 10 comprises a semiconductor wafer 12 that includes dielectric layer 14 having a plurality of conductive elements 16 formed within openings that are located within the dielectric layer.
  • The semiconductor wafer may include any number of active and/or passive devices (or regions) located within the wafer or on a surface thereof. For clarity, the active and/or passive devices (or regions) are not shown in the drawings, but are nevertheless meant to be included with wafer 12. The initial substrate is composed of materials well known to those skilled in the art, and conventional processes are employed in fabricating the initial structure.
  • For example, the semiconductor wafer may comprise any conventional semiconductor material including, but not limited to: Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP and other III/V compound semiconductors. The semiconductor wafer may also be composed of a silicon-on-insulator (SOI) material that includes a buried insulating region, such as a buried oxide region, which electrically isolates a top SOI material layer from a bottom Si-containing substrate.
  • Dielectric layer 14 is formed atop the semiconductor wafer utilizing a conventional deposition process such as chemical vapor deposition (CVD), plasma-assisted CVD, evaporation, spin-on coating, or chemical solution deposition. The thickness of dielectric layer 14 may vary and is of no real importance to the present invention.
  • Dielectric layer 14 includes any suitable insulating material that is typically employed as an interlevel or intralevel dielectric. This includes inorganic dielectrics, organic dielectrics and combinations, including, multilayers thereof. Illustrative examples of suitable materials for dielectric layer 14 include, but are not limited to: SiO2, fluorinated SiO2, organic thermoset or thermoplastic dielectrics such as polyimides, polyarylenes, benzocyclobutenes and the like, spun-on glasses, with or without porosity, such as hydrogen silsesquixoane, methyl silsesquixoane, tetraethylorthosilicate (TEOS) and the like, amorphous alloys of Si, O, C and H, or SiCOH, amorphous alloys of Si, O, F and H. Preferred insulating materials for dielectric layer 14 are organic or SiCOH dielectrics.
  • After forming dielectric layer 14 on a surface of semiconductor wafer 12, openings are formed into the dielectric layer so as to expose portions of the underlying semiconductor wafer. The openings are formed utilizing conventional lithography and etching. The lithographic step includes applying a photoresist to the dielectric layer, exposing the photoresist to a pattern of radiation and developing the pattern into the exposed photoresist utilizing a conventional resist developer. The etching step used in providing the openings into dielectric layer 14 includes chemical reactive ion etching (RIE), plasma etching, ion beam etching or laser ablation. Following etching, the photoresist is typically removed from the structure utilizing a conventional resist stripping process.
  • Conductive material, such as Ti, TiN, TiW, Ta, TaN, W, Al, Pd, Cu and the like, as well as combinations thereof, are then formed utilizing a conventional deposition process, such as plating or sputtering, filling at least the openings. A highly preferred conductive material used in the present application is Cu. In some instances, conductive material is also formed atop the patterned dielectric layer during the filling process. After filling the openings with a conductive material, the structure is typically subjected to a conventional planarization process, such as chemical-mechanical polishing or grinding, to provide a planar structure containing conductive elements 16 such as shown, for example, in FIG. 1A. Note that each top surface of conductive element 16 is substantially coplanar with the abutting top surface of dielectric layer 14.
  • Typically, the exposed surface of conductive elements 16 will contain contaminants such as, for example, oxides of the metal conductor, which can lead to inferior adhesion of the inventive diffusion barrier to the conductive elements. Thus, it is preferred, but not required, in the present invention to remove substantially all of the contaminants prior to formation of the diffusion barrier. The removal of substantially all of the contaminants is carried out at this point of the inventive process by subjecting the substrate shown in FIG. 1A to plasma cleaning by injecting a gas flow of, e.g., pure NH3 or a gas mixture containing a nitrogen-containing gas such as, for example, N2 or NH3, with optional other gases into the parallel plate CVD reactor (to be discussed in greater detail hereinbelow) to form a plasma which advantageously removes substantially all of the contaminants. Alternatively, a gas mixture containing hydrogen, helium or argon may be used for cleaning.
  • It is particularly advantageous to employ a nitrogen-containing gas mixture because during plasma etching thin nitrogen-containing film 18 (See FIG. 1B) is formed on the top surfaces of the dielectric layer and the conductive elements. This thin nitrogen-containing film may form the lower part of the inventive diffusion barrier since it has been found that the presence of the same improves the adhesion of the barrier layer to the structure. It has also been determined that this thin film also renders the top surface of the conductive elements resistant to oxidation by air. It has been found that a substrate temperature of about 200° to about 500° C. can accelerate and assist in formation of the nitrogen-containing film. Generally, when applying this film, the nitrogen-containing film will have (1) a nitrogen content of about 1 to about 20, preferably about 5 to about 10, atomic percent, and (2) a nitrogen:carbon ratio of about 0.1 to about 0.5, preferably less than about 0.2, atomic percent.
  • It is understood that small amounts of oxygen, e.g., in an amount of less than about 5 atomic percent, preferably less than about 2 atomic percent, may optionally be present in film 18 due to exposure to air or another source of oxygen. The thickness of film 18 will typically be from about 1 to about 10 nm and, preferably from about 2 to about 4 nm. A thin film is required herein since the greater the thickness of the film the greater amount of nitrogen will be present in the film which will result in a higher dielectric constant of the interconnect wiring structure. The temperature and power employed in this optional step of the present invention can range from about 200° to about 500° C. at a power from about 100 to 1000 watts. The time period for removing the contaminants and forming thin nitrogen film 18 will generally not exceed about 120 seconds.
  • After forming the initial substrate shown in FIG. 1A (or alternatively FIG. 1B), dielectric film 20 is formed atop the substrate providing the structure shown, for example, in FIG. 1C. In the drawings that follow, the optional thin nitrogen-containing film is not shown, however, the various processing steps of the present invention work equally well with the structure shown in FIG. 1B.
  • The dielectric film formed at this point of the present invention is a film having a first phase comprised of atoms of at least Si, C and H. The dielectric film may optionally include atoms of Ge, O and/or halogens such as F. The dielectric film has a deposited thickness of from about 10 to about 100 nm, with a deposited thickness of from about 20 to about 80 nm being more highly preferred. Dielectric film 20 is an amorphous film which may further comprise a multiplicity of nanometer-sized pores. The pores comprise between 0 and 50%, preferably between 0 and 30% of the film's volume. The diameters of these pores are between 0.2 and about 10 nm, and preferably between 0.2 and 4 nm.
  • The dielectric film typically contains from about 10 to about 40, more preferably from about 20 to about 35 atomic percent Si; from about 15 to about 50, more preferably from about 25 to about 40, atomic percent C; and from about 25 to about 50, more preferably from about 30 to about 45, atomic percent H. The optional element oxygen is present in an amount from about 1 to about 15, more preferably from about 5 to about 10, atomic percent. The optional atoms Ge and/or halogen are present in an amount from about 1 to about 10, more preferably about 5, atomic percent.
  • Dielectric film 20 having the first phase is formed by placing the substrate shown in FIG. 1A into a reactor chamber of a parallel plate chemical vapor deposition (CVD) apparatus. Any suitable parallel plate CVD apparatus can be used in the present invention. In the case of FIG. 1B, that structure is already present in the reactor chamber. In FIG. 1B, dielectric film 20 would be formed atop thin nitrogen-containing film 18.
  • FIG. 2 is a schematic of a typical parallel plate CVD reactor that can be employed in the present invention. The parallel plate CVD reactor (labeled as element 100) is the kind generally used in processing 200 mm wafers. The inner diameter, X, of the reactor 100 is approximately 13 inches, while its height, Y, is approximately 8.5 inches. The diameter of substrate chuck 102 is approximately 10.8 inches. The foregoing values may vary and are provided only for illustrative purposes. Reactant gases are introduced into reactor 100 through a gas distribution plate (“GDP”) 106 that is spaced apart from substrate chuck 102 by a gap Z of about 1 inch, and are exhausted out of reactor 100 through exhaust port 108. RF power 110 is connected to GDP 106, which is electrically insulated from reactor 100, and substrate chuck 102 is grounded. For practical purposes, all other parts of the reactor are grounded.
  • In a different embodiment, RF power 110 can be connected to substrate chuck 102 and transmitted to substrate 10. In this case, the substrate acquires a negative bias, whose value is dependent on the reactor geometry and plasma parameters. In another embodiment, more than one electrical power supply can be used. For instance, two power supplies can operate at the same RF frequency, or one may operate at a low frequency and one at a high frequency. The two power supplies may be connected both to the same electrode or to separate electrodes. In another embodiment, the RF power supply can be pulsed on and off during deposition. Process variables controlled during deposition of the dielectric film are RF power, precursor mixture and flow rate, pressure in reactor, and substrate temperature.
  • Surfaces 114 of reactor 100 may be coated with an insulating coating material. For instance, one specific type of coating is applied on reactor walls 114 to a thickness of several mils. Another type of coating material that may be used on substrate chuck 102 is a thin coating of alumina or other insulator resistant to etching with an oxygen plasma. The temperature of the heated wafer chuck controls the substrate temperature.
  • In accordance with the present invention, dielectric film 20 is formed by first flowing a precursor gas mixture of molecules comprising atoms of at least Si, C and H, optionally Ge, O, halogen with organic molecules and optionally another diluent gas into the reactor chamber containing the substrate shown in FIG. 1A. Specifically, the precursor gas mixture includes an admixture of a first precursor gas, a second precursor gas, and a diluent gas, which is injected into the reactor chamber to form dielectric layer 20. The diluent gas can be an inert gas such as He or Ar or a gas with low reactivity such as CO2, or can be a mixture of these gases.
  • The first precursor gas is any gas such as an organosilane which includes atoms of Si, C and H, optionally O. The organosilanes that are employed in the present invention may be characterized by the following formula: H4-xSiRx, wherein each R is the same or different and is a C1-22 alkyl, C2-22 alkenyl, C2-22 alkynyl or an alkoxy containing from 1 to 22 carbon atoms; and x is a number from 0-4. The R groups of the organosilane may be linear or branched, substituted or unsubstituted, and/or cyclic. Examples of suitable organosilanes include, but are not limited to: methylsilane, dimethylsilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, ethylsilane, various substituted ethylsilanes, tetramethylcyclotetrasiloxane and the like. A further description of other types of materials that can be employed in the present invention as the first precursor gas can be found in co-assigned U.S. application Ser. No. 09/938,949, the entire content of which is incorporated herein by reference.
  • In accordance with the present invention, the precursor gas mixture also includes a second organic precursor gas which contains atoms of C and H (e.g., a hydrocarbon gas) and optionally O, F and N. Examples of second precursors gases that can be employed in the present invention, include, but are not limited to: methane, ethylene, acetylene, cyclohexane, toluene, xylenes including paraxylene, cyclopentene oxide, cyclohexene oxide, cyclooctene oxide, 1,4-epoxycylohexene oxide and the like. A farther description of other types of materials that can be employed in the present invention as the second precursor gas can be found in co-assigned U.S. application Ser. No. 09/938,949, the entire content of which is incorporated herein by reference. In the '949 application, the organic molecules containing C, H and O atoms and at least one ring can be used in the present invention as the second precursor gas.
  • In some embodiments, the first precursor may further be mixed with CO2 or He as a carrier gas or the first and second precursor gases may be mixed with CO2 or He or a mixture of CO2 and O2 in the PECVD reactor. The addition of CO2 to the first precursor as a carrier gas, or the addition of He or CO2 or a mixture of CO2 and O2 to the first and second precursors in the PECVD reactor provides a stabilizing effect on plasma in the PECVD reactor and improves the uniformity of the film deposited on the substrate. When He or CO2 is admixed with the first and second precursors, the amount of He or CO2 may be from about 25 sccm to about 10000 sccm, and more preferably from about 50 sccm to about 5000 sccm. When a mixture of CO2 and O2 is admixed with the first and second precursors, the amount of CO2 admixed may be from about 25 seem to about 10000 sccm and the amount of O2 admixed may be from about 0.5 sccm to 500 sccm. More preferably, the amount of CO2 is from about 50 sccm to about 5000 sccm and the amount of O2 is from about 1 sccm to about 300 sccm. Within the invention, mixtures of CO2 with He, Ar, Ne, Kr, or Xe may be used.
  • The main process variables controlled during the deposition of the dielectric film are the RF power, the flow rates of the first and second precursors and diluent gas, the reactor pressure and the substrate temperature. The deposition conditions utilized are critical to enable a successful implementation of the deposition process according to the present invention. For instance, a wafer temperature of between about 25° C. and about 325° C., and preferably of between about 60° C. and about 200° C. is utilized. A RF power density between about 0.05 W/cm2 and about 4.0 W/cm2, and preferably between about 0.25 W/cm2 and about 2 W/cm2 is utilized.
  • A reactant gas flow rate of first precursor gas between about 5 sccm and about 1000 sccm, and preferably between about 25 seem and about 200 sccm is utilized. A reactant gas flow rate of second precursor gas between about 5 sccm and about 1000 seem, and preferably between about 10 sccm and about 120 sccm is utilized. A diluent gas, inert or CO2 may be further added to the gas mixtures in amounts described above. Reactor pressure during the deposition process between about 50 mTorr and about 5000 mTorr, and preferably between about 100 mTorr and about 3000 mTorr is utilized.
  • Next, excess precursor gas mixture is evacuated from the reactor chamber and then the structure shown in FIG. 1C is subjected to a plasma treatment to render a top surface portion of dielectric film 20 more dense and less permeable to gases thereby allowing the nitrogen employed in the subsequent step of the present invention to substantially remain at an upper surface of dielectric film. The densified dielectric portion of dielectric layer 20 is labeled as 22 in FIG. 1D. The plasma employed can be any suitable gas, preferably, for example He, and it is typically injected into the reactor for a time period from about 10 to about 60 seconds with the power ranging from about 100 to about 500 watts.
  • Following plasma treatment, nitrogen atoms are introduced into upper portions of densified dielectric film 22. The upper portion containing the nitrogen atoms is labeled as element 24 in FIG. 1E. The upper portions of the densified dielectric film may also be referred to as a nitrided surface region. The nitrided surface region is formed utilizing the procedure described above in forming optional thin nitrogen-containing film 18. The nitrided surface region has approximately the same thickness and nitrogen content as optional thin nitrogen-containing film 18.
  • In some embodiments of the present invention, the steps of plasma deposition, plasma treatment and surface nitridation is repeated any number of times. The repeated steps of plasma treatment and nitridation provide a means for incorporating nitrogen atoms into different regions of the dielectric film, which subsequently will be converted into the inventive diffusion barrier. For example, plasma treatment followed by nitridation provides a surface nitride region. Repeated plasma deposition-plasma treatment-nitridation-plasma deposition-plasma treatment-nitridation provides a nitrided surface region and another nitrogen region that is incorporated below the surface nitride region.
  • The dielectric structure containing the nitrided region(s) and, if present the optional thin nitrogen-containing film, is stabilized before undergoing further integration processing. The stabilization process can be performed in a furnace-annealing step at about 300° C. to about 400° C. for a time period between about 0.5 hours and about 4 hours. The stabilization process can also be performed in a rapid thermal annealing process at temperatures above about 300° C. The stabilization anneal is performed in non-oxidizing ambient such as He, N2, or Ar.
  • During the annealing process, the dielectric film containing the surface densified and nitrided region is converted into diffusion barrier 26, See FIG. 1F. The diffusion barrier includes a second phase comprised of atoms of least Si, C, H and N, and it has a dielectric constant of less than 3.5, preferably less than 3.0. A further characteristic of the inventive diffusion barrier is that the N atoms are not uniformly distributed within the diffusion barrier. In accordance with the present invention, barrier layer 26 thus include upper nitrogen-containing surface region 24, densified region 22 as well as original dielectric film 20. In the remaining drawings, labels 20, 22 and 24 are omitted, but are nevertheless meant to be included within the inventive diffusion barrier.
  • The diffusion barrier including the nitrogen-containing regions has a thickness after annealing from about 10 to about 100 nm, with a thickness from about 20 to about 80 nm being more highly preferred. The diffusion barrier typically contains from about 10 to about 40, more preferably from about 20 to about 35 atomic percent Si; from about 15 to about 50, more preferably from about 25 to about 40, atomic percent C; and from about 25 to about 50, more preferably from about 30 to about 45, atomic percent H. The optional element oxygen is present in an amount from about 1 to about 15, more preferably from about 5 to about 10, atomic percent. The optional atoms Ge and/or halogen are present in an amount from about 1 to about 10, more preferably about 5, atomic percent.
  • The diffusion barrier is further characterized as an amorphous structure, which may further comprise, in at least non-densified layer 20, a multiplicity of nanometer-sized pores. The pores comprise between 0 and 50%, preferably between 0 and 30% of the film's volume. The diameters of these pores are between 0.2 and about 10 nm and preferably between 0.2 and 4 μm.
  • Once the first diffusion barrier has been formed, a second layer of dielectric layer 27 may be formed atop the barrier layer utilizing any of the processing techniques which were used in depositing the first dielectric layer, i.e., dielectric layer 14. In an optional embodiment of the present invention, an adhesion promoter may be formed prior to formation of second dielectric layer 27. Suitable adhesion promoters for use herein include conventional adhesion promoters known to one skilled in the art. Preferred adhesion promoters include organosilanes such as, for example, gamma-amino propylsilane and the like. Techniques for treating the surface of diffusion barrier with an adhesion promoter are within purview of one skilled in the art, for example, by a spin coater or by a PECVD process. Second dielectric layer 27 may be composed of the same or different dielectric as that of first dielectric layer 14. The dielectric layer formed at this point of the present invention typically has a thickness of from about 200 to about 1000 nm, with a thickness of from about 300 to about 700 nm being more highly preferred. The resultant structure containing second dielectric layer 27 is shown, for example, in FIG. 10.
  • Once second dielectric layer 27 has been formed on the surface of the diffusion barrier, line openings 28 and via openings 30 are then created (by conventional lithography and etching) within second dielectric layer 27 and the diffusion barrier (including surface nitrided regions) to expose the top surface of at least one of the underlying conductive elements. See FIG. 1H. It is particularly advantageous to create line and via openings that are mono and dual damascene (via plus next level conductor) wiring structure for use herein.
  • Following the formation of openings 28 and 30, a conductive metal material is deposited within and fills each of the openings to form a second set of conductive elements 32 as is shown, for example, in FIG. 1I. The second set of conductive metal elements can be formed using the same processes as used in forming the previous set of conductive elements. The metal of the second set of conductive elements may be the same or different from the metal used in forming the previous set of conductive elements. Cu is again the preferred material for the second set of conductive elements.
  • A second diffusion barrier 34 (including non-densified regions, densified regions and nitride surface) can be formed atop the planar surface of the structure shown in FIG. 1I providing the structure shown, for example, in FIG. 1J. The second diffusion barrier is made using the same technique as mentioned above in forming the previous diffusion barrier. Furthermore, the second diffusion barrier is composed of the same basic composition as that of the previous diffusion barrier.
  • The procedure of forming a dielectric material, creating line and via openings in the dielectric material, filling the openings with a conductive metal material and forming the inventive diffusion barrier atop the surface of the dielectric material containing the conductive elements can be repeated any number of times to provide multi-level wiring structures.
  • FIGS. 3A-3C (enlarged views) illustrate different types of diffusion barriers that can be formed in the present invention. Reference numeral 50 denotes dielectric material comprised of Si, C and H, optionally O, Ge and/or halogen, while reference numeral 52 denotes regions containing nitrogen-atoms. In FIG. 3A, the nitrogen atoms are located in the upper surface of the diffusion barrier. In FIG. 3B, the nitrogen atoms are present in the lower region and the upper region of the diffusion barrier; while in FIG. 3C, upper, middle and lower regions containing nitrogen atoms are shown.
  • The following examples are provided to illustrate the fabrication of the inventive diffusion barrier and to demonstrate some advantages that can be obtained therefrom.
  • EXAMPLE 1
  • In order to evaluate the effectiveness of the inventive diffusion barrier, test structures were built. The substrates for these structures consisted of n-type silicon with 1000 Å thick thermally grown SiO2. The control consisted of 500 Å thick TEOS film deposited on the silicon dioxide and the test structure consisted of the inventive diffusion barrier of Example 3 on the silicon dioxide. The inventive diffusion barrier was made in accordance with the present invention. Copper and aluminum dots were deposited on the top surface of each wafer. Triangular Voltage Sweep (TVS) measurements were performed to determine the copper penetration into the underlying SiO2. These measurements are performed at 300° C. and consist of a stress to push Cu+ ions through the barrier and a voltage ramp to measure the current due to the motion of this and other ions. The results, which are shown in FIG. 4, for the barrier sample, and FIG. 5, for the control, show copper penetration only in the TEOS capped control (copper diffusion through TEOS is well known), but not through the barrier film. The curve corresponding to the Al dot in FIG. 4 shows a sodium peak near −15 volts with no other ions present. The curves corresponding to Cu dots do not have any peaks, indicating no or low (<109/cm2) Cu penetration. Some noise is seen on one Cu dot curve suggesting leakage at that site. In contrast to that, a large copper signal is easily seen in FIG. 5 as a broad peak between −5 and −25 volts. The TVS measurements thus show that the films of this invention are good diffusion barriers and that the Cu diffusion was below the detection level. The TVS method is more sensitive than many other methods to detect copper mobility through a diffusion barrier film.
  • EXAMPLE 2
  • In this example, the substrate was heated to a temperature of 180° C. and the diffusion barrier film was deposited from a mixture of trimethylsilane (3MS)/He/cyclopentene oxide (CPO); flow rate was 10+25+3 sccm, respectively. The pressure in the reactor was controlled at 500 mtorr and the plasma was sustained with an RF generator of 13.56 MHz at 50 W. The film was deposited for 75 seconds to obtain a thickness of 50 nm.
  • The reactor chamber was then evacuated and the deposited film was exposed for 30 seconds to a He plasma, at a He flow of 40 sccm, 100 mtorr and 50W RF, followed by 1 minute exposure to a plasma of He+NH3=40+10 sccm, 100 mtorr, 50W RF. As a result of these treatments the surface layer of the film was densified and nitrided. The film was then annealed at 400° C. in an inert ambiance.
  • EXAMPLE 3
  • In this example, the film has been prepared similar to the procedure in Example 2, but the deposition was done for 37 seconds, followed by the He and He+NH3 plasma treatment and this procedure was repeated twice. The obtained film had about the same total thickness as the film described in Example 2 but had middle and top densified and nitrided layers. The film was then annealed at 400° C. in an inert ambient.
  • EXAMPLE 4
  • In yet another example, the substrate was heated to a temperature of 200° C. and the barrier film was deposited from a mixture of 3MS+He+paraxylene=80+200+10 sccm. The pressure in the reactor was controlled at 3 torr and the plasma was sustained with an RF generator of 13.56 MHz at 300 W.
  • The reactor chamber was then evacuated and the deposited film was exposed for 30 seconds to a He plasma, at an He flow of 200 sccm, 100 mtorr and 50W RF, followed by 1 minute exposure to a plasma of He+NH3=40+10 sccm, 100 mtorr, 50W RF. As a result of these treatments the surface layer of the film was densified and nitrided.
  • The film was then annealed at 400° C. in an inert atmosphere.
  • Other conditions for He treatment that may be employed in these examples include:
  • He flow 100-500 sccm;
  • Pressure 8.7 torr;
  • Power 250 Watts;
  • Time 20 seconds;
  • and other conditions for the He+NH3 treatment that may be employed in these examples include:
  • He flow 200 sccm;
  • NH3 flow 200 sccm;
  • Pressure=8.7 torr;
  • Power=250 Watts;
  • Time=10 seconds.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (24)

1. An electronic device comprising:
a substrate containing a plurality of conductive elements; and
a diffusion barrier having a dielectric constant of less than 3.5 located atop at least a portion of the substrate, said diffusion barrier comprising atoms of at least Si, C, H and N and is capable of substantially preventing Cu and/or oxygen from diffusing therethrough, said N atoms being non-uniformly distributed within the diffusion barrier.
2. The electronic device of claim 1 wherein said conductive elements are composed of Al or Cu.
3. The electronic device of claim 1 wherein said conductive elements are formed into openings which are located in a first dielectric layer.
4. The electronic device of claim 1 wherein said N atoms are located in an upper region of said diffusion barrier.
5. The electronic device of claim 1 wherein said N atoms are located in an upper region and a middle region of diffusion barrier.
6. The electronic device of claim 4 further comprising a lower region containing said N atoms.
7. The electronic device of claim 5 further comprising a lower region containing said N atoms.
8. The electronic device of claim 1 wherein said Si atoms are present in an amount from about 10 to about 40 atomic percent; said C atoms are present in an amount from about 15 to about 50 atomic percent; said H atoms are present in an amount from about 25 to about 50 atomic percent; and said N atoms are present in an amount from about 1 to about 20 atomic percent in densified layers of the diffusion barrier.
9. The electronic device of claim 1 wherein said diffusion barrier further comprising atoms of O, Ge, halogen or mixtures thereof.
10. A method of fabricating a diffusion barrier structure comprising the steps of:
positioning a substrate containing a plurality of conductive elements in a reactor chamber of a parallel plate plasma enhanced chemical vapor deposition reactor;
flowing a precursor gas containing a mixture of molecules comprising atoms of at least Si, C and H and organic molecules into the reactor chamber;
forming a dielectric film comprising a first phase comprised of atoms of at least Si, C and H onto at least a portion of said substrate utilizing at least said precursor gas mixture;
exposing the dielectric film to a plasma so as to densify a top layer of the dielectric film;
introducing nitrogen atoms into at least a portion of the densified dielectric film; and
annealing to convert said dielectric film containing nitrogen atoms into a diffusion barrier having a dielectric constant of less than 3.5 and said N atoms are non-uniformly distributed within the diffusion barrier.
11. The method of claim 10 wherein said precursor gas mixture comprises a first precursor gas, a second precursor gas and an diluent gas.
12. The method of claim 11 wherein said first precursor gas comprises atoms of Si, C and H and optionally Ge, O, a halogen or mixtures thereof.
13. The method of claim 12 wherein said first precursor gas is an organosilane.
14. The method of claim 11 wherein said second precursor gas comprises atoms of C and H, and optionally Ge, O, a halogen or mixtures thereof.
15. The method of claim 14 wherein said second precursor gas is a hydrocarbon.
16. The method of claim 11 wherein said diluent gas is comprised of He.
17. The method of claim 11 wherein said diluent gas is comprised of CO2 or mixtures of CO2 with O2, or CO2 with He, Ar, Ne, or Xe.
18. The method of claim 10 wherein said nitrogen atoms are introduced using pure NH3 or a mixture comprising at least a nitrogen-containing gas.
19. The method of claim 10 wherein said steps of plasma exposure and introducing nitrogen atoms are repeated at least twice.
20. The method of claim 10 further comprising flowing a cleaning gas into said reactor to remove oxides and other undesirable compounds from said substrate prior to flowing said precursor gas.
21. The method of claim 10 wherein said plasma enhanced chemical vapor deposition reactor comprises a parallel plate reactor.
22. The method of claim 10 wherein said annealing is performed at a temperature from about 200° to about 500° C.
23. A method of fabricating a diffusion barrier structure comprising the steps of:
positioning a substrate containing a plurality of conductive elements in a reactor chamber of a plasma enhanced chemical vapor deposition reactor;
flowing a precursor gas containing a mixture of molecules comprising atoms of at least Si, C and H and organic molecules into the reactor chamber;
forming a dielectric film comprising a first phase comprised of atoms of at least Si, C and H onto at least a portion of said substrate utilizing at least said precursor gas mixture;
exposing the dielectric film to a plasma so as to densify a top layer of the dielectric film; and
introducing nitrogen atoms into at least a portion of the densified dielectric film.
24. The method of claim 23 wherein said plasma enhanced chemical vapor deposition reactor comprises a parallel plate reactor.
US11/763,829 2002-12-16 2007-06-15 Diffusion barrier with low dielectric constant and semiconductor device containing same Abandoned US20070237970A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/763,829 US20070237970A1 (en) 2002-12-16 2007-06-15 Diffusion barrier with low dielectric constant and semiconductor device containing same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/320,111 US7252875B2 (en) 2002-12-16 2002-12-16 Diffusion barrier with low dielectric constant and semiconductor device containing same
US11/763,829 US20070237970A1 (en) 2002-12-16 2007-06-15 Diffusion barrier with low dielectric constant and semiconductor device containing same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/320,111 Division US7252875B2 (en) 2002-12-16 2002-12-16 Diffusion barrier with low dielectric constant and semiconductor device containing same

Publications (1)

Publication Number Publication Date
US20070237970A1 true US20070237970A1 (en) 2007-10-11

Family

ID=32506797

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/320,111 Expired - Fee Related US7252875B2 (en) 2002-12-16 2002-12-16 Diffusion barrier with low dielectric constant and semiconductor device containing same
US11/763,829 Abandoned US20070237970A1 (en) 2002-12-16 2007-06-15 Diffusion barrier with low dielectric constant and semiconductor device containing same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/320,111 Expired - Fee Related US7252875B2 (en) 2002-12-16 2002-12-16 Diffusion barrier with low dielectric constant and semiconductor device containing same

Country Status (1)

Country Link
US (2) US7252875B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US9054048B2 (en) * 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US10354912B2 (en) * 2016-03-21 2019-07-16 Qualcomm Incorporated Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US10326019B2 (en) 2016-09-26 2019-06-18 International Business Machines Corporation Fully-depleted CMOS transistors with U-shaped channel
CN109216261B (en) * 2017-07-03 2021-07-09 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194328B1 (en) * 1998-12-09 2001-02-27 Advanced Micro Devices, Inc. H2 diffusion barrier formation by nitrogen incorporation in oxide layer
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US20030162034A1 (en) * 2001-05-23 2003-08-28 O'neill Mark Leonard Low dielectric constant material and method of processing by CVD
US6784485B1 (en) * 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08130248A (en) * 1994-09-06 1996-05-21 Sanyo Electric Co Ltd Deposition of film and fabrication of semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194328B1 (en) * 1998-12-09 2001-02-27 Advanced Micro Devices, Inc. H2 diffusion barrier formation by nitrogen incorporation in oxide layer
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6784485B1 (en) * 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US20030162034A1 (en) * 2001-05-23 2003-08-28 O'neill Mark Leonard Low dielectric constant material and method of processing by CVD

Also Published As

Publication number Publication date
US7252875B2 (en) 2007-08-07
US20040115407A1 (en) 2004-06-17

Similar Documents

Publication Publication Date Title
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR100960755B1 (en) A method of depositing dielectric materials in damascene applications
US6479409B2 (en) Fabrication of a semiconductor device with an interlayer insulating film formed from a plasma devoid of an oxidizing agent
US7309514B2 (en) Electron beam modification of CVD deposited films, forming low dielectric constant materials
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US6852647B2 (en) Removable amorphous carbon CMP stop
US6077574A (en) Plasma CVD process for forming a fluorine-doped SiO2 dielectric film
KR100650226B1 (en) In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications
US20070237970A1 (en) Diffusion barrier with low dielectric constant and semiconductor device containing same
US7901783B2 (en) Low κ dielectric inorganic/organic hybrid films and method of making
KR101006329B1 (en) DUV LASER ANNEALING AND STABILIZATION OF SiCOH FILMS
US6911405B2 (en) Semiconductor device and method of manufacturing the same
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
EP1280194A2 (en) Manufacturing method of semiconductor device
US20020180051A1 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
EP1316108A1 (en) Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
KR20010106215A (en) Semiconductor device and method of manufacturing the same
US6784485B1 (en) Diffusion barrier layer and semiconductor device containing same
US20020137323A1 (en) Metal ion diffusion barrier layers
KR100476127B1 (en) Semiconductor device and method of manufaturing the same
KR101106425B1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
EP1247876A2 (en) Method for manufacturing a semiconductor device
EP1128421A2 (en) Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: ALSEPHINA INNOVATIONS INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049709/0871

Effective date: 20181126