US20070286954A1 - Methods for low temperature deposition of an amorphous carbon layer - Google Patents

Methods for low temperature deposition of an amorphous carbon layer Download PDF

Info

Publication number
US20070286954A1
US20070286954A1 US11/451,916 US45191606A US2007286954A1 US 20070286954 A1 US20070286954 A1 US 20070286954A1 US 45191606 A US45191606 A US 45191606A US 2007286954 A1 US2007286954 A1 US 2007286954A1
Authority
US
United States
Prior art keywords
substrate
amorphous carbon
carbon film
hydrocarbon compound
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/451,916
Inventor
Sum-Yee Tang
Hsin Chiao Luan
Kwangduk Douglas Lee
Bok Hoen Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/451,916 priority Critical patent/US20070286954A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, BOK HOEN, LEE, KWANGDUK DOUGLAS, LUAN, HSIN CHIAO, TANG, SUM-YEE
Priority to KR1020070057161A priority patent/KR20070118968A/en
Priority to CNA2007101107754A priority patent/CN101122011A/en
Publication of US20070286954A1 publication Critical patent/US20070286954A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Definitions

  • the present invention relates to fabrication of integrated circuits and to a process for depositing materials on a substrate. More specifically, the invention relates to a low temperature process for depositing carbon materials on a substrate.
  • Integrated circuits have evolved into complex devices that can include millions of transistors, capacitors and resistors on a single chip.
  • the evolution of chip designs continually requires faster circuitry and greater circuit density.
  • the demands for faster circuits with greater circuit densities impose corresponding demands on the materials used to fabricate such integrated circuits.
  • low resistivity conductive materials e.g., copper
  • low dielectric constant insulating materials dielectric constant less than about 4
  • a layer of energy sensitive resist is formed over a stack of material layers disposed on a substrate.
  • the energy sensitive resist layer is exposed to an image of a pattern to form a photoresist mask.
  • the mask pattern is transferred to one or more of the material layers of the stack using an etch process.
  • the chemical etchant used in the etch process is selected to have a greater etch selectivity for the material layers of the stack than for the mask of energy sensitive resist. That is, the chemical etchant etches the one or more layers of the material stack at a rate much faster than the energy sensitive resist.
  • the etch selectivity to the one or more material layers of the stack over the resist prevents the energy sensitive resist from being consumed prior to completion of the pattern transfer.
  • a highly selective etchant enhances accurate pattern transfer.
  • the thickness of the energy sensitive resist must correspondingly be reduced in order to control pattern resolution.
  • Such thin resist layers e.g., less than about 6000 ⁇
  • An intermediate layer e.g., silicon oxynitride, silicon carbine or carbon film
  • a hardmask is often used between the energy sensitive resist layer and the underlying material layers to facilitate pattern transfer because of its greater resistance to the chemical etchant.
  • current deposition processes for hardmasks result in insufficient step coverage and/or poor sidewall protection in structures having uneven surface heights. Poor step coverage and/or non-uniform sidewall protection of the hardmask on the uneven surface of the substrate makes successful pattern transfer increasingly difficult as pattern densities continue to shrink.
  • a rework process may be performed to remove the resist layer from the substrate and repattern the substrate with a new resist layer.
  • the surface of the underlying layer e.g., the hardmask layer, may be attacked by the etchant used to remove the resist mask, thereby causing thickness of the hardmask to be reduced or the profile of the hardmask to be undercut.
  • the hardmask thickness loss or undercut profile associated with the rework process changes the uniformity and/or step coverage of the new resist layer formed over the hardmask layer, thereby contributing to inaccurate transfer of the desired pattern to the film stack, which may adversely influence subsequent processes used for interconnect formation and disadvantageously impact the overall electrical performance of the device.
  • the method includes providing a substrate in a process chamber, flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms, maintaining the substrate temperature below 450 degrees Celsius, and depositing an amorphous carbon film on the substrate.
  • the method includes providing a substrate in a process chamber, flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms, maintaining the substrate temperature between about 250 degrees Celsius and about 450 degrees Celsius, and depositing an amorphous carbon film on the substrate.
  • the method includes providing a substrate having patterned structure in a process chamber, flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms, maintaining the substrate temperature at a range between about 250 degrees Celsius and about 450 degrees Celsius, and depositing an amorphous carbon film on the substrate, wherein the amorphous carbon film has a step coverage ratio greater than 20 percent.
  • FIG. 1 depicts a schematic illustration of an apparatus that can be used for the practice of this invention
  • FIG. 2 depicts a flow process diagram of a deposition process according to one embodiment of the present invention
  • FIG. 3 depicts schematic cross-sectional views of a substrate structure incorporating an amorphous carbon layer as a hardmask layer
  • FIG. 4 depicts schematic cross-sectional views of a substrate structure of conventional deposition process with a dielectric layer deposited thereon.
  • the present invention provides a method of forming an amorphous carbon film.
  • the amorphous carbon film is suitable for use as a hardmask layer.
  • the amorphous carbon film is deposited by decomposing a gas mixture including a hydrocarbon compound and an inert gas at a low process temperature, e.g, below about 450 degrees Celsius.
  • the hydrocarbon compound in the gas mixture has greater than 5 carbon atoms selected to promote the conformal deposition reaction on the sidewall and surface of the substrate, thereby improving the conformity and step coverage of the deposited amorphous carbon film.
  • FIG. 1 is a schematic representation of a substrate processing system 132 that can be used to perform amorphous carbon layer deposition in accordance with embodiments of the present invention. Details of one example of a substrate processing system 132 that may be used to practice the invention is described in commonly assigned U.S. Pat. No. 6,364,954 issued on Apr. 2, 2002, to Salvador et. al. and is herein incorporated by reference. Other examples of systems that may be used to practice the invention include CENTURA® systems, PRECISION 5000® systems and PRODUCER® systems, all available from Applied Materials Inc., Santa Clara, Calif. It is contemplated that other processing system, including those available from other manufacturers, may be adapted to practice the invention.
  • the processing system 132 includes a process chamber 100 coupled to a gas panel 130 and a controller 110 .
  • the process chamber 100 generally includes a top 124 , a side 101 and a bottom wall 122 that define an interior volume 126 .
  • a support pedestal 150 is provided in the interior volume 126 of the chamber 100 .
  • the pedestal 150 may be typically fabricated from aluminum, ceramic, and other suitable materials. The pedestal 150 may be moved in a vertical direction inside the chamber 100 using a displacement mechanism (not shown).
  • the pedestal 150 may include an embedded heater element 170 suitable for controlling the temperature of a substrate 190 supported on the pedestal 150 .
  • the pedestal 150 may be resistively heated by applying an electric current from a power supply 106 to the heater element 170 .
  • the heater element 170 may be made of a nickel-chromium wire encapsulated in a nickel-iron-chromium alloy (e.g., INCOLOY®) sheath tube.
  • the electric current supplied from the power supply 106 is regulated by the controller 110 to control the heat generated by the heater element 170 , thereby maintaining the substrate 190 and the pedestal 150 at a substantially constant temperature during film deposition.
  • the supplied electric current may be adjusted to selectively control the temperature of the pedestal 150 between about 100 degrees Celsius to about 700 degrees Celsius.
  • a temperature sensor 172 such as a thermocouple, may be embedded in the support pedestal 150 to monitor the temperature of the pedestal 150 in a conventional manner. The measured temperature is used by the controller 110 to control the power supplied to the heating element 170 to maintain the substrate at a desired temperature.
  • a vacuum pump 102 is coupled to a port formed in the bottom of the chamber 100 .
  • the vacuum pump 102 is used to maintain a desired gas pressure in the process chamber 100 .
  • the vacuum pump 102 also evacuates post-processing gases and by-products of the process from the chamber 100 .
  • a showerhead 120 having a plurality of apertures 128 is disposed on the top of the process chamber 100 above the substrate support pedestal 150 .
  • the apertures 128 of the showerhead 120 are utilized to introduce process gases into the chamber 100 .
  • the apertures 128 may have different sizes, number, distributions, shape, design, and diameters to facilitate the flow of the various process gases for different process requirements.
  • the showerhead 120 is connected to the gas panel 130 that allows various gases to supply to the interior volume 126 during process.
  • a plasma is formed from the process gas mixture exiting the showerhead 120 to enhance thermal decomposition of the process gases resulting in the deposition of material on a surface 191 of the substrate 190 .
  • the showerhead 120 and substrate support pedestal 150 may be formed a pair of spaced apart electrodes in the interior volume 126 .
  • One or more RF sources 140 provide a bias potential through a matching network 138 to the showerhead 120 to facilitate generation of a plasma between the showerhead 120 and the pedestal 150 .
  • the RF power sources 140 and matching network 138 may be coupled to the showerhead 120 , substrate pedestal 150 , or coupled to both the showerhead 120 and the substrate pedestal 150 , or coupled to an antenna (not shown) disposed exterior to the chamber 100 .
  • the RF sources 140 may provide between about 500 Watts and about 3000 Watts at a frequency of about 50 kHz to about 13.6 MHz.
  • the controller 110 includes a central processing unit (CPU) 112 , a memory 116 , and a support circuit 114 utilized to control the process sequence and regulate the gas flows from the gas panel 130 .
  • the CPU 112 may be of any form of a general purpose computer processor that may be used in an industrial setting.
  • the software routines can be stored in the memory 116 , such as random access memory, read only memory, floppy, or hard disk drive, or other form of digital storage.
  • the support circuit 114 is conventionally coupled to the CPU 112 and may include cache, clock circuits, input/output systems, power supplies, and the like. Bidirectional communications between the control unit 110 and the various components of the apparatus 132 are handled through numerous signal cables collectively referred to as signal buses 118 , some of which are illustrated in FIG. 1 .
  • FIG. 2 illustrates a process flow diagram of a method 200 for depositing an amorphous carbon film according to one embodiment of the present invention.
  • FIG. 3 is schematic cross-sectional view illustrating an amorphous carbon film as a hardmask layer deposited according to the method 200 .
  • the method 200 begins at step 202 by providing a substrate in a process chamber.
  • the process chamber may be the process chamber 100 as described in FIG. 1 . It is contemplated that other process chambers, including those available from other manufacturers, may be utilized.
  • the substrate 190 as shown in FIG. 3 , has patterned structures 310 disposed on the surface 191 of the substrate 190 . Alternatively, the substrate 190 may have a surface having trenches, holes, or vias formed therein. The substrate 190 may also be a substantially planar surface or a substantially planar surface having a structure formed thereon or therein at a desired elevation.
  • the substrate may include a silicon layer utilized to form a gate electrode.
  • the substrate may include a silicon oxide layer deposited over a silicon layer.
  • the substrate may include one or more layers of other materials utilized to fabricate semiconductor devices.
  • a gas mixture is flowed from the gas panel 130 into the process chamber 100 through the showerhead 120 .
  • the gas mixture includes at least a hydrocarbon compound and an inert gas.
  • the hydrocarbon compound has greater than 5 carbon atoms.
  • the hydrocarbon compound has a formula C x H y , where x has a range between 5 and 10 and y has a range of between 6 and 22.
  • suitable hydrocarbon compounds are saturated or unsaturated aliphatic or alicyclic hydrocarbons and aromatic hydrocarbons.
  • aliphatic hydrocarbons include, for example, alkanes such as pentane, hexane, heptane, octane, nonane, decane, and the like; alkenes such as pentene, and the like; dienes such as isoprene, pentadiene, hexadiene and the like; alkynes such as acetylene, vinylacetylene and the like.
  • Alicyclic hydrocarbons include, for example, cyclopropane, cyclobutane, cyclopentane, cyclopentadiene, toluene, and the like.
  • Aromatic hydrocarbons include, for example, benzene, styrene, toluene, xylene, pyridine, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furan, and the like. Additionally, alpha-terpinene, cymene, 1,1,3,3,-tetramethylbutylbenzene, t-butylether, t-butylethylene, methyl-methacrylate, and t-butylfurfurylether may be utilized.
  • one or more hydrocarbon compounds may be mixed with the hydrocarbon compound in the gas mixture supplied to the process chamber.
  • compounds may be selected that contain oxygen, such as a compound that has an oxygen atom that substitutes a carbon in a benzene ring.
  • Compounds for oxygen tailoring may contain a hydroxyl group.
  • a mixture of two or more hydrocarbon compounds may be used to deposit the amorphous carbon material.
  • partially or completely doped derivatives of the hydrocarbon compounds may be used.
  • the derivatives include nitrogen, fluorine, oxygen, hydroxyl group, and boron-containing derivatives of the hydrocarbon compounds as well as fluorinated derivatives thereof.
  • fluorinated derivatives of hydrocarbon compounds are fluorinated alkanes, halogenated alkanes, and halogenated aromatic compounds.
  • Fluorinated alkanes include, for example, monofluoromethane, difluoromethane, trifluoromethane, tetrafluoromethane, monofluoroethane, tetrafluoroethanes, pentafluoroethane, hexafluoroethane, monofluoropropanes, trifluoropropanes, pentafluoropropanes, perfluoropropane, monofluorobutanes, trifluorobutanes, tetrafluorobutanes, octafluorobutanes, difluorobutanes, monofluoropentanes, pentafluoropentanes, tetrafluorohexanes, tetrafluoroheptanes, hexafluoroheptanes, difluorooctanes, pentafluorooctanes, difluorotetrafluoroocta
  • Halogenated alkenes include monofluoroethylene, difluoroethylenes, trifluoroethylene, tetrafluoroethylene, monochloroethylene, dichloroethylenes, trichloroethylene, tetrachloroethylene, and the like.
  • Halogenated aromatic compounds include monofluorobenzene, difluorobenzenes, tetrafluorobenzenes, hexafluorobenzene and the like.
  • the hydrocarbon compound has greater than 5 carbon atoms.
  • the hydrocarbon compounds having greater than 5 carbon atoms provide enhanced film coverage, such as step coverage, and improved conformality over amorphous carbon deposited using conventional deposition processes.
  • the hydrocarbon compounds having greater than 5 carbon atoms have larger molecules and species that form meta-stable intermediate species, which are uniformly absorbed on the substrate surface 191 , thereby forming a conformal amorphous carbon layer on the substrate surface 191 .
  • the hydrocarbon compound in the gas mixture is toluene (C 7 H 8 ), benzene, or hexane.
  • the inert gas such as argon (Ar) and/or helium (He) is supplied with the gas mixture into the process chamber 100 .
  • Other inert gases such as nitrogen (N 2 ) and nitric oxide (NO), may also be used to control the density and deposition rate of the amorphous carbon layer.
  • N 2 nitrogen
  • NO nitric oxide
  • processing gases may be added to the gas mixture to modify properties of the amorphous carbon material.
  • the processing gases may be reactive gases, such as hydrogen (H 2 ), ammonia (NH 3 ), a mixture of hydrogen (H 2 ) and nitrogen (N 2 ), or combinations thereof.
  • H 2 and/or NH 3 may be used to control the hydrogen ratio (e.g., carbon to hydrogen ratio) of the deposited amorphous carbon layer.
  • the hydrogen ratio present in the amorphous carbon film provides control over layer properties, such as reflectivity.
  • the substrate temperature of the deposition process is maintained within a predetermined range.
  • the substrate temperature is maintained at a range relatively lower than conventional deposition processes to control the reaction behavior of the decomposition of the gas mixture.
  • Conventional deposition processes are typically performed in excess of about 550 degrees Celsius.
  • the substrate temperature in the process chamber is maintained between about 100 degrees Celsius and about 500 degrees Celsius.
  • the substrate temperature is maintained between about 250 degrees Celsius and about 450 degrees Celsius.
  • an amorphous carbon layer 304 is deposited on the substrate 190 in the present of RF plasma with the substrate temperature controlled below 450 degrees Celsius.
  • the hydrocarbon compound in the gas mixture decomposes at the low temperature in a manner that allows the hydrocarbon compound to be evaporated with less activity, thereby reducing the kinetic energy of the activated species.
  • the hydrocarbon compounds that have greater than 5 carbon atoms are liquids at room temperatures, approximately 20 degrees Celsius.
  • the liquid hydrocarbon compounds have a larger molecule compared to gaseous hydrocarbon compounds typically used in conventional processes. As the liquid hydrocarbon compound is supplied to the process chamber, liquid hydrocarbon compound evaporates and decomposes as gaseous reactants in the process chamber.
  • the decomposed gaseous reactants are absorbed on the substrate surface, thereby forming a layer on the substrate surface.
  • the GHC gaseous hydrocarbon compound
  • the volatile GHC is rapidly excited as small reactive species and easily accelerated when present in a RF plasma.
  • the accelerated small reactive species randomly collide with and sputter the sidewall and surface of the deposited amorphous carbon film, thereby compromising the conformity and uniformity of the deposited film. Since the liquid hydrocarbon compounds are not as energetic, the quality of the depositing amorphous carbon film is greatly enhanced.
  • FIG. 4 illustrates an exemplary embodiment of conventionally deposited amorphous carbon film.
  • the accelerated small reactive species randomly collided on the deposited film 404 , as shown by arrow 412 , resulting in non-uniformity and un-conformal deposition of the amorphous carbon film.
  • a higher step coverage ratio e.g., the ratio of film thickness deposited on the sidewall 406 to the film thickness deposited on the top 408
  • High step coverage ratio provides a substantially same thickness of the deposited film on the sidewall and top of the patterned features.
  • the pitted and sputtered amorphous carbon film 404 bombarded by the accelerated small reactive species results in large thickness variation between the top surface 408 and the sidewall deposition 406 .
  • the large variation of the thickness leads to poor step coverage of the deposited amorphous carbon film 404 , thus, resulting a poor step coverage ratio of the deposited amorphous carbon film 404 when using GHC in conventional processes.
  • the hydrocarbon compound used in process 200 has a larger molecule
  • the larger molecule of the liquid hydrocarbon compound at low process temperature has less acceleration and kinetic energy when decomposed into reactive species, thereby allowing the decomposed reactive species to be uniformly absorbed on the surface and sidewall of the substrate without the sputtering and erosion experienced in conventional processes.
  • the variation of the film thickness between the surface 308 of the substrate 302 and the sidewall 306 of the substrate is reduced and the step coverage of the deposited film is improved.
  • the step coverage ratio film thickness deposited on the sidewall 306 over the top 308
  • the step coverage ratio is greater than 20 percent, for example, between about 25 to 50 percent.
  • process parameters may be regulated as needed.
  • a RF power of between about 50 Watts to about 2000 Watts, such as 1000 Watts to about 1600 Watts, or a power density between 1.35 Watt/cm 2 and about 2.35 Watt/cm 2 , may be applied to maintain a plasma formed from the gas mixture.
  • the hydrocarbon compound may be supplied at a flow rate between about 200 sccm to about 1000 sccm.
  • the inert gas may be supplied at a flow rate between about 200 sccm to about 10000 sccm.
  • the process pressure may be maintained at about 1 Torr to about 20 Torr, for example, about 4 Torr to about 10 Torr.
  • the spacing between the substrate and showerhead may be controlled at about 200 mils to about 1000 mils.
  • a dual-frequency system is used to deposit the amorphous carbon material.
  • the dual frequency is believed to provide independent control of flux and ion energy.
  • the high frequency plasma controls plasma density.
  • the low frequency plasma controls kinetic energy of the ions hitting the substrate surface.
  • a dual-frequency source of mixed RF power provides a high frequency power in a range between about 10 MHz and about 30 MHz, for example, about 13.56 MHz, as well as a low frequency power in a range of between about 10 KHz and about 1 MHz, for example, about 350 KHz.
  • An example of a mixed frequency RF power application may include a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power in a range of about 50 watts to about 2000 watts, such as about 200 watts to about 1600 watts, and a power density of about 0.27 W/cm 2 to about 1.7 W/cm 2 and at least a second RF power with a frequency in a range of between about 10 KHz and about 1 MHz as well as a power in a range of about 10 watt to about 2000 watts, such as 15 watt to about 1000 watts, and a power density of about 0.27 W/cm 2 to about 1.4 W/cm 2 .
  • the ratio of the second RF power to the total mixed frequency power is preferably less than about 0.6 to 1.0 (0.6:1).
  • the applied RF power and use of one or more frequencies may be varied based upon the substrate size and the equipment used.
  • a method for depositing an amorphous carbon film with improved step coverage is provided by using a low temperature deposition process.
  • the method advantageously improves the uniformity and conformity of the amorphous carbon film deposited on the sidewall and surface of a substrate, thereby utilizing the film profile of the amorphous carbon as a hardmask layer and facilitating the control of the subsequent lithography and etching processes.

Abstract

Methods for low temperature deposition an amorphous carbon film with improved step coverage are provided. In one embodiment, the method includes providing a substrate in a process chamber, flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms, maintaining the substrate temperature at a range below 450 degrees Celsius, and depositing an amorphous carbon film on the substrate.

Description

    BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention
  • The present invention relates to fabrication of integrated circuits and to a process for depositing materials on a substrate. More specifically, the invention relates to a low temperature process for depositing carbon materials on a substrate.
  • 2. Description of the Background Art
  • Integrated circuits have evolved into complex devices that can include millions of transistors, capacitors and resistors on a single chip. The evolution of chip designs continually requires faster circuitry and greater circuit density. The demands for faster circuits with greater circuit densities impose corresponding demands on the materials used to fabricate such integrated circuits. In particular, as the dimensions of integrated circuit components are reduced to the sub-micron scale, it is now necessary to use low resistivity conductive materials (e.g., copper) as well as low dielectric constant insulating materials (dielectric constant less than about 4) to obtain suitable electrical performance from such components.
  • The demands for greater integrated circuit densities also impose demands on the process sequences used in the manufacture of integrated circuit components. For example, in process sequences that use conventional photo lithographic techniques, a layer of energy sensitive resist is formed over a stack of material layers disposed on a substrate. The energy sensitive resist layer is exposed to an image of a pattern to form a photoresist mask. Thereafter, the mask pattern is transferred to one or more of the material layers of the stack using an etch process. The chemical etchant used in the etch process is selected to have a greater etch selectivity for the material layers of the stack than for the mask of energy sensitive resist. That is, the chemical etchant etches the one or more layers of the material stack at a rate much faster than the energy sensitive resist. The etch selectivity to the one or more material layers of the stack over the resist prevents the energy sensitive resist from being consumed prior to completion of the pattern transfer. Thus, a highly selective etchant enhances accurate pattern transfer.
  • As the pattern dimensions are reduced, the thickness of the energy sensitive resist must correspondingly be reduced in order to control pattern resolution. Such thin resist layers (e.g., less than about 6000 Å) can be insufficient to mask underlying material layers during the pattern transfer step due to attack by the chemical etchant. An intermediate layer (e.g., silicon oxynitride, silicon carbine or carbon film), called a hardmask, is often used between the energy sensitive resist layer and the underlying material layers to facilitate pattern transfer because of its greater resistance to the chemical etchant. However, current deposition processes for hardmasks result in insufficient step coverage and/or poor sidewall protection in structures having uneven surface heights. Poor step coverage and/or non-uniform sidewall protection of the hardmask on the uneven surface of the substrate makes successful pattern transfer increasingly difficult as pattern densities continue to shrink.
  • If pre-etch critical dimension (CD) of the pattern is out of specification after photolithography, a rework process may be performed to remove the resist layer from the substrate and repattern the substrate with a new resist layer. During rework process, the surface of the underlying layer, e.g., the hardmask layer, may be attacked by the etchant used to remove the resist mask, thereby causing thickness of the hardmask to be reduced or the profile of the hardmask to be undercut. The hardmask thickness loss or undercut profile associated with the rework process changes the uniformity and/or step coverage of the new resist layer formed over the hardmask layer, thereby contributing to inaccurate transfer of the desired pattern to the film stack, which may adversely influence subsequent processes used for interconnect formation and disadvantageously impact the overall electrical performance of the device.
  • Therefore, there is a need in the art for an improved method for depositing a hardmask layer.
  • SUMMARY OF THE INVENTION
  • Methods for low temperature deposition of an amorphous carbon film are provided. In one embodiment, the method includes providing a substrate in a process chamber, flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms, maintaining the substrate temperature below 450 degrees Celsius, and depositing an amorphous carbon film on the substrate.
  • In another embodiment, the method includes providing a substrate in a process chamber, flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms, maintaining the substrate temperature between about 250 degrees Celsius and about 450 degrees Celsius, and depositing an amorphous carbon film on the substrate.
  • In yet another embodiment, the method includes providing a substrate having patterned structure in a process chamber, flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms, maintaining the substrate temperature at a range between about 250 degrees Celsius and about 450 degrees Celsius, and depositing an amorphous carbon film on the substrate, wherein the amorphous carbon film has a step coverage ratio greater than 20 percent.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • FIG. 1 depicts a schematic illustration of an apparatus that can be used for the practice of this invention;
  • FIG. 2 depicts a flow process diagram of a deposition process according to one embodiment of the present invention;
  • FIG. 3 depicts schematic cross-sectional views of a substrate structure incorporating an amorphous carbon layer as a hardmask layer; and
  • FIG. 4 depicts schematic cross-sectional views of a substrate structure of conventional deposition process with a dielectric layer deposited thereon.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention provides a method of forming an amorphous carbon film. The amorphous carbon film is suitable for use as a hardmask layer. In one embodiment, the amorphous carbon film is deposited by decomposing a gas mixture including a hydrocarbon compound and an inert gas at a low process temperature, e.g, below about 450 degrees Celsius. The hydrocarbon compound in the gas mixture has greater than 5 carbon atoms selected to promote the conformal deposition reaction on the sidewall and surface of the substrate, thereby improving the conformity and step coverage of the deposited amorphous carbon film.
  • FIG. 1 is a schematic representation of a substrate processing system 132 that can be used to perform amorphous carbon layer deposition in accordance with embodiments of the present invention. Details of one example of a substrate processing system 132 that may be used to practice the invention is described in commonly assigned U.S. Pat. No. 6,364,954 issued on Apr. 2, 2002, to Salvador et. al. and is herein incorporated by reference. Other examples of systems that may be used to practice the invention include CENTURA® systems, PRECISION 5000® systems and PRODUCER® systems, all available from Applied Materials Inc., Santa Clara, Calif. It is contemplated that other processing system, including those available from other manufacturers, may be adapted to practice the invention.
  • The processing system 132 includes a process chamber 100 coupled to a gas panel 130 and a controller 110. The process chamber 100 generally includes a top 124, a side 101 and a bottom wall 122 that define an interior volume 126. A support pedestal 150 is provided in the interior volume 126 of the chamber 100. The pedestal 150 may be typically fabricated from aluminum, ceramic, and other suitable materials. The pedestal 150 may be moved in a vertical direction inside the chamber 100 using a displacement mechanism (not shown).
  • The pedestal 150 may include an embedded heater element 170 suitable for controlling the temperature of a substrate 190 supported on the pedestal 150. In one embodiment, the pedestal 150 may be resistively heated by applying an electric current from a power supply 106 to the heater element 170. In one embodiment, the heater element 170 may be made of a nickel-chromium wire encapsulated in a nickel-iron-chromium alloy (e.g., INCOLOY®) sheath tube. The electric current supplied from the power supply 106 is regulated by the controller 110 to control the heat generated by the heater element 170, thereby maintaining the substrate 190 and the pedestal 150 at a substantially constant temperature during film deposition. The supplied electric current may be adjusted to selectively control the temperature of the pedestal 150 between about 100 degrees Celsius to about 700 degrees Celsius.
  • A temperature sensor 172, such as a thermocouple, may be embedded in the support pedestal 150 to monitor the temperature of the pedestal 150 in a conventional manner. The measured temperature is used by the controller 110 to control the power supplied to the heating element 170 to maintain the substrate at a desired temperature.
  • A vacuum pump 102 is coupled to a port formed in the bottom of the chamber 100. The vacuum pump 102 is used to maintain a desired gas pressure in the process chamber 100. The vacuum pump 102 also evacuates post-processing gases and by-products of the process from the chamber 100.
  • A showerhead 120 having a plurality of apertures 128 is disposed on the top of the process chamber 100 above the substrate support pedestal 150. The apertures 128 of the showerhead 120 are utilized to introduce process gases into the chamber 100. The apertures 128 may have different sizes, number, distributions, shape, design, and diameters to facilitate the flow of the various process gases for different process requirements. The showerhead 120 is connected to the gas panel 130 that allows various gases to supply to the interior volume 126 during process. A plasma is formed from the process gas mixture exiting the showerhead 120 to enhance thermal decomposition of the process gases resulting in the deposition of material on a surface 191 of the substrate 190.
  • The showerhead 120 and substrate support pedestal 150 may be formed a pair of spaced apart electrodes in the interior volume 126. One or more RF sources 140 provide a bias potential through a matching network 138 to the showerhead 120 to facilitate generation of a plasma between the showerhead 120 and the pedestal 150. Alternatively, the RF power sources 140 and matching network 138 may be coupled to the showerhead 120, substrate pedestal 150, or coupled to both the showerhead 120 and the substrate pedestal 150, or coupled to an antenna (not shown) disposed exterior to the chamber 100. In one embodiment, the RF sources 140 may provide between about 500 Watts and about 3000 Watts at a frequency of about 50 kHz to about 13.6 MHz.
  • The controller 110 includes a central processing unit (CPU) 112, a memory 116, and a support circuit 114 utilized to control the process sequence and regulate the gas flows from the gas panel 130. The CPU 112 may be of any form of a general purpose computer processor that may be used in an industrial setting. The software routines can be stored in the memory 116, such as random access memory, read only memory, floppy, or hard disk drive, or other form of digital storage. The support circuit 114 is conventionally coupled to the CPU 112 and may include cache, clock circuits, input/output systems, power supplies, and the like. Bidirectional communications between the control unit 110 and the various components of the apparatus 132 are handled through numerous signal cables collectively referred to as signal buses 118, some of which are illustrated in FIG. 1.
  • FIG. 2 illustrates a process flow diagram of a method 200 for depositing an amorphous carbon film according to one embodiment of the present invention. FIG. 3 is schematic cross-sectional view illustrating an amorphous carbon film as a hardmask layer deposited according to the method 200.
  • The method 200 begins at step 202 by providing a substrate in a process chamber. The process chamber may be the process chamber 100 as described in FIG. 1. It is contemplated that other process chambers, including those available from other manufacturers, may be utilized. The substrate 190, as shown in FIG. 3, has patterned structures 310 disposed on the surface 191 of the substrate 190. Alternatively, the substrate 190 may have a surface having trenches, holes, or vias formed therein. The substrate 190 may also be a substantially planar surface or a substantially planar surface having a structure formed thereon or therein at a desired elevation. In one embodiment, the substrate may include a silicon layer utilized to form a gate electrode. In another embodiment, the substrate may include a silicon oxide layer deposited over a silicon layer. In yet another embodiment, the substrate may include one or more layers of other materials utilized to fabricate semiconductor devices.
  • At step 204, a gas mixture is flowed from the gas panel 130 into the process chamber 100 through the showerhead 120. The gas mixture includes at least a hydrocarbon compound and an inert gas. In one embodiment, the hydrocarbon compound has greater than 5 carbon atoms. In another embodiment, the hydrocarbon compound has a formula CxHy, where x has a range between 5 and 10 and y has a range of between 6 and 22. Examples of suitable hydrocarbon compounds are saturated or unsaturated aliphatic or alicyclic hydrocarbons and aromatic hydrocarbons. More specifically, aliphatic hydrocarbons include, for example, alkanes such as pentane, hexane, heptane, octane, nonane, decane, and the like; alkenes such as pentene, and the like; dienes such as isoprene, pentadiene, hexadiene and the like; alkynes such as acetylene, vinylacetylene and the like. Alicyclic hydrocarbons include, for example, cyclopropane, cyclobutane, cyclopentane, cyclopentadiene, toluene, and the like. Aromatic hydrocarbons include, for example, benzene, styrene, toluene, xylene, pyridine, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furan, and the like. Additionally, alpha-terpinene, cymene, 1,1,3,3,-tetramethylbutylbenzene, t-butylether, t-butylethylene, methyl-methacrylate, and t-butylfurfurylether may be utilized.
  • Alternatively, one or more hydrocarbon compounds may be mixed with the hydrocarbon compound in the gas mixture supplied to the process chamber. To tailor the oxygen content of the film, compounds may be selected that contain oxygen, such as a compound that has an oxygen atom that substitutes a carbon in a benzene ring. Compounds for oxygen tailoring may contain a hydroxyl group. A mixture of two or more hydrocarbon compounds may be used to deposit the amorphous carbon material.
  • Alternatively, partially or completely doped derivatives of the hydrocarbon compounds may be used. The derivatives include nitrogen, fluorine, oxygen, hydroxyl group, and boron-containing derivatives of the hydrocarbon compounds as well as fluorinated derivatives thereof. Examples of fluorinated derivatives of hydrocarbon compounds are fluorinated alkanes, halogenated alkanes, and halogenated aromatic compounds. Fluorinated alkanes include, for example, monofluoromethane, difluoromethane, trifluoromethane, tetrafluoromethane, monofluoroethane, tetrafluoroethanes, pentafluoroethane, hexafluoroethane, monofluoropropanes, trifluoropropanes, pentafluoropropanes, perfluoropropane, monofluorobutanes, trifluorobutanes, tetrafluorobutanes, octafluorobutanes, difluorobutanes, monofluoropentanes, pentafluoropentanes, tetrafluorohexanes, tetrafluoroheptanes, hexafluoroheptanes, difluorooctanes, pentafluorooctanes, difluorotetrafluorooctanes, monofluorononanes, hexafluorononanes, difluorodecanes, pentafluorodecanes, and the like. Halogenated alkenes include monofluoroethylene, difluoroethylenes, trifluoroethylene, tetrafluoroethylene, monochloroethylene, dichloroethylenes, trichloroethylene, tetrachloroethylene, and the like. Halogenated aromatic compounds include monofluorobenzene, difluorobenzenes, tetrafluorobenzenes, hexafluorobenzene and the like.
  • In one embodiment, the hydrocarbon compound has greater than 5 carbon atoms. The hydrocarbon compounds having greater than 5 carbon atoms provide enhanced film coverage, such as step coverage, and improved conformality over amorphous carbon deposited using conventional deposition processes. The hydrocarbon compounds having greater than 5 carbon atoms have larger molecules and species that form meta-stable intermediate species, which are uniformly absorbed on the substrate surface 191, thereby forming a conformal amorphous carbon layer on the substrate surface 191. In the embodiment, the hydrocarbon compound in the gas mixture is toluene (C7H8), benzene, or hexane.
  • The inert gas, such as argon (Ar) and/or helium (He), is supplied with the gas mixture into the process chamber 100. Other inert gases, such as nitrogen (N2) and nitric oxide (NO), may also be used to control the density and deposition rate of the amorphous carbon layer. Additionally, a variety of other processing gases may be added to the gas mixture to modify properties of the amorphous carbon material. In one embodiment, the processing gases may be reactive gases, such as hydrogen (H2), ammonia (NH3), a mixture of hydrogen (H2) and nitrogen (N2), or combinations thereof. The addition of H2 and/or NH3 may be used to control the hydrogen ratio (e.g., carbon to hydrogen ratio) of the deposited amorphous carbon layer. The hydrogen ratio present in the amorphous carbon film provides control over layer properties, such as reflectivity.
  • At step 206, the substrate temperature of the deposition process is maintained within a predetermined range. The substrate temperature is maintained at a range relatively lower than conventional deposition processes to control the reaction behavior of the decomposition of the gas mixture. Conventional deposition processes are typically performed in excess of about 550 degrees Celsius. In one embodiment, the substrate temperature in the process chamber is maintained between about 100 degrees Celsius and about 500 degrees Celsius. In another embodiment, the substrate temperature is maintained between about 250 degrees Celsius and about 450 degrees Celsius.
  • At step 208, an amorphous carbon layer 304 is deposited on the substrate 190 in the present of RF plasma with the substrate temperature controlled below 450 degrees Celsius. The hydrocarbon compound in the gas mixture decomposes at the low temperature in a manner that allows the hydrocarbon compound to be evaporated with less activity, thereby reducing the kinetic energy of the activated species. Generally, the hydrocarbon compounds that have greater than 5 carbon atoms are liquids at room temperatures, approximately 20 degrees Celsius. The liquid hydrocarbon compounds have a larger molecule compared to gaseous hydrocarbon compounds typically used in conventional processes. As the liquid hydrocarbon compound is supplied to the process chamber, liquid hydrocarbon compound evaporates and decomposes as gaseous reactants in the process chamber. The decomposed gaseous reactants are absorbed on the substrate surface, thereby forming a layer on the substrate surface. As the smaller molecule of the gaseous hydrocarbon compound (GHC) requires relatively lower vaporization temperature compared to the larger molecule of the liquid hydrocarbon compounds, the GHC tends to decompose and become more volatile in conventional processes that utilize high substrate temperature. The volatile GHC is rapidly excited as small reactive species and easily accelerated when present in a RF plasma. The accelerated small reactive species randomly collide with and sputter the sidewall and surface of the deposited amorphous carbon film, thereby compromising the conformity and uniformity of the deposited film. Since the liquid hydrocarbon compounds are not as energetic, the quality of the depositing amorphous carbon film is greatly enhanced.
  • FIG. 4 illustrates an exemplary embodiment of conventionally deposited amorphous carbon film. The accelerated small reactive species randomly collided on the deposited film 404, as shown by arrow 412, resulting in non-uniformity and un-conformal deposition of the amorphous carbon film. Typically, a higher step coverage ratio (e.g., the ratio of film thickness deposited on the sidewall 406 to the film thickness deposited on the top 408) is desired to provide an uniform thickness of the deposited layer 404 on the top 408 and sidewall 406 of the patterned structure 410 on the substrate 190. High step coverage ratio provides a substantially same thickness of the deposited film on the sidewall and top of the patterned features. However, the pitted and sputtered amorphous carbon film 404 bombarded by the accelerated small reactive species results in large thickness variation between the top surface 408 and the sidewall deposition 406. The large variation of the thickness leads to poor step coverage of the deposited amorphous carbon film 404, thus, resulting a poor step coverage ratio of the deposited amorphous carbon film 404 when using GHC in conventional processes.
  • In contrast, as the hydrocarbon compound used in process 200 has a larger molecule, the larger molecule of the liquid hydrocarbon compound at low process temperature has less acceleration and kinetic energy when decomposed into reactive species, thereby allowing the decomposed reactive species to be uniformly absorbed on the surface and sidewall of the substrate without the sputtering and erosion experienced in conventional processes. The variation of the film thickness between the surface 308 of the substrate 302 and the sidewall 306 of the substrate is reduced and the step coverage of the deposited film is improved. In one embodiment, the step coverage ratio (film thickness deposited on the sidewall 306 over the top 308) is improved by greater than 20 percent over conventional processes. In one embodiment, the step coverage ratio is greater than 20 percent, for example, between about 25 to 50 percent.
  • During deposition, process parameters may be regulated as needed. In one embodiment suitable for processing a 300 mm substrate, a RF power of between about 50 Watts to about 2000 Watts, such as 1000 Watts to about 1600 Watts, or a power density between 1.35 Watt/cm2 and about 2.35 Watt/cm2, may be applied to maintain a plasma formed from the gas mixture. The hydrocarbon compound may be supplied at a flow rate between about 200 sccm to about 1000 sccm. The inert gas may be supplied at a flow rate between about 200 sccm to about 10000 sccm. The process pressure may be maintained at about 1 Torr to about 20 Torr, for example, about 4 Torr to about 10 Torr. The spacing between the substrate and showerhead may be controlled at about 200 mils to about 1000 mils.
  • In one embodiment, a dual-frequency system is used to deposit the amorphous carbon material. The dual frequency is believed to provide independent control of flux and ion energy. The high frequency plasma controls plasma density. The low frequency plasma controls kinetic energy of the ions hitting the substrate surface. A dual-frequency source of mixed RF power provides a high frequency power in a range between about 10 MHz and about 30 MHz, for example, about 13.56 MHz, as well as a low frequency power in a range of between about 10 KHz and about 1 MHz, for example, about 350 KHz. An example of a mixed frequency RF power application may include a first RF power with a frequency in a range of about 10 MHz and about 30 MHz at a power in a range of about 50 watts to about 2000 watts, such as about 200 watts to about 1600 watts, and a power density of about 0.27 W/cm2 to about 1.7 W/cm2 and at least a second RF power with a frequency in a range of between about 10 KHz and about 1 MHz as well as a power in a range of about 10 watt to about 2000 watts, such as 15 watt to about 1000 watts, and a power density of about 0.27 W/cm2 to about 1.4 W/cm2. The ratio of the second RF power to the total mixed frequency power is preferably less than about 0.6 to 1.0 (0.6:1). The applied RF power and use of one or more frequencies may be varied based upon the substrate size and the equipment used.
  • Thus, a method for depositing an amorphous carbon film with improved step coverage is provided by using a low temperature deposition process. The method advantageously improves the uniformity and conformity of the amorphous carbon film deposited on the sidewall and surface of a substrate, thereby utilizing the film profile of the amorphous carbon as a hardmask layer and facilitating the control of the subsequent lithography and etching processes.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A method of depositing an amorphous carbon film, comprising:
providing a substrate in a process chamber;
flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms;
maintaining the substrate at a temperature below 450 degrees Celsius; and
depositing an amorphous carbon film on the substrate.
2. The method of claim 1, wherein the hydrocarbon compound comprises at least one of toluene, benzene, and hexane.
3. The method of claim 1, wherein the hydrocarbon compound comprises at least one of pentane, hexane, heptane, octane, nonane, decane, ethylene, propylene, butylene, pentene, butadiene, isoprene, pentadiene, hexadiene, acetylene, vinylacetylene, cyclopropane, cyclobutane, cyclopentane, cyclopentadiene, toluene, benzene, styrene, xylene, pyridine, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furanalpha-terpinene, and cymene, and combination thereof.
4. The method of claim 1, wherein the step of maintaining the substrate temperature further comprises:
maintaining the substrate temperature between about 250 degrees Celsius and about 450 degrees Celsius.
5. The method of claim 1, wherein the deposited amorphous carbon film has a step coverage ratio greater than 20 percent.
6. The method of claim 1, wherein the step of flowing the gas mixture further comprises:
flowing the hydrocarbon compound at a flow rate between about 200 sccm and about 1000 sccm; and
flowing the inert gas at a flow rate between about 200 sccm and about 10000 sccm; and
7. The method of claim 1, wherein the inert gas comprises at least one of Ar, and He.
8. The method of claim 1, wherein the step of depositing the amorphous carbon film further comprises:
maintaining a plasma formed from the gas mixture by applying a RF power at between 50 Watts and 2000 Watts.
9. The method of claim 8, wherein the step of depositing the amorphous carbon film further comprises:
applying a second RF power at between 10 Watts and 2000 Watts.
10. The method of claim 1, wherein the step of flowing a gas mixture further comprises:
flowing an additional gas with the gas mixture into the process chamber.
11. The method of claim 11, wherein the additional gas is selected from a group consisting of N2, NO, H2, and NH3.
12. A method of depositing an amorphous carbon film, comprising:
providing a substrate in a process chamber;
flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms;
maintaining the substrate at a temperature between about 250 degrees Celsius and about 450 degrees Celsius; and
depositing an amorphous carbon film on the substrate.
13. The method of claim 12, wherein the hydrocarbon compound comprises at least one of toluene, benzene, and hexane.
14. The method of claim 12, wherein the hydrocarbon compound is selected from a group consisting of pentane, hexane, heptane, octane, nonane, decane, ethylene, propylene, butylene, pentene, butadiene, isoprene, pentadiene, hexadiene, acetylene, vinylacetylene, cyclopropane, cyclobutane, cyclopentane, cyclopentadiene, toluene, benzene, styrene, xylene, pyridine, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furanalpha-terpinene, and cymene, and combination thereof.
15. The method of 12, wherein the inert gas comprises at least one of Ar and He.
16. The method of claim 12, wherein the step of flowing the gas mixture further comprises:
flowing the hydrocarbon compound at a flow rate between about 200 sccm and about 1000 sccm; and
flowing the inert gas at a flow rate between about 200 sccm and about 10000 sccm.
17. The method of claim 12, wherein the step of depositing the amorphous carbon film further comprises:
applying a RF power at between 50 Watts and 2000 Watts; and
18. The method of claim 17, wherein the step of depositing the amorphous carbon film further comprises:
applying a second RF power at between 10 Watts and 2000 Watts
19. The method of claim 12, wherein the deposited amorphous carbon film has a step coverage ratio greater than 20 percent.
20. A method of depositing an amorphous carbon film, comprising:
providing a substrate having patterned structure in a process chamber;
flowing a gas mixture including at least a hydrocarbon compound and an inert gas into the process chamber, wherein the hydrocarbon compound has greater than 5 carbon atoms;
maintaining the substrate at a temperature between about 250 degrees Celsius and about 450 degrees Celsius; and
depositing an amorphous carbon film on the substrate, wherein the amorphous carbon film has a step coverage ratio greater than 20 percent.
US11/451,916 2006-06-13 2006-06-13 Methods for low temperature deposition of an amorphous carbon layer Abandoned US20070286954A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/451,916 US20070286954A1 (en) 2006-06-13 2006-06-13 Methods for low temperature deposition of an amorphous carbon layer
KR1020070057161A KR20070118968A (en) 2006-06-13 2007-06-12 Methods for low temperature deposition of an amorphous carbon layer
CNA2007101107754A CN101122011A (en) 2006-06-13 2007-06-13 Methods for low temperature deposition of an amorphous carbon layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/451,916 US20070286954A1 (en) 2006-06-13 2006-06-13 Methods for low temperature deposition of an amorphous carbon layer

Publications (1)

Publication Number Publication Date
US20070286954A1 true US20070286954A1 (en) 2007-12-13

Family

ID=38822317

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/451,916 Abandoned US20070286954A1 (en) 2006-06-13 2006-06-13 Methods for low temperature deposition of an amorphous carbon layer

Country Status (3)

Country Link
US (1) US20070286954A1 (en)
KR (1) KR20070118968A (en)
CN (1) CN101122011A (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
WO2010107722A1 (en) * 2009-03-16 2010-09-23 Drexel University Tubular floating electrode dielectric barrier discharge for applications in sterilization and tissue bonding
US20100258526A1 (en) * 2009-04-08 2010-10-14 Jaihyung Won Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
WO2011146212A2 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20130052808A1 (en) * 2011-08-25 2013-02-28 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8536065B2 (en) 2010-10-05 2013-09-17 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10643850B2 (en) * 2016-11-18 2020-05-05 SCREEN Holdings Co., Ltd. Dopant introduction method and thermal treatment method

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012506151A (en) * 2008-10-14 2012-03-08 アプライド マテリアルズ インコーポレイテッド Method for depositing conformal amorphous carbon films by plasma enhanced chemical vapor deposition (PECVD)
JP2016117594A (en) * 2013-04-16 2016-06-30 旭硝子株式会社 Method and apparatus for manufacturing float glass
KR101697660B1 (en) * 2015-06-12 2017-01-19 주식회사 레이크머티리얼즈 carbon thin film using Aromatic precursors and method for manufacturing the same

Citations (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4060660A (en) * 1976-01-15 1977-11-29 Rca Corporation Deposition of transparent amorphous carbon films
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US5022959A (en) * 1988-08-23 1991-06-11 Semiconductor Energy Laboratory Co., Ltd. Method of wet etching by use of plasma etched carbonaceous masks
US5262262A (en) * 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5674573A (en) * 1989-07-31 1997-10-07 Matsushita Electric Industrial Co., Ltd. Method of synthesizing diamond-like carbon thin films
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5830332A (en) * 1995-01-26 1998-11-03 International Business Machines Corporation Sputter deposition of hydrogenated amorphous carbon film and applications thereof
US5866920A (en) * 1996-03-07 1999-02-02 Nec Corporation Semiconductor device and manufacturing method of the same
US5882830A (en) * 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
US5900288A (en) * 1994-01-03 1999-05-04 Xerox Corporation Method for improving substrate adhesion in fluoropolymer deposition processes
US5930655A (en) * 1996-11-08 1999-07-27 International Business Machines Corporation Fluorine barrier layer between conductor and insulator for degradation prevention
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US5998100A (en) * 1996-05-24 1999-12-07 Kabushiki Kaisha Toshiba Fabrication process using a multi-layer antireflective layer
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6043167A (en) * 1996-10-11 2000-03-28 Lg Semicon Co., Ltd. Method for forming low dielectric constant insulating film
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6064118A (en) * 1997-04-18 2000-05-16 Nec Corporation Multilevel interconnection structure having an air gap between interconnects
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6140224A (en) * 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6165890A (en) * 1997-01-21 2000-12-26 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6183930B1 (en) * 1997-12-24 2001-02-06 Canon Kabushiki Kaisha Electrophotographic photosensitive member having surface of non-monocrystalline carbon with controlled wear loss
US6203898B1 (en) * 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6214637B1 (en) * 1999-04-30 2001-04-10 Samsung Electronics Co., Ltd. Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US6235629B1 (en) * 1998-09-29 2001-05-22 Sharp Kabushiki Kaisha Process for producing a semiconductor device
US20010007788A1 (en) * 2000-01-09 2001-07-12 Ting-Chang Chang Air gap semiconductor structure and method of manufacture
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6316347B1 (en) * 2000-12-18 2001-11-13 United Microelectronics Corp. Air gap semiconductor structure and method of manufacture
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6331380B1 (en) * 1997-12-12 2001-12-18 Applied Materials, Inc. Method of pattern etching a low K dielectric layer
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US20020001778A1 (en) * 2000-06-08 2002-01-03 Applied Materials, Inc. Photolithography scheme using a silicon containing resist
US6352922B1 (en) * 1999-07-14 2002-03-05 Samsung Electronics Co., Ltd. Method of fabrication of a semiconductor device having a double layer type anti-reflective layer
US6358804B2 (en) * 1997-05-28 2002-03-19 Dow Corning Toray Silicone Co., Ltd. Semiconductor device and method for the fabrication thereof
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US6596627B2 (en) * 2000-01-18 2003-07-22 Applied Materials Inc. Very low dielectric constant plasma-enhanced CVD films
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US20040023502A1 (en) * 2002-08-02 2004-02-05 Applied Materials Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040166691A1 (en) * 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US6840341B2 (en) * 2001-08-07 2005-01-11 Jatco Ltd Parallel hybrid vehicle
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20050142361A1 (en) * 2003-12-04 2005-06-30 Kabushiki Kaisha Toyota Chuo Kenkyusho Amorphous carbon, amorphous-carbon coated member, and process for forming amorphous carbon film
US20050202683A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050219895A1 (en) * 2004-04-06 2005-10-06 Headway Technologies, Inc. Magnetic random access memory array with free layer locking mechanism
US20050287771A1 (en) * 2004-03-05 2005-12-29 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7064724B2 (en) * 2003-08-29 2006-06-20 Thomson Licensing Multiband planar antenna
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides

Patent Citations (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4060660A (en) * 1976-01-15 1977-11-29 Rca Corporation Deposition of transparent amorphous carbon films
US5262262A (en) * 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US5022959A (en) * 1988-08-23 1991-06-11 Semiconductor Energy Laboratory Co., Ltd. Method of wet etching by use of plasma etched carbonaceous masks
US5674573A (en) * 1989-07-31 1997-10-07 Matsushita Electric Industrial Co., Ltd. Method of synthesizing diamond-like carbon thin films
US5900288A (en) * 1994-01-03 1999-05-04 Xerox Corporation Method for improving substrate adhesion in fluoropolymer deposition processes
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5830332A (en) * 1995-01-26 1998-11-03 International Business Machines Corporation Sputter deposition of hydrogenated amorphous carbon film and applications thereof
US5866920A (en) * 1996-03-07 1999-02-02 Nec Corporation Semiconductor device and manufacturing method of the same
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5998100A (en) * 1996-05-24 1999-12-07 Kabushiki Kaisha Toshiba Fabrication process using a multi-layer antireflective layer
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US6043167A (en) * 1996-10-11 2000-03-28 Lg Semicon Co., Ltd. Method for forming low dielectric constant insulating film
US5930655A (en) * 1996-11-08 1999-07-27 International Business Machines Corporation Fluorine barrier layer between conductor and insulator for degradation prevention
US6214730B1 (en) * 1996-11-08 2001-04-10 International Business Machines Corporation Fluorine barrier layer between conductor and insulator for degradation prevention
US6066577A (en) * 1996-11-08 2000-05-23 International Business Machines Corporation Method for providing fluorine barrier layer between conductor and insulator for degradation prevention
US6165890A (en) * 1997-01-21 2000-12-26 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
US6064118A (en) * 1997-04-18 2000-05-16 Nec Corporation Multilevel interconnection structure having an air gap between interconnects
US6358804B2 (en) * 1997-05-28 2002-03-19 Dow Corning Toray Silicone Co., Ltd. Semiconductor device and method for the fabrication thereof
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6203898B1 (en) * 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6346747B1 (en) * 1997-10-14 2002-02-12 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film as an intralevel or interlevel dielectric in a semiconductor device and device made
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6358573B1 (en) * 1997-12-01 2002-03-19 Applied Materials, Inc. Mixed frequency CVD process
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6458516B1 (en) * 1997-12-12 2002-10-01 Applied Materials Inc. Method of etching dielectric layers using a removable hardmask
US6331380B1 (en) * 1997-12-12 2001-12-18 Applied Materials, Inc. Method of pattern etching a low K dielectric layer
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6183930B1 (en) * 1997-12-24 2001-02-06 Canon Kabushiki Kaisha Electrophotographic photosensitive member having surface of non-monocrystalline carbon with controlled wear loss
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US5882830A (en) * 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
US6235629B1 (en) * 1998-09-29 2001-05-22 Sharp Kabushiki Kaisha Process for producing a semiconductor device
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6140224A (en) * 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
US6214637B1 (en) * 1999-04-30 2001-04-10 Samsung Electronics Co., Ltd. Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6352922B1 (en) * 1999-07-14 2002-03-05 Samsung Electronics Co., Ltd. Method of fabrication of a semiconductor device having a double layer type anti-reflective layer
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US20010007788A1 (en) * 2000-01-09 2001-07-12 Ting-Chang Chang Air gap semiconductor structure and method of manufacture
US6596627B2 (en) * 2000-01-18 2003-07-22 Applied Materials Inc. Very low dielectric constant plasma-enhanced CVD films
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US20030091938A1 (en) * 2000-02-17 2003-05-15 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020001778A1 (en) * 2000-06-08 2002-01-03 Applied Materials, Inc. Photolithography scheme using a silicon containing resist
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6316347B1 (en) * 2000-12-18 2001-11-13 United Microelectronics Corp. Air gap semiconductor structure and method of manufacture
US20020090794A1 (en) * 2001-01-09 2002-07-11 Ting-Chang Chang Air gap semiconductor structure and method of manufacture
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US6840341B2 (en) * 2001-08-07 2005-01-11 Jatco Ltd Parallel hybrid vehicle
US20030119307A1 (en) * 2001-12-26 2003-06-26 Applied Materials, Inc. Method of forming a dual damascene structure
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6852647B2 (en) * 2002-03-29 2005-02-08 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US20040023502A1 (en) * 2002-08-02 2004-02-05 Applied Materials Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040166691A1 (en) * 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US7064724B2 (en) * 2003-08-29 2006-06-20 Thomson Licensing Multiband planar antenna
US20050142361A1 (en) * 2003-12-04 2005-06-30 Kabushiki Kaisha Toyota Chuo Kenkyusho Amorphous carbon, amorphous-carbon coated member, and process for forming amorphous carbon film
US20050287771A1 (en) * 2004-03-05 2005-12-29 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20050202683A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050219895A1 (en) * 2004-04-06 2005-10-06 Headway Technologies, Inc. Magnetic random access memory array with free layer locking mechanism

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US20110111604A1 (en) * 2007-10-23 2011-05-12 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20110159673A1 (en) * 2008-02-08 2011-06-30 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8709924B2 (en) 2008-02-08 2014-04-29 Applied Materials, Inc. Method for conformal plasma immersed ion implantation assisted by atomic layer deposition
WO2010107722A1 (en) * 2009-03-16 2010-09-23 Drexel University Tubular floating electrode dielectric barrier discharge for applications in sterilization and tissue bonding
US9339783B2 (en) 2009-03-16 2016-05-17 Drexel University Tubular floating electrode dielectric barrier discharge for applications in sterilization and tissue bonding
US20100258526A1 (en) * 2009-04-08 2010-10-14 Jaihyung Won Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
WO2011146212A2 (en) * 2010-05-20 2011-11-24 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
WO2011146212A3 (en) * 2010-05-20 2012-03-01 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8536065B2 (en) 2010-10-05 2013-09-17 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8993454B2 (en) 2010-10-05 2015-03-31 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
TWI496193B (en) * 2011-08-25 2015-08-11 Tokyo Electron Ltd Method of depositing highly conformal amorphous carbon films over raised features
US20130052808A1 (en) * 2011-08-25 2013-02-28 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10971364B2 (en) 2015-10-09 2021-04-06 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron carbon hardmask films
US11728168B2 (en) 2015-10-09 2023-08-15 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10643850B2 (en) * 2016-11-18 2020-05-05 SCREEN Holdings Co., Ltd. Dopant introduction method and thermal treatment method

Also Published As

Publication number Publication date
CN101122011A (en) 2008-02-13
KR20070118968A (en) 2007-12-18

Similar Documents

Publication Publication Date Title
US20070286954A1 (en) Methods for low temperature deposition of an amorphous carbon layer
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
US8105465B2 (en) Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8361906B2 (en) Ultra high selectivity ashable hard mask film
KR101161912B1 (en) Methods for high temperature deposition of an amorphous carbon layer
US20160086794A9 (en) Nitrogen doped amorphous carbon hardmask
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US20110291243A1 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
KR20160015157A (en) Deposition of metal doped amorphous carbon film

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TANG, SUM-YEE;LUAN, HSIN CHIAO;LEE, KWANGDUK DOUGLAS;AND OTHERS;REEL/FRAME:017969/0930

Effective date: 20060605

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION