US20070290292A1 - Use of teos oxides in integrated circuit fabrication processes - Google Patents

Use of teos oxides in integrated circuit fabrication processes Download PDF

Info

Publication number
US20070290292A1
US20070290292A1 US11/780,121 US78012107A US2007290292A1 US 20070290292 A1 US20070290292 A1 US 20070290292A1 US 78012107 A US78012107 A US 78012107A US 2007290292 A1 US2007290292 A1 US 2007290292A1
Authority
US
United States
Prior art keywords
layer
hard mask
oxide
teos
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/780,121
Inventor
Tai-Peng Lee
Barbara Haselden
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Promos Technologies Pte Ltd
Original Assignee
Promos Technologies Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Promos Technologies Pte Ltd filed Critical Promos Technologies Pte Ltd
Priority to US11/780,121 priority Critical patent/US20070290292A1/en
Publication of US20070290292A1 publication Critical patent/US20070290292A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Definitions

  • the present invention relates to the fabrication of integrated circuits, and more particularly, to the use of TEOS oxides in integrated circuit fabrication processes.
  • a lithographic system consists of a radiation source, a resist-coated sample and an image control system that regulates which part of the sample is illuminated by the radiation and which is not, according to a pattern. Selected portions of the resist are exposed by irradiating them with light of a selected wavelength. Depending on the type of resist, the exposed (positive tone process) or the unexposed (negative tone process) resist can be removed selectively by a developing process. The pattern is then inscribed into the resists and can be transferred to the sample by a subsequent process step, e.g., an etching step.
  • a photoresist patterned over a layer and the regions bared upon exposure are typically removed by an etch such as a plasma etch or ion bombardment.
  • the resist mask also may degrade during the plasma etch of the underlying material, reducing resolution of the image patterned into the dielectric layer. Such imperfect image transfer compromises the performance of the semiconductor device.
  • the hard mask material e.g. silicon dioxide
  • the hard mask material may be deposited via chemical vapor deposition (CVD) using organic precursors such as silane or tetra-ethyl-ortho-silane (TEOS) and oxygen.
  • TEOS tetra-ethyl-ortho-silane
  • a photoresist is then coated and imaged over the hard mask.
  • the inorganic hard mask regions bared upon resist development are removed by a plasma etch to which the organic resist layer is resistant. Relatively high etch selectivity can be achieved between the inorganic hard mask layer and the overcoated patterned organic-based resist.
  • the hard mask profile matches the resist mask. Regions exposed upon hard mask etching such as polysilicon now can be removed by an etchant for which the hard mask is resistant. The hard mask can then be removed by a separate etchant. Because high etch selectivity can be realized between the underlying layer material (such as polysilicon) and the hard mask, image transfer imperfections as discussed above can be avoided. See generally U.S. Pat. Nos. 6,890,448, 5,468,342, and 5,346,586.
  • etchants such as hydrofluoric acid (HF) remove not only the silicon dioxide hard mask, but also tend to remove exposed portions of high-density plasma (HDP) field isolation silicon dioxide between adjacent transistors. This is because the etch rates for the hard mask oxide and the field oxide are comparable. Removing portions of the HDP field oxides undesirably reduces the isolation between the transistors. What is needed therefore is a method of forming and removing silicon dioxide hard masks that minimizes the undesirable removal of field isolation oxides, by maximizing the difference in etch rates between the hard mask oxides and the HDP field oxides.
  • HF hydrofluoric acid
  • the present invention provides a method for forming a silicon dioxide hard mask that can be etched at a faster rate than HDP field oxide can be etched.
  • the invention calls for depositing a SiO 2 hard mask at a low temperature of preferably 200 degrees Celsius or below using a TEOS precursor.
  • the resulting hard mask has the property that when wet-etched by an etchant such as HF, the hard mask is etched faster than the HDP field dioxide used in the isolation trench oxide.
  • FIGS. 1-6 show various stages of processing a semiconductor device according to the present invention.
  • FIG. 1 shows a stage in the manufacture of a conventional semiconductor device ( 10 ) comprising a semiconductor substrate ( 9 ) such as monocrystalline silicon ( 8 ). P-wells ( 11 ) and N-wells ( 12 ) have been formed in substrate ( 9 ).
  • a field dielectric ( 13 ) can be formed by shallow trench isolation (STI), to include a top layer of silicon dioxide formed by a high density plasma (HDP) process. Silane and oxygen can be the precursors in the HDP process, and the deposition temperature can be around 650 degrees Celsius. Dielectric ( 13 ) can also be formed from thermally grown silicon dioxide by the LOCOS process or other processes. In one embodiment, the field dielectric ( 13 ) may have a thickness of about 2200 Angstroms.
  • a layer ( 14 ) is deposited, and will later be patterned using a hard mask.
  • the layer ( 14 ) is a polysilicon or metal layer, or a stack of layers, e.g. a first (bottom) polysilicon layer, an oxide-nitride-oxide (ONO) layer, and a second (top) polysilicon layer.
  • FIG. 6 shows a number of polysilicon features (e.g. floating gates) formed from first polysilicon ( 14 . 1 ) over active areas of substrate ( 9 ).
  • ONO ( 14 . 2 ) is formed on the polysilicon gates ( 14 . 1 ) and field oxide regions ( 13 ).
  • Second polysilicon ( 14 . 3 ) (e.g. to provide memory wordlines) is formed on ONO ( 14 . 2 ) over the floating gates ( 14 . 1 ) and the field oxide ( 13 ).
  • CVD plasma-enhanced chemical vapor deposition
  • the deposition is performed at about 200 degrees Celsius, the flow rate of TEOS is about 2.2 standard liters per minute (slm), and the oxygen flow rate is about 9 slm.
  • the resulting TEOS oxide layer ( 15 ) is highly porous.
  • the thickness of the TEOS oxide layer is 2000 Angstroms.
  • a silicon oxynitride (SiON) layer ( 16 ), anti-reflective coating (ARC) layer ( 17 . 1 ), and photoresist layer ( 17 . 2 ) are subsequently deposited on the TEOS oxide layer as shown in FIG. 2 .
  • the ARC ( 17 . 1 ) and SiON ( 16 ) layers under the photoresist ( 17 . 2 ) act to minimize the critical dimension non-uniformity across the cell array during photolithographic processing by reducing the substrate reflectivity.
  • the ARC ( 17 . 1 ) may be, for example, an organic spin-on ARC.
  • Photoresist ( 17 . 2 ) is patterned photolithographically as shown in FIG.
  • etching removes the exposed portions of the SiON layer ( 16 ) and TEOS oxide ( 15 ).
  • the TEOS oxide ( 15 ) will act as a hard mask for the dry etch of layer ( 14 ).
  • Resist ( 17 . 2 ) can be removed before the etch of layer ( 14 ) as shown in FIG. 4 , or can be left in the structure and removed after the etch of layer ( 14 ).
  • layer ( 14 ) is a polysilicon stack comprising two layers of polysilicon that sandwich an ONO (oxide-nitride-oxide) layer.
  • ONO oxide-nitride-oxide
  • the ONO layer may be dry etched with typical oxide etch chemistries such as CF 4 /CHF 3 gas chemistry, which may also etch a substantial portion of the hard mask oxide ( 15 ).
  • up to 75% of the hard mask ( 15 ) is removed during etching of layer ( 14 ) (removal not shown in FIG. 4 ).
  • etching Once layer ( 14 ) is removed by dry etching, the field oxide ( 13 ) is exposed. Finally, to remove the hard mask ( 15 ), an agent such as dilute 100:1 hydrofluoric acid (HF) (i.e., 100 parts water to 1 part HF) is used to wet etch the hardmask, as shown in FIG. 5 .
  • HF dilute 100:1 hydrofluoric acid
  • a 100:1 HF agent etches the porous TEOS oxide layer ( 15 ) formed at 200 degrees Celsius at a rate of about 350 Angstroms/minute.
  • the 100:1 HF agent etches the HDP SiO 2 layer ( 13 ) at a rate of only about 48.3 Angstroms/minute.
  • the TEOS oxide layer is etched 7 times faster than the HDP SiO 2 layer. This difference in the etching rates allows selective etching whereby the TEOS oxide layer is removed quickly, while undesirable loss of the field oxide layer ( 13 ) is minimized.
  • the decreased wet etch time also helps reduce tunnel oxide loss and oxide-nitride-oxide (ONO) undercut in the remaining portions of layer ( 14 ).
  • a further advantage of the present invention is that the dry etch rate for oxide hard masks formed at about 200 degrees Celsius is nevertheless similar to the dry etch rate for conventional oxide hard masks formed at higher temperatures.
  • the dry etch rates and film compositions for layer 14 in some embodiments of the present invention are similar to those of conventional methods, and therefore the by-products of dry-etching necessary for sidewall passivation (such as CFx) are also similar, leading to similar profile and selectivity control. Combining the invention with prior art dry etching processes is therefore simplified in some embodiments.
  • the invention is not limited to a 100:1 concentration, as greater or lesser concentrations may be used without deviating from the spirit of the invention.
  • the field oxide layers can be formed by processes other than a high-density plasma process. The invention is not limited to the field oxide being exposed when the hard mask is being removed, or to other structural features described above. The invention is not limited to the particular processes or process parameters. The invention is defined by the appended claims.

Abstract

A method for manufacturing a low temperature removable silicon dioxide hard mask for patterning and etching is provided, wherein tetra-ethyl-ortho-silane (TEOS) is used to deposit a silicon dioxide hard mask.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • The present application is a division of U.S. patent application Ser. No. 11/326,547 filed on Jan. 4, 2006, incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to the fabrication of integrated circuits, and more particularly, to the use of TEOS oxides in integrated circuit fabrication processes.
  • BACKGROUND OF THE INVENTION
  • In the manufacture of semiconductor devices, a variety of conductive device regions and layers are formed on a device substrate. Photolithography is employed to define patterns making up device regions in the various layers. A lithographic system consists of a radiation source, a resist-coated sample and an image control system that regulates which part of the sample is illuminated by the radiation and which is not, according to a pattern. Selected portions of the resist are exposed by irradiating them with light of a selected wavelength. Depending on the type of resist, the exposed (positive tone process) or the unexposed (negative tone process) resist can be removed selectively by a developing process. The pattern is then inscribed into the resists and can be transferred to the sample by a subsequent process step, e.g., an etching step.
  • A photoresist patterned over a layer and the regions bared upon exposure are typically removed by an etch such as a plasma etch or ion bombardment. However, the resist mask also may degrade during the plasma etch of the underlying material, reducing resolution of the image patterned into the dielectric layer. Such imperfect image transfer compromises the performance of the semiconductor device.
  • Certain inorganic materials known as hard masks have been interposed between dielectric and resist layers to reduce imperfections in image transfer from the resist layer to the underlying dielectric layer. The hard mask material, e.g. silicon dioxide, may be deposited via chemical vapor deposition (CVD) using organic precursors such as silane or tetra-ethyl-ortho-silane (TEOS) and oxygen. A photoresist is then coated and imaged over the hard mask. The inorganic hard mask regions bared upon resist development are removed by a plasma etch to which the organic resist layer is resistant. Relatively high etch selectivity can be achieved between the inorganic hard mask layer and the overcoated patterned organic-based resist.
  • Upon such etching, the hard mask profile matches the resist mask. Regions exposed upon hard mask etching such as polysilicon now can be removed by an etchant for which the hard mask is resistant. The hard mask can then be removed by a separate etchant. Because high etch selectivity can be realized between the underlying layer material (such as polysilicon) and the hard mask, image transfer imperfections as discussed above can be avoided. See generally U.S. Pat. Nos. 6,890,448, 5,468,342, and 5,346,586.
  • One problem encountered in the conventional removal of hard masks made of silicon dioxide is that etchants such as hydrofluoric acid (HF) remove not only the silicon dioxide hard mask, but also tend to remove exposed portions of high-density plasma (HDP) field isolation silicon dioxide between adjacent transistors. This is because the etch rates for the hard mask oxide and the field oxide are comparable. Removing portions of the HDP field oxides undesirably reduces the isolation between the transistors. What is needed therefore is a method of forming and removing silicon dioxide hard masks that minimizes the undesirable removal of field isolation oxides, by maximizing the difference in etch rates between the hard mask oxides and the HDP field oxides.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method for forming a silicon dioxide hard mask that can be etched at a faster rate than HDP field oxide can be etched. In one embodiment, the invention calls for depositing a SiO2 hard mask at a low temperature of preferably 200 degrees Celsius or below using a TEOS precursor. The resulting hard mask has the property that when wet-etched by an etchant such as HF, the hard mask is etched faster than the HDP field dioxide used in the isolation trench oxide.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-6 show various stages of processing a semiconductor device according to the present invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • In this description, it will be understood that when an element such as a layer, film, region, substrate, or panel is referred to as being “on” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present. Note also that the thicknesses of the figures are not drawn to scale, and should not be interpreted as limiting the invention to the disclosed embodiments.
  • Referring now to the figures, a method for forming a removable hardmask according to the present invention will be described. FIG. 1 shows a stage in the manufacture of a conventional semiconductor device (10) comprising a semiconductor substrate (9) such as monocrystalline silicon (8). P-wells (11) and N-wells (12) have been formed in substrate (9). A field dielectric (13) can be formed by shallow trench isolation (STI), to include a top layer of silicon dioxide formed by a high density plasma (HDP) process. Silane and oxygen can be the precursors in the HDP process, and the deposition temperature can be around 650 degrees Celsius. Dielectric (13) can also be formed from thermally grown silicon dioxide by the LOCOS process or other processes. In one embodiment, the field dielectric (13) may have a thickness of about 2200 Angstroms.
  • Next, a layer (14) is deposited, and will later be patterned using a hard mask. In one embodiment, the layer (14) is a polysilicon or metal layer, or a stack of layers, e.g. a first (bottom) polysilicon layer, an oxide-nitride-oxide (ONO) layer, and a second (top) polysilicon layer. FIG. 6 shows a number of polysilicon features (e.g. floating gates) formed from first polysilicon (14.1) over active areas of substrate (9). ONO (14.2) is formed on the polysilicon gates (14.1) and field oxide regions (13). Second polysilicon (14.3) (e.g. to provide memory wordlines) is formed on ONO (14.2) over the floating gates (14.1) and the field oxide (13).
  • Next, to pattern the layer (14) (which may include the stack 14.1, 14.2, and 14.3 of FIG. 6), a SiO2 hard mask layer (15) is utilized as shown in FIG. 2. FIG. 2 shows a hardmask SiO2 film (15) formed by plasma-enhanced chemical vapor deposition (CVD) using a TEOS (i.e., Si(C2H5)4) precursor at relatively low temperatures of 150-250 degrees Celsius:
    Si(C2H5)4+12 O2=>SiO2+8 CO2+10H2O at about 150-250 degrees Celsius
  • In an embodiment, the deposition is performed at about 200 degrees Celsius, the flow rate of TEOS is about 2.2 standard liters per minute (slm), and the oxygen flow rate is about 9 slm.
  • The resulting TEOS oxide layer (15) is highly porous. In one embodiment, the thickness of the TEOS oxide layer is 2000 Angstroms.
  • A silicon oxynitride (SiON) layer (16), anti-reflective coating (ARC) layer (17.1), and photoresist layer (17.2) are subsequently deposited on the TEOS oxide layer as shown in FIG. 2. The ARC (17.1) and SiON (16) layers under the photoresist (17.2) act to minimize the critical dimension non-uniformity across the cell array during photolithographic processing by reducing the substrate reflectivity. The ARC (17.1) may be, for example, an organic spin-on ARC. Photoresist (17.2) is patterned photolithographically as shown in FIG. 3, and dry etching removes the exposed portions of the SiON layer (16) and TEOS oxide (15). The TEOS oxide (15) will act as a hard mask for the dry etch of layer (14). Resist (17.2) can be removed before the etch of layer (14) as shown in FIG. 4, or can be left in the structure and removed after the etch of layer (14).
  • Next, portions of the layer (14) left exposed by the hard mask oxide (15) are dry etched (FIG. 4). In one embodiment, layer (14) is a polysilicon stack comprising two layers of polysilicon that sandwich an ONO (oxide-nitride-oxide) layer. (See, for example, FIG. 6.) The ONO layer may be dry etched with typical oxide etch chemistries such as CF4/CHF3 gas chemistry, which may also etch a substantial portion of the hard mask oxide (15). In the multi-layer polysilicon stack embodiment, up to 75% of the hard mask (15) is removed during etching of layer (14) (removal not shown in FIG. 4). In this case, removal of portions of the hard mask (15) concurrently with the ONO in layer (14) further minimizes loss of the field oxide (13) during subsequent wet etching, since there will be less hard mask (15) remaining after the dry etch.
  • Once layer (14) is removed by dry etching, the field oxide (13) is exposed. Finally, to remove the hard mask (15), an agent such as dilute 100:1 hydrofluoric acid (HF) (i.e., 100 parts water to 1 part HF) is used to wet etch the hardmask, as shown in FIG. 5.
  • In one embodiment, a 100:1 HF agent etches the porous TEOS oxide layer (15) formed at 200 degrees Celsius at a rate of about 350 Angstroms/minute. In contrast, the 100:1 HF agent etches the HDP SiO2 layer (13) at a rate of only about 48.3 Angstroms/minute. Thus the TEOS oxide layer is etched 7 times faster than the HDP SiO2 layer. This difference in the etching rates allows selective etching whereby the TEOS oxide layer is removed quickly, while undesirable loss of the field oxide layer (13) is minimized. The decreased wet etch time also helps reduce tunnel oxide loss and oxide-nitride-oxide (ONO) undercut in the remaining portions of layer (14).
  • In addition to minimizing the undesirable loss of field oxide (13), a further advantage of the present invention is that the dry etch rate for oxide hard masks formed at about 200 degrees Celsius is nevertheless similar to the dry etch rate for conventional oxide hard masks formed at higher temperatures. Hence, the dry etch rates and film compositions for layer 14 in some embodiments of the present invention are similar to those of conventional methods, and therefore the by-products of dry-etching necessary for sidewall passivation (such as CFx) are also similar, leading to similar profile and selectivity control. Combining the invention with prior art dry etching processes is therefore simplified in some embodiments.
  • Note that various modifications to the embodiments disclosed herein are also within the scope of the present invention. For example, various substitutes for the 100:1 HF wet dip etchant can be employed, such as 100:1 buffered oxide etchant (BOE). Furthermore, the invention is not limited to a 100:1 concentration, as greater or lesser concentrations may be used without deviating from the spirit of the invention. The field oxide layers can be formed by processes other than a high-density plasma process. The invention is not limited to the field oxide being exposed when the hard mask is being removed, or to other structural features described above. The invention is not limited to the particular processes or process parameters. The invention is defined by the appended claims.

Claims (4)

1. A semiconductor device comprising:
a semiconductor substrate;
an insulating layer formed on the semiconductor substrate;
an at least partially conductive layer formed on the insulating layer; and
a porous tetra-ethyl-ortho-silicate (TEOS) layer formed on the conductive layer.
2. The semiconductor device of claim 1, further comprising:
a layer of photoresist formed on the porous TEOS layer.
3. The semiconductor device of claim 2, further comprising:
a layer of silicon oxy-nitride (SiON) formed on the porous TEOS layer and under the photoresist layer.
4. The semiconductor device of claim 3, wherein the at least partially conductive layer comprises a polysilicon layer.
US11/780,121 2006-01-04 2007-07-19 Use of teos oxides in integrated circuit fabrication processes Abandoned US20070290292A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/780,121 US20070290292A1 (en) 2006-01-04 2007-07-19 Use of teos oxides in integrated circuit fabrication processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/326,547 US7371695B2 (en) 2006-01-04 2006-01-04 Use of TEOS oxides in integrated circuit fabrication processes
US11/780,121 US20070290292A1 (en) 2006-01-04 2007-07-19 Use of teos oxides in integrated circuit fabrication processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/326,547 Division US7371695B2 (en) 2006-01-04 2006-01-04 Use of TEOS oxides in integrated circuit fabrication processes

Publications (1)

Publication Number Publication Date
US20070290292A1 true US20070290292A1 (en) 2007-12-20

Family

ID=38225032

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/326,547 Expired - Fee Related US7371695B2 (en) 2006-01-04 2006-01-04 Use of TEOS oxides in integrated circuit fabrication processes
US11/780,121 Abandoned US20070290292A1 (en) 2006-01-04 2007-07-19 Use of teos oxides in integrated circuit fabrication processes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/326,547 Expired - Fee Related US7371695B2 (en) 2006-01-04 2006-01-04 Use of TEOS oxides in integrated circuit fabrication processes

Country Status (3)

Country Link
US (2) US7371695B2 (en)
CN (1) CN1996558A (en)
TW (1) TWI317540B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283237B2 (en) 2010-12-22 2012-10-09 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100983708B1 (en) * 2007-12-28 2010-09-24 주식회사 하이닉스반도체 Method for forming a pattern of semiconductor device
CN102674373B (en) * 2012-05-28 2014-01-29 上海华力微电子有限公司 Equipment and method for preparing silicon dioxide by using tetraethoxysilane
KR102392058B1 (en) 2017-11-06 2022-04-28 삼성전자주식회사 method of manufacturing integrated circuit device
CN110085514B (en) * 2019-04-29 2021-06-04 上海华力微电子有限公司 Double exposure method of NAND flash memory structure

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5362526A (en) * 1986-12-19 1994-11-08 Applied Materials, Inc. Plasma-enhanced CVD process using TEOS for depositing silicon oxide
US5554570A (en) * 1994-01-25 1996-09-10 Canon Sales Co., Inc. Method of forming insulating film
US5643838A (en) * 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US5814377A (en) * 1995-12-06 1998-09-29 Applied Materials, Inc. Method and apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5817566A (en) * 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US5926722A (en) * 1997-04-07 1999-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
US5989977A (en) * 1998-04-20 1999-11-23 Texas Instruments - Acer Incorporated Shallow trench isolation process
US6020091A (en) * 1997-09-30 2000-02-01 Siemens Aktiengesellschaft Hard etch mask
US6048406A (en) * 1997-04-08 2000-04-11 Texas Instruments Incorporated Benign method for etching silicon dioxide
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6107214A (en) * 1997-04-17 2000-08-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6165854A (en) * 1998-05-04 2000-12-26 Texas Instruments - Acer Incorporated Method to form shallow trench isolation with an oxynitride buffer layer
US6449521B1 (en) * 1996-10-24 2002-09-10 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6545588B1 (en) * 1997-02-28 2003-04-08 Sony Corporation Pager with rotating knob and character display for inputting messages
US20040000694A1 (en) * 1997-11-20 2004-01-01 Johnson Frank S. Bipolar transistor with high breakdown voltage collector
US6682996B1 (en) * 2002-06-28 2004-01-27 Cypress Semiconductor Corp. Method for forming a semiconductor structure using a disposable hardmask
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US20050026338A1 (en) * 2003-07-28 2005-02-03 Reber Douglas M. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US20050048222A1 (en) * 2003-08-29 2005-03-03 Hartmut Ruelke Method of forming a teos cap layer at low temperature and reduced deposition rate
US6864561B2 (en) * 1996-02-02 2005-03-08 Micron Technology, Inc. Method and apparatus for reducing fixed charge in semiconductor device layers

Patent Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5362526A (en) * 1986-12-19 1994-11-08 Applied Materials, Inc. Plasma-enhanced CVD process using TEOS for depositing silicon oxide
US5643838A (en) * 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5554570A (en) * 1994-01-25 1996-09-10 Canon Sales Co., Inc. Method of forming insulating film
US5814377A (en) * 1995-12-06 1998-09-29 Applied Materials, Inc. Method and apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US6864561B2 (en) * 1996-02-02 2005-03-08 Micron Technology, Inc. Method and apparatus for reducing fixed charge in semiconductor device layers
US6449521B1 (en) * 1996-10-24 2002-09-10 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US6545588B1 (en) * 1997-02-28 2003-04-08 Sony Corporation Pager with rotating knob and character display for inputting messages
US5817566A (en) * 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US6043136A (en) * 1997-03-03 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling CVD silicon oxide layer
US5926722A (en) * 1997-04-07 1999-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
US6048406A (en) * 1997-04-08 2000-04-11 Texas Instruments Incorporated Benign method for etching silicon dioxide
US6784122B2 (en) * 1997-04-17 2004-08-31 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US7101815B2 (en) * 1997-04-17 2006-09-05 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6107214A (en) * 1997-04-17 2000-08-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6319324B1 (en) * 1997-05-05 2001-11-20 Applied Materials, Inc. Method and apparatus for elimination of TEOS/ozone silicon oxide surface sensitivity
US6020091A (en) * 1997-09-30 2000-02-01 Siemens Aktiengesellschaft Hard etch mask
US20040000694A1 (en) * 1997-11-20 2004-01-01 Johnson Frank S. Bipolar transistor with high breakdown voltage collector
US5989977A (en) * 1998-04-20 1999-11-23 Texas Instruments - Acer Incorporated Shallow trench isolation process
US6165854A (en) * 1998-05-04 2000-12-26 Texas Instruments - Acer Incorporated Method to form shallow trench isolation with an oxynitride buffer layer
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6682996B1 (en) * 2002-06-28 2004-01-27 Cypress Semiconductor Corp. Method for forming a semiconductor structure using a disposable hardmask
US20050026338A1 (en) * 2003-07-28 2005-02-03 Reber Douglas M. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US20050048222A1 (en) * 2003-08-29 2005-03-03 Hartmut Ruelke Method of forming a teos cap layer at low temperature and reduced deposition rate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283237B2 (en) 2010-12-22 2012-10-09 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers

Also Published As

Publication number Publication date
TW200727362A (en) 2007-07-16
CN1996558A (en) 2007-07-11
US7371695B2 (en) 2008-05-13
US20070155189A1 (en) 2007-07-05
TWI317540B (en) 2009-11-21

Similar Documents

Publication Publication Date Title
US6287962B1 (en) Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US7354847B2 (en) Method of trimming technology
US7670759B2 (en) Micro pattern forming method and semiconductor device manufacturing method
US7846843B2 (en) Method for manufacturing a semiconductor device using a spacer as an etch mask for forming a fine pattern
US6794230B2 (en) Approach to improve line end shortening
KR101004691B1 (en) Method for forming micropattern in semiconductor device
US7919414B2 (en) Method for forming fine patterns in semiconductor device
KR100965775B1 (en) Method for forming micropattern in semiconductor device
KR100955265B1 (en) Method for forming micropattern in semiconductor device
JP2007110112A (en) Method of etching carbon-containing film and method of fabricating semiconductor device using same
KR101164690B1 (en) A semiconductor device having an organic anti-reflective coatingarc and method therefor
US7371695B2 (en) Use of TEOS oxides in integrated circuit fabrication processes
US20050118755A1 (en) Phosphoric acid free process for polysilicon gate definition
US5332653A (en) Process for forming a conductive region without photoresist-related reflective notching damage
US6809033B1 (en) Innovative method of hard mask removal
US6184116B1 (en) Method to fabricate the MOS gate
KR100442852B1 (en) Method for forming trench isolation region to embody isolation region proper for high integrated semiconductor device
KR20070113604A (en) Method for forming micro pattern of semiconductor device
US7560389B2 (en) Method for fabricating semiconductor element
JPH10189731A (en) Contact hole forming method
US7199034B1 (en) Flash memory device and method for fabricating the same
US20050148193A1 (en) Photolithographic method for forming a structure in a semiconductor substrate
KR20080085280A (en) Method for forming pattern in semiconductor device
KR20090067607A (en) Method for forming micropattern in semiconductor device
KR100487415B1 (en) Method for fabricating contact hole in semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION