US20080023029A1 - Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon - Google Patents

Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon Download PDF

Info

Publication number
US20080023029A1
US20080023029A1 US11/878,144 US87814407A US2008023029A1 US 20080023029 A1 US20080023029 A1 US 20080023029A1 US 87814407 A US87814407 A US 87814407A US 2008023029 A1 US2008023029 A1 US 2008023029A1
Authority
US
United States
Prior art keywords
silicon carbide
carbon
plasma
free
carbide component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/878,144
Inventor
Daxing Ren
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/878,144 priority Critical patent/US20080023029A1/en
Publication of US20080023029A1 publication Critical patent/US20080023029A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F1/00Electrolytic cleaning, degreasing, pickling or descaling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Definitions

  • Semiconductor substrate materials are processed by various processes including deposition processes, such as chemical vapor deposition (CVD) of metal, dielectric and semiconducting materials; etching processes; and photoresist removal processes.
  • deposition processes such as chemical vapor deposition (CVD) of metal, dielectric and semiconducting materials
  • etching processes such as photoresist removal processes.
  • Plasma etching is conventionally used to etch metal, dielectric and semiconducting materials.
  • Methods of treating silicon carbide components of semiconductor substrate processing apparatuses are provided.
  • the methods remove free-carbon, e.g., graphite, from the components, thereby reducing particle contamination of semiconductor substrates by the components during processing of semiconductor substrates in a plasma processing chamber.
  • Silicon carbide components that can be treated by the methods include, for example, baffle plates and gas distribution plates of showerhead electrode assemblies, edge rings, focus rings, plasma confinement rings, chamber liners, electrodes, wafer passage inserts, windows, plasma screens, and chamber walls.
  • the silicon carbide components that are treated are made by a process, such as reaction synthesis of silicon vapor with carbon, that results in the components including trace free-carbon.
  • the silicon carbide components are porous and include an interior and an exposed surface.
  • the components include free-carbon in the interior and on the exposed surface.
  • the term “exposed surface” means a surface of the component that has been exposed by removing material from the silicon carbide component by a treatment, e.g., by mechanical grinding, and that has free-carbon on the surface.
  • a preferred method treats such silicon carbide component of a semiconductor processing apparatus to remove at least substantially all of the free-carbon on the exposed surface.
  • a preferred method of removing the free-carbon comprises heating a silicon carbide component of a semiconductor processing apparatus including free-carbon in an oxygen-containing atmosphere to remove substantially all of the free-carbon from at least the exposed surface of the component.
  • Another preferred method comprises contacting a silicon carbide component of a semiconductor processing apparatus including free-carbon with a chemical solution that is effective to remove substantially all of the free-carbon from at least the exposed surface, without substantially removing the silicon carbide.
  • Yet another preferred method comprises treating a silicon carbide component of a semiconductor processing apparatus including free-carbon with an oxygen plasma to remove substantially all of the free-carbon from at least the exposed surface of the component.
  • a silicon carbide component is porous and comprises an interior and an exposed surface.
  • the silicon carbide component has been (i) made by process that results in free-carbon in the interior; (ii) treated to produce the exposed surface which has free-carbon thereon; and (iii) treated to remove the free-carbon such that at least the exposed surface is substantially free of the free-carbon.
  • a semiconductor substrate processing apparatus comprising a plasma processing chamber and at least one silicon carbide component.
  • the silicon carbide component can be, for example, a baffle plate, gas distribution plate, plasma confinement ring, edge ring, focus ring, backing plate, chamber liner, electrode, wafer passage insert, window, plasma screen, or a chamber wall.
  • the silicon carbide component is at least one baffle plate of a showerhead electrode assembly for distributing process gas into the plasma processing chamber.
  • Yet another preferred embodiment provides a method of processing a semiconductor substrate in a plasma processing chamber of a semiconductor substrate processing apparatus.
  • the semiconductor processing apparatus includes a plasma processing chamber into which process gas is supplied by a showerhead electrode assembly including a showerhead electrode, a baffle chamber through which process gas. passes to the showerhead electrode.
  • At least one silicon carbide component that has been treated by a preferred method of removing free-carbon is provided in the plasma processing apparatus.
  • the method comprises processing a semiconductor substrate in the plasma processing chamber in which the silicon carbide component is provided.
  • the chamber prior to processing production semiconductor substrates in the plasma processing chamber, is plasma conditioned.
  • the plasma conditioning comprises successively processing dummy wafers in the plasma processing chamber prior to processing production semiconductor substrates in the chamber. At least one silicon carbide component that has been treated to remove free-carbon is provided in the plasma processing chamber, thereby significantly reducing the number of adder particles deposited on the dummy wafers, and also reducing chamber conditioning time.
  • FIG. 1 is a graph showing the relationship between the number of particle adders counted on semiconductor substrates versus RF hours of plasma conditioning a plasma processing chamber containing a silicon carbide baffle plate that has not been treated to remove free-carbon (Curve A), and a plasma processing chamber containing a silicon carbide baffle plate that has been treated to remove free-carbon (Curve B).
  • FIG. 2 is a side sectional view of an exemplary embodiment of a showerhead electrode assembly for wafer processing.
  • FIG. 3 is a side sectional view of an elastomer bonded showerhead electrode assembly according to a preferred embodiment.
  • FIG. 4 is a partial side sectional view of the showerhead electrode assembly shown in FIG. 3 .
  • a parallel plate reactor comprises a plasma processing chamber including a top electrode, and a substrate support on which a substrate, such as a semiconductor wafer, is supported during plasma processing.
  • the substrate support includes a bottom electrode and a clamping mechanism, e.g., a mechanical chuck or an electrostatic chuck (ESC), for clamping the substrate.
  • the top electrode can be part of a showerhead electrode assembly for distributing process gas in the plasma chamber.
  • the showerhead electrode assembly can include vertically-spaced baffle plates to control the supply of the process gas to the showerhead electrode via a showerhead top electrode.
  • Semiconductor substrate processing apparatuses can also include components constructed to confine plasma in selected regions of the plasma chamber, such as in a zone defined by the substrate on the substrate support, which typically includes an edge ring, and a plasma confinement ring assembly.
  • process gas is passed through one or more of the baffle plates before exiting through the showerhead electrode.
  • Plasma can attack at least the bottom baffle plate adjacent the showerhead electrode in the vicinity of the holes in the showerhead electrode.
  • an erosion pattern can develop on the underside of the baffle plate, resulting in particles, referred to as “adders,” being removed from the baffle plate and depositing on substrates.
  • Adders can result in defective semiconductor devices, such as microprocessors, memories, transistors, and the like. Accordingly, it is desirable to minimize the number of adders that are produced during plasma processing operations, such as etching openings into layers on semiconductor substrates.
  • plasma exposed components of the semiconductor substrate processing apparatus such as gas distribution plates, edge rings, focus rings, plasma confinement rings, chamber liners, electrodes, wafer passage inserts, windows, plasma screens, chamber walls, and the like, also can be eroded by plasma, potentially resulting in the contamination of semiconductor substrates by removed particles during plasma processing operations.
  • Such components of semiconductor substrate processing apparatuses can be manufactured from various materials, including silicon carbide materials.
  • silicon carbide components can be made by various processes including, e.g., sintering processes and the reaction synthesis of silicon vapor with carbon. Silicon carbide materials made by such reaction synthesis processes provide favorable properties, such as reduced contamination, wear resistance, and design flexibility for use in plasma environments.
  • silicon carbide components of semiconductor processing apparatuses can include “free-carbon.”
  • free-carbon is carbon present inside and/or on the surface of the silicon carbide components in the form of discrete carbon particles, or clusters (agglomerates) of carbon particles.
  • the “free-carbon” is distinct from the silicon carbide matrix material, which preferably has a stoichiometric or near-stoichiometric composition. It has also been determined that unless such free-carbon is removed from at least certain portions of such silicon carbide components, the free-carbon may be released as particles when the components are used in a plasma environment. Release of the free-carbon particles can generate adders, which can contaminate semiconductor substrates being processed in the plasma environment.
  • silicon carbide components containing free-carbon can be treated to remove the free-carbon from at least the exposed surface of such components. It has also been determined that by removing at least the surface free-carbon from the silicon carbide components, the number of particles released from the components during processing in a plasma chamber containing such components can be reduced. Consequently, the incidence of device failures and adversely impacted yields of processed substrates, such as wafers, due to the adders can be significantly reduced.
  • the silicon carbide material of the silicon carbide components is preferably a high-purity, commercially-manufactured silicon carbide produced by the conversion of a carbon source using silicon vapor.
  • the conversion process includes reacting a shaped piece of carbon, such as graphite, with SiO 2 gas, thereby producing SiO gas, and an in situ vapor solid reaction in which the carbon is reacted with the SiO gas to convert the carbon to SiC and produce an excess of carbon monoxide.
  • the starting carbon material is preferably a fine-particle, low-porosity, high-purity grade of graphite.
  • a commercially available silicon carbide material made by conversion of a carbon source is “SUPERSiC” silicon carbide produced by Poco Graphite, Inc. of Decatur, Tex.
  • components of semiconductor substrate processing apparatuses made by converting graphite to silicon carbide can comprise trace free-carbon, such as graphite particles, resulting from the incomplete conversion of graphite to silicon carbide.
  • the free-carbon can be in the form of carbon particles or carbon clusters in the silicon carbide material formed by incomplete conversion of graphite to silicon carbide.
  • the free-carbon resulting from such processes is located in the interior of the silicon carbide components.
  • treating of such components can remove surface material and expose free-carbon on the treated surface, which surface is referred herein as an “exposed surface.”
  • Free-carbon at the exposed surface of a silicon carbide component is more undesirable regarding particle contamination of substrates than free-carbon located in the interior of the components.
  • components including surface free-carbon can be a source of carbon particles until the surface free-carbon has been completely removed by exposure to plasma in the chamber. Removal of the surface free-carbon does not completely solve the particle problem because free-carbon located in the interior of such silicon carbide components becomes exposed as plasma gradually erodes the silicon carbide.
  • less interior free-carbon than surface free-carbon is exposed to plasma at a given time.
  • the methods of treating silicon carbide components to remove free-carbon are preferably used to treat components made by carbon conversion; however, the methods can also be used to remove free-carbon from silicon carbide material made by other processes.
  • the silicon carbide can be a sintered material available, for example, from Cercom, Inc., of Vista, Calif., Carborundum, Inc., of Costa Mesa, Calif. and Ceradyne, Inc. of Costa Mesa, Calif.
  • the free-carbon present in the silicon carbide components as a result of incomplete carbon conversion can include carbon in the form of carbon clusters, i.e., agglomerates of smaller carbon particles. These clusters can typically have a size of from about 20 ⁇ m to about 200 ⁇ m, such as from about 50 ⁇ m to about 100 ⁇ m. Typically, the amount of free-carbon in such silicon carbide components is less than about 1 wt. %, such about 0.5 wt. % or less.
  • the silicon carbide components are porous, and are made by a process that results in free-carbon being present in the interior and also on the exposed surface.
  • the methods comprise treating the silicon carbide components to remove at least substantially all of the free-carbon on the exposed surface. Preferably, the treatments remove all of the freecarbon on the exposed surface.
  • Embodiments of the methods can also remove free-carbon throughout the interior of the components.
  • the silicon carbide components are made by reaction synthesis of silicon vapor with carbon. As described above, during such processes, incomplete conversion of carbon, such as graphite, can occur, resulting in free-carbon distributed in the interior of the component.
  • the interior free-carbon can be exposed by mechanical treatment.
  • a preferred embodiment of the methods of removing the free-carbon comprises heating the silicon carbide component in an oxygen-containing atmosphere at a temperature and for an amount of time effective to remove substantially all of the free-carbon from the exposed surface. The heating preferably also removes at least some of the free-carbon from the interior of the silicon carbide component, thereby preventing the removed interior free-carbon from potentially being released in a plasma processing chamber.
  • the silicon carbide component including free-carbon can be heated in any suitable vessel, such as a high-temperature oven or furnace.
  • the oxygen-containing atmosphere can include, but is not limited to, O 2 , air, water vapor, or a mixture thereof.
  • the vessel is sealed and the oxygen-containing atmosphere, such as air, is supplied into the vessel via a gas supply system.
  • the oxygen-containing atmosphere is preferably maintained at a temperature that is effective to oxidize the free-carbon (i.e., convert the free-carbon to CO, CO 2 or mixtures thereof), but is sufficiently low to substantially avoid oxidizing the silicon carbide (i.e., adversely affecting mechanical and/or physical properties of the silicon carbide.)
  • the temperature of the oxygen-containing atmosphere in the treatment vessel is from about 750° C. to about 1200° C., and more preferably from about 800° C. to about 900° C.
  • the silicon carbide components are treated in the oxygen-containing atmosphere for an amount of time that is effective to remove at least substantially all of the free-carbon from the exposed surface, preferably from about 2 hours to about 12 hours.
  • heating the silicon carbide components including free-carbon in an oxygen-containing atmosphere can remove all of the free-carbon at the exposed surface of the components.
  • the heating is preferably effective to also remove at least some of the free-carbon throughout the interior of the components.
  • the heating preferably removes at least about 80%, and more preferably at least about 90%, of the number of free-carbon particles and/or clusters sized above about 50 ⁇ m in the interior.
  • the heating also removes smaller particles and/or clusters from the components.
  • the number of free-carbon particles and/or clusters in silicon carbide components can be measured manually or automatically by any suitable technique, for example, by microscopy, automated image analysis, or the like.
  • Another preferred method of treating a silicon carbide component to remove free-carbon comprises contacting the silicon carbide component with a chemical solution that is effective to remove substantially all of the free-carbon from at least the surface, but without substantially removing the silicon carbide.
  • the chemical solution removes less than about 1% by weight of the silicon carbide.
  • the chemical solution can be any suitable chemical composition that is effective to achieve this result.
  • the chemical solution is preferably an acid solution, e.g., a solution containing nitric acid, sulfuric acid, or the like.
  • the chemical solution is preferably heated to an elevated temperature.
  • the treatment can include dipping the silicon carbide component into the chemical solution.
  • the chemical solution can be applied to the silicon carbide component by any other suitable process, such as spraying.
  • the concentration of the chemical solution can be adjusted to control the removal rate of free-carbon so that the treatment can be conducted within a desired treatment time.
  • the concentration of the chemical solution, the solution temperature, pH and other parameters can be selected to achieve the desired rate of removal of the free-carbon.
  • the silicon carbide component can be contacted with the chemical solution for an amount of time effective to remove a desired amount of the free-carbon, preferably to remove at least substantially all of the free-carbon at the exposed surface of the component.
  • Another preferred method of treating a silicon carbide component to remove free-carbon comprises treating the component with an oxygen plasma to remove substantially all of the free-carbon from at least the surface.
  • the silicon carbide component can be treated in an ashing chamber of a semiconductor substrate processing apparatus to remove free-carbon.
  • the temperature of the silicon carbide component can range, for example, from about 200° C. to about 300° C. during this treatment.
  • the outer surface of the silicon carbide components can be machined, such as by grinding and/or polishing, to achieve a desired surface finish prior to treating the components to remove the free-carbon by one of the preferred methods. This machining can produce an exposed surface having free-carbon on it.
  • the surface of the silicon carbide component can be conditioned with plasma after the component has been treated to remove free-carbon by one of the methods described above.
  • the plasma conditioning treatment can be performed to remove attached particles, such as silicon carbide particles, from the surface of the component. Such attached particles can result from machining and/or sintering the component. Suitable methods of conditioning the silicon carbide components are described in commonly-owned U.S. patent application Ser. No. 09/607,922 filed on Jun. 30, 2000, which is incorporated herein by reference in its entirety.
  • the plasma processing chamber which contains one or more silicon carbide components that have been treated to remove free-carbon, is plasma conditioned by processing dummy wafers.
  • the treated silicon carbide component is preferably placed in the plasma processing chamber before production semiconductor substrates are processed.
  • FIG. 1 shows the relationship between the number of particle adders on semiconductor substrates versus the duration of plasma conditioning of a plasma processing chamber containing a silicon carbide baffle plate that has not been treated to remove free-carbon (Curve A), and a plasma processing chamber containing a silicon carbide baffle plate that has been treated in an oxygen-containing atmosphere to remove free-carbon (Curve B).
  • the horizontal line shows a typical specification of 20 adders having a size of at least about 0.2 ⁇ m deposited on 200 mm dummy wafers.
  • Curve B shows that the number of particle adders having a size of at least about 0.2 ⁇ m deposited on 200 mm dummy wafers in the plasma processing chamber was reduced to less than about 10 after just about 2 RF hours of plasma conditioning of the chamber (i.e., the number of hours that a plasma was generated in the plasma reactor during the conditioning), and the number of such particle adders was further decreased to about 5 by extending the duration of the conditioning.
  • Silicon carbide components of semiconductor substrate processing apparatuses that can be treated to remove free-carbon by the above-described methods include, but are not limited to, baffle plates, gas distribution plates, edge rings, focus rings, plasma confinement rings, chamber liners, electrodes, wafer passage inserts, windows, plasma screens, and chamber walls. Exemplary components of semiconductor substrate processing apparatuses that include such components are described in U.S. Pat. No. 6,129,808, which is incorporated herein by reference in its entirety.
  • the silicon carbide components can have various shapes and sizes.
  • the free-carbon containing silicon carbide components that are treated to remove free-carbon can preferably have a thickness of up to about 1 ⁇ 4 inch. Thicker components can also be treated, however, such as by the above-described treatment in an oxygen-containing atmosphere.
  • the semiconductor substrate processing apparatus includes a showerhead electrode assembly 10 , which is typically used with a substrate support including a chucking mechanism, e.g., an electrostatic chuck, having a flat bottom electrode on which a substrate, e.g., a semiconductor wafer, is supported below the showerhead electrode assembly 10 .
  • the substrate support can also include features for controlling the temperature of the substrate.
  • the substrate support can include a wafer backside helium supply system to control heat transfer between the substrate and the chuck, and/or a heat transfer fluid supply system operable to circulate a fluid, e.g., water, through the substrate support.
  • the showerhead electrode assembly 10 can be provided in any type of semiconductor substrate processing apparatus in which a process gas is distributed over a semiconductor substrate. Such apparatuses can include, but are not limited to, CVD systems, ashers, capacitive coupled plasma reactors, inductive coupled plasma reactors, ECR reactors, and the like.
  • the showerhead electrode assembly 10 shown is a consumable part, i.e., it is replaced periodically. Because the showerhead electrode 10 is attached to a temperature-controlled member, for ease of replacement, the upper surface of the showerhead electrode 10 can be bonded to a support ring 12 , such as a graphite support ring, by any suitable technique, such as by an elastomeric joint, as described below.
  • the showerhead electrode 10 shown in FIG. 2 is a planar disk.
  • a support ring 12 having a flange is clamped by a clamping ring 16 to a temperature-controlled member 14 , such as an aluminum member, having flow passages 13 through which a heat transfer fluid (liquid or gas) is flowed.
  • a heat transfer fluid liquid or gas
  • water can be circulated in the cooling channels 13 by water inlet/outlet connections 13 a .
  • a plasma confinement ring assembly 17 including a stack of plasma confinement rings surrounds the outer periphery of the showerhead electrode 10 .
  • the plasma confinement rings can be made of various materials, such as quartz, silicon carbide, and the like.
  • the plasma confinement ring assembly 17 is attached to a dielectric (e.g., quartz) annular ring 18 , which in attached to a dielectric housing 18 a .
  • the plasma confinement ring assembly 17 produces a pressure differential in the plasma chamber and increases the electrical resistance between the walls of the plasma chamber 24 and the plasma, thereby confining the plasma between the showerhead electrode 10 and the bottom electrode.
  • process gas from a gas supply is supplied to the showerhead electrode 10 via a passage 20 in the temperature-controlled member 14 .
  • Process gas is distributed through one or more vertically spaced-apart baffle plates 22 and passes through gas distribution holes (not shown) in the showerhead electrode 10 to evenly disperse the process gas into plasma chamber 24 .
  • process gas can be supplied into open spaces between opposed surfaces of the temperature-controlled member 14 and the support ring 12 .
  • a gas passage 27 is connected to a gas passage (not shown) in the annular ring 18 or confinement ring assembly 17 to allow pressure to be monitored in the plasma chamber 24 .
  • an optional first O-ring seal 28 can be provided between an inner surface of the support ring 12 and an opposed surface of the temperature-controlled member 14
  • an optional second O-ring seal 29 can be provided between an outer part of an upper surface of the support ring 12 and an opposed surface of the temperaturecontrolled member 14
  • additional optional O-rings 30 , 32 can be provided between the temperature-controlled member 14 and a cylindrical member 18 b , and between the cylindrical member 18 b and a housing 18 a.
  • At least lower baffle plate 22 a is made of silicon carbide.
  • Other baffle plates of the showerhead electrode assembly 10 are not directly exposed to plasma and, accordingly, plasma-induced erosion of these baffle plates is of less concern than for the lower baffle plate 22 a .
  • one or more of the other baffle plates may also be made of silicon carbide.
  • the silicon carbide baffle plate can be constructed to be a drop-in replacement part for existing baffle plates, or as a part of any gas distribution system wherein it is desired to reduce contamination attributable to that particular part.
  • the silicon carbide baffle plate can be used as a drop-in replacement for a baffle plate of an Exelan® or 4520XLE®, both of which are manufactured by the assignee of the present application, Lam Research Corporation.
  • non-contaminating materials for the parts of the electrode assembly that are contacted by the plasma.
  • such materials are preferably aluminum-free conductive, semiconductive or insulating materials and can include, for example, glass, ceramic and/or polymer materials, such as single crystal or polycrystalline silicon, quartz; carbides, nitrides and/or oxides of silicon, boron, yttria, ceria, titanium, tantalum, niobium and/or zirconium; silicides of titanium, tungsten, tantalum and/or cobalt; diamond, and the like. Materials made of silicon, carbon, nitrogen and/or oxygen are most preferred for surfaces in a plasma reaction chamber.
  • the electrode can be an electrically conductive material, such as a planar silicon (e.g., single crystal silicon) or silicon carbide electrode disc having a uniform thickness from the center to the outer edge thereof.
  • a planar silicon e.g., single crystal silicon
  • silicon carbide electrode disc having a uniform thickness from the center to the outer edge thereof.
  • electrodes having nonuniform thickness e.g., stepped electrodes described in U.S. Pat. No. 6,391,787
  • Different materials and/or electrodes without process gas distribution holes could also be used with the electrode assembly.
  • the electrode is a showerhead electrode provided with a plurality of spaced apart gas discharge passages having a size and distribution suitable for supplying a process gas, which is energized by the electrode and forms a plasma in the reaction chamber beneath the electrode.
  • FIG. 3 shows a showerhead electrode 40 that can be substituted for the showerhead electrode assembly including the showerhead electrode 10 and the support ring 12 shown in FIG. 2 .
  • the electrode 42 is bonded to the support ring 44 by an elastomeric joint 46 , which can be located in a recess 48 , as shown in FIG. 4 .
  • the recess 48 extends continuously around the support ring 44 between an inner wall (not shown) and an outer wall 50 of the support ring 44 .
  • Each wall 50 can be as thin as possible, e.g. about 30 mils wide, which allows the elastomer to form a thin layer (e.g.
  • the recess formed by the walls can be extremely shallow, e.g., about 2 mils deep, to provide a thin elastomeric joint having sufficient strength to adhesively bond the electrode to the support ring, but yet allow relative movement between the electrode 42 and the support ring 44 during temperature cycling of the showerhead electrode assembly 40 .
  • the dimensions of the electrode assembly can be selected to meet the demands of the intended use of the electrode assembly. For example, if the electrode is used to process an 8 inch wafer, the electrode can have a diameter slightly less than about 9 inches, and the support ring can have a width at the interface between the electrode and the support ring of slightly less than about 0.5 inch.
  • the elastomeric joint can comprise any suitable elastomeric material, such as a polymer material, that is compatible with a vacuum environment and resistant to thermal degradation at high temperatures, e.g., above 200° C.
  • the elastomer material can optionally include a filler of electrically and/or thermally conductive particles or other shaped filler, such as wire mesh, woven or non-woven conductive fabric, and the like. Further details of the elastomeric joint are described in commonly-owned U.S. Pat. No. 6,073,577, which is incorporated herein by reference in its entirety.
  • the silicon carbide components that have been treated to remove free-carbon can be provided in plasma processing chambers used for various plasma processes including plasma etching of various dielectric materials, such as doped silicon oxide, e.g., fluorinated silicon oxide (FSG); undoped silicon oxide, such as silicon dioxide; spin-on-glass (SOG); silicate glasses, such as boron phosphate silicate glass (BPSG) and phosphate silicate glass (PSG); doped or undoped thermally grown silicon oxide; doped or undoped TEOS deposited silicon oxide, and the like.
  • dielectric dopants include boron, phosphorus and/or arsenic.
  • the dielectric material can overlie a conductive or semiconductive material layer, such as of polycrystalline silicon; metals, such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof; nitrides, such as titanium nitride; and metal suicides, such as titanium silicide, cobalt silicide, tungsten silicide, molybdenum silicide, and the like.
  • a conductive or semiconductive material layer such as of polycrystalline silicon
  • metals such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof
  • nitrides such as titanium nitride
  • metal suicides such as titanium silicide, cobalt silicide, tungsten silicide, molybdenum silicide, and the like.
  • the gas distribution system can be used for plasma etching a damascene structure.
  • the plasma can be a high-density plasma produced in various types of plasma processing apparatuses.
  • Such plasma processing apparatuses typically have high-energy sources that use RF energy, microwave energy, magnetic fields, and the like to produce high-density plasma.
  • high-density plasma can be produced in a transformer coupled plasma (TCPTM), which is also called inductively-coupled plasma reactor, an electron-cyclotron resonance (ECR) plasma reactor, a helicon plasma reactor, or the like.
  • TCPTM transformer coupled plasma
  • ECR electron-cyclotron resonance
  • a high-flow plasma processing apparatus that can provide a high-density plasma is disclosed in commonly-owned U.S. Pat. No. 5,820,723, which is incorporated herein by reference in its entirety.

Abstract

Methods of making silicon carbide components of a plasma processing apparatus, and methods of using the components during processing of semiconductor substrates to provide for reduced particle contamination of the substrates, are provided. The silicon carbide components are made by a process that results in free-carbon in the components. The silicon carbide components are treated to remove the free-carbon.

Description

    BACKGROUND
  • Semiconductor substrate materials are processed by various processes including deposition processes, such as chemical vapor deposition (CVD) of metal, dielectric and semiconducting materials; etching processes; and photoresist removal processes. Plasma etching is conventionally used to etch metal, dielectric and semiconducting materials.
  • SUMMARY
  • Methods of treating silicon carbide components of semiconductor substrate processing apparatuses. methods of making such components, and components treated by the methods are provided. The methods remove free-carbon, e.g., graphite, from the components, thereby reducing particle contamination of semiconductor substrates by the components during processing of semiconductor substrates in a plasma processing chamber.
  • Silicon carbide components that can be treated by the methods include, for example, baffle plates and gas distribution plates of showerhead electrode assemblies, edge rings, focus rings, plasma confinement rings, chamber liners, electrodes, wafer passage inserts, windows, plasma screens, and chamber walls.
  • In a preferred embodiment, the silicon carbide components that are treated are made by a process, such as reaction synthesis of silicon vapor with carbon, that results in the components including trace free-carbon. The silicon carbide components are porous and include an interior and an exposed surface. As a result of the manufacturing process, such as the incomplete conversion of carbon during reaction synthesis of silicon vapor with carbon to make silicon carbide, and mechanical treating, e.g., grinding, of the silicon carbide, the components include free-carbon in the interior and on the exposed surface. As used herein, the term “exposed surface” means a surface of the component that has been exposed by removing material from the silicon carbide component by a treatment, e.g., by mechanical grinding, and that has free-carbon on the surface. A preferred method treats such silicon carbide component of a semiconductor processing apparatus to remove at least substantially all of the free-carbon on the exposed surface.
  • A preferred method of removing the free-carbon comprises heating a silicon carbide component of a semiconductor processing apparatus including free-carbon in an oxygen-containing atmosphere to remove substantially all of the free-carbon from at least the exposed surface of the component.
  • Another preferred method comprises contacting a silicon carbide component of a semiconductor processing apparatus including free-carbon with a chemical solution that is effective to remove substantially all of the free-carbon from at least the exposed surface, without substantially removing the silicon carbide.
  • Yet another preferred method comprises treating a silicon carbide component of a semiconductor processing apparatus including free-carbon with an oxygen plasma to remove substantially all of the free-carbon from at least the exposed surface of the component.
  • In another preferred embodiment, a silicon carbide component is porous and comprises an interior and an exposed surface. The silicon carbide component has been (i) made by process that results in free-carbon in the interior; (ii) treated to produce the exposed surface which has free-carbon thereon; and (iii) treated to remove the free-carbon such that at least the exposed surface is substantially free of the free-carbon.
  • Another preferred embodiment provides a semiconductor substrate processing apparatus comprising a plasma processing chamber and at least one silicon carbide component. The silicon carbide component can be, for example, a baffle plate, gas distribution plate, plasma confinement ring, edge ring, focus ring, backing plate, chamber liner, electrode, wafer passage insert, window, plasma screen, or a chamber wall. In a preferred embodiment, the silicon carbide component is at least one baffle plate of a showerhead electrode assembly for distributing process gas into the plasma processing chamber.
  • Yet another preferred embodiment provides a method of processing a semiconductor substrate in a plasma processing chamber of a semiconductor substrate processing apparatus. The semiconductor processing apparatus includes a plasma processing chamber into which process gas is supplied by a showerhead electrode assembly including a showerhead electrode, a baffle chamber through which process gas. passes to the showerhead electrode. At least one silicon carbide component that has been treated by a preferred method of removing free-carbon is provided in the plasma processing apparatus. The method comprises processing a semiconductor substrate in the plasma processing chamber in which the silicon carbide component is provided.
  • In another preferred embodiment, prior to processing production semiconductor substrates in the plasma processing chamber, the chamber is plasma conditioned. The plasma conditioning comprises successively processing dummy wafers in the plasma processing chamber prior to processing production semiconductor substrates in the chamber. At least one silicon carbide component that has been treated to remove free-carbon is provided in the plasma processing chamber, thereby significantly reducing the number of adder particles deposited on the dummy wafers, and also reducing chamber conditioning time.
  • DRAWINGS
  • FIG. 1 is a graph showing the relationship between the number of particle adders counted on semiconductor substrates versus RF hours of plasma conditioning a plasma processing chamber containing a silicon carbide baffle plate that has not been treated to remove free-carbon (Curve A), and a plasma processing chamber containing a silicon carbide baffle plate that has been treated to remove free-carbon (Curve B).
  • FIG. 2 is a side sectional view of an exemplary embodiment of a showerhead electrode assembly for wafer processing.
  • FIG. 3 is a side sectional view of an elastomer bonded showerhead electrode assembly according to a preferred embodiment.
  • FIG. 4 is a partial side sectional view of the showerhead electrode assembly shown in FIG. 3.
  • DETAILED DESCRIPTION
  • One exemplary type of semiconductor substrate processing apparatus is a parallel plate reactor. A parallel plate reactor comprises a plasma processing chamber including a top electrode, and a substrate support on which a substrate, such as a semiconductor wafer, is supported during plasma processing. The substrate support includes a bottom electrode and a clamping mechanism, e.g., a mechanical chuck or an electrostatic chuck (ESC), for clamping the substrate. The top electrode can be part of a showerhead electrode assembly for distributing process gas in the plasma chamber. The showerhead electrode assembly can include vertically-spaced baffle plates to control the supply of the process gas to the showerhead electrode via a showerhead top electrode.
  • Semiconductor substrate processing apparatuses can also include components constructed to confine plasma in selected regions of the plasma chamber, such as in a zone defined by the substrate on the substrate support, which typically includes an edge ring, and a plasma confinement ring assembly.
  • In a typical showerhead electrode assembly including baffle plates, process gas is passed through one or more of the baffle plates before exiting through the showerhead electrode. Plasma can attack at least the bottom baffle plate adjacent the showerhead electrode in the vicinity of the holes in the showerhead electrode. Over time, an erosion pattern can develop on the underside of the baffle plate, resulting in particles, referred to as “adders,” being removed from the baffle plate and depositing on substrates. Adders can result in defective semiconductor devices, such as microprocessors, memories, transistors, and the like. Accordingly, it is desirable to minimize the number of adders that are produced during plasma processing operations, such as etching openings into layers on semiconductor substrates.
  • During plasma processing operations, other plasma exposed components of the semiconductor substrate processing apparatus, such as gas distribution plates, edge rings, focus rings, plasma confinement rings, chamber liners, electrodes, wafer passage inserts, windows, plasma screens, chamber walls, and the like, also can be eroded by plasma, potentially resulting in the contamination of semiconductor substrates by removed particles during plasma processing operations.
  • Such components of semiconductor substrate processing apparatuses can be manufactured from various materials, including silicon carbide materials. Such silicon carbide components can be made by various processes including, e.g., sintering processes and the reaction synthesis of silicon vapor with carbon. Silicon carbide materials made by such reaction synthesis processes provide favorable properties, such as reduced contamination, wear resistance, and design flexibility for use in plasma environments.
  • However, it has been determined that silicon carbide components of semiconductor processing apparatuses can include “free-carbon.” As defined herein, “free-carbon” is carbon present inside and/or on the surface of the silicon carbide components in the form of discrete carbon particles, or clusters (agglomerates) of carbon particles. The “free-carbon” is distinct from the silicon carbide matrix material, which preferably has a stoichiometric or near-stoichiometric composition. It has also been determined that unless such free-carbon is removed from at least certain portions of such silicon carbide components, the free-carbon may be released as particles when the components are used in a plasma environment. Release of the free-carbon particles can generate adders, which can contaminate semiconductor substrates being processed in the plasma environment.
  • It has been determined that silicon carbide components containing free-carbon can be treated to remove the free-carbon from at least the exposed surface of such components. It has also been determined that by removing at least the surface free-carbon from the silicon carbide components, the number of particles released from the components during processing in a plasma chamber containing such components can be reduced. Consequently, the incidence of device failures and adversely impacted yields of processed substrates, such as wafers, due to the adders can be significantly reduced.
  • The silicon carbide material of the silicon carbide components is preferably a high-purity, commercially-manufactured silicon carbide produced by the conversion of a carbon source using silicon vapor. The conversion process includes reacting a shaped piece of carbon, such as graphite, with SiO2 gas, thereby producing SiO gas, and an in situ vapor solid reaction in which the carbon is reacted with the SiO gas to convert the carbon to SiC and produce an excess of carbon monoxide. The starting carbon material is preferably a fine-particle, low-porosity, high-purity grade of graphite. A commercially available silicon carbide material made by conversion of a carbon source is “SUPERSiC” silicon carbide produced by Poco Graphite, Inc. of Decatur, Tex.
  • It has been determined that components of semiconductor substrate processing apparatuses made by converting graphite to silicon carbide can comprise trace free-carbon, such as graphite particles, resulting from the incomplete conversion of graphite to silicon carbide. The free-carbon can be in the form of carbon particles or carbon clusters in the silicon carbide material formed by incomplete conversion of graphite to silicon carbide. The free-carbon resulting from such processes is located in the interior of the silicon carbide components. However, treating of such components by, e.g., mechanical grinding and/or polishing, can remove surface material and expose free-carbon on the treated surface, which surface is referred herein as an “exposed surface.” Free-carbon at the exposed surface of a silicon carbide component is more undesirable regarding particle contamination of substrates than free-carbon located in the interior of the components. Particularly, it has been determined that components including surface free-carbon can be a source of carbon particles until the surface free-carbon has been completely removed by exposure to plasma in the chamber. Removal of the surface free-carbon does not completely solve the particle problem because free-carbon located in the interior of such silicon carbide components becomes exposed as plasma gradually erodes the silicon carbide. Generally, however, less interior free-carbon than surface free-carbon is exposed to plasma at a given time.
  • It has been determined that plasma-exposed silicon carbide components of a semiconductor substrate processing apparatus that contain free-carbon resulting from incomplete carbon conversion can be treated to remove the free-carbon located at least at the exposed surface of the components to significantly reduce particle contamination of substrates processed in the apparatus. Moreover, as described below, in embodiments of the methods of treating silicon carbide components containing free-carbon at the exposed surface and in the interior, free-carbon can be removed from the exposed surface, as well as from the interior by the treatment.
  • The methods of treating silicon carbide components to remove free-carbon are preferably used to treat components made by carbon conversion; however, the methods can also be used to remove free-carbon from silicon carbide material made by other processes. For example, the silicon carbide can be a sintered material available, for example, from Cercom, Inc., of Vista, Calif., Carborundum, Inc., of Costa Mesa, Calif. and Ceradyne, Inc. of Costa Mesa, Calif.
  • It has been determined that the free-carbon present in the silicon carbide components as a result of incomplete carbon conversion can include carbon in the form of carbon clusters, i.e., agglomerates of smaller carbon particles. These clusters can typically have a size of from about 20 μm to about 200 μm, such as from about 50 μm to about 100 μm. Typically, the amount of free-carbon in such silicon carbide components is less than about 1 wt. %, such about 0.5 wt. % or less.
  • Different methods of removing free-carbon from silicon carbide components of a semiconductor substrate processing apparatus are provided. The silicon carbide components are porous, and are made by a process that results in free-carbon being present in the interior and also on the exposed surface. The methods comprise treating the silicon carbide components to remove at least substantially all of the free-carbon on the exposed surface. Preferably, the treatments remove all of the freecarbon on the exposed surface. Embodiments of the methods can also remove free-carbon throughout the interior of the components.
  • In a preferred embodiment, the silicon carbide components are made by reaction synthesis of silicon vapor with carbon. As described above, during such processes, incomplete conversion of carbon, such as graphite, can occur, resulting in free-carbon distributed in the interior of the component. The interior free-carbon can be exposed by mechanical treatment. A preferred embodiment of the methods of removing the free-carbon comprises heating the silicon carbide component in an oxygen-containing atmosphere at a temperature and for an amount of time effective to remove substantially all of the free-carbon from the exposed surface. The heating preferably also removes at least some of the free-carbon from the interior of the silicon carbide component, thereby preventing the removed interior free-carbon from potentially being released in a plasma processing chamber.
  • The silicon carbide component including free-carbon can be heated in any suitable vessel, such as a high-temperature oven or furnace. The oxygen-containing atmosphere can include, but is not limited to, O2, air, water vapor, or a mixture thereof. In a preferred embodiment, the vessel is sealed and the oxygen-containing atmosphere, such as air, is supplied into the vessel via a gas supply system.
  • The oxygen-containing atmosphere is preferably maintained at a temperature that is effective to oxidize the free-carbon (i.e., convert the free-carbon to CO, CO2 or mixtures thereof), but is sufficiently low to substantially avoid oxidizing the silicon carbide (i.e., adversely affecting mechanical and/or physical properties of the silicon carbide.) Preferably, the temperature of the oxygen-containing atmosphere in the treatment vessel is from about 750° C. to about 1200° C., and more preferably from about 800° C. to about 900° C. The silicon carbide components are treated in the oxygen-containing atmosphere for an amount of time that is effective to remove at least substantially all of the free-carbon from the exposed surface, preferably from about 2 hours to about 12 hours.
  • It has been determined that heating the silicon carbide components including free-carbon in an oxygen-containing atmosphere can remove all of the free-carbon at the exposed surface of the components. The heating is preferably effective to also remove at least some of the free-carbon throughout the interior of the components. Preferably, the heating preferably removes at least about 80%, and more preferably at least about 90%, of the number of free-carbon particles and/or clusters sized above about 50 μm in the interior. The heating also removes smaller particles and/or clusters from the components. The number of free-carbon particles and/or clusters in silicon carbide components can be measured manually or automatically by any suitable technique, for example, by microscopy, automated image analysis, or the like.
  • Another preferred method of treating a silicon carbide component to remove free-carbon comprises contacting the silicon carbide component with a chemical solution that is effective to remove substantially all of the free-carbon from at least the surface, but without substantially removing the silicon carbide. Preferably, the chemical solution removes less than about 1% by weight of the silicon carbide. The chemical solution can be any suitable chemical composition that is effective to achieve this result. The chemical solution is preferably an acid solution, e.g., a solution containing nitric acid, sulfuric acid, or the like. To enhance the rate of free-carbon removal, the chemical solution is preferably heated to an elevated temperature. The treatment can include dipping the silicon carbide component into the chemical solution. Alternatively, the chemical solution can be applied to the silicon carbide component by any other suitable process, such as spraying.
  • The concentration of the chemical solution can be adjusted to control the removal rate of free-carbon so that the treatment can be conducted within a desired treatment time. The concentration of the chemical solution, the solution temperature, pH and other parameters can be selected to achieve the desired rate of removal of the free-carbon. The silicon carbide component can be contacted with the chemical solution for an amount of time effective to remove a desired amount of the free-carbon, preferably to remove at least substantially all of the free-carbon at the exposed surface of the component.
  • Another preferred method of treating a silicon carbide component to remove free-carbon comprises treating the component with an oxygen plasma to remove substantially all of the free-carbon from at least the surface. For example, the silicon carbide component can be treated in an ashing chamber of a semiconductor substrate processing apparatus to remove free-carbon. The temperature of the silicon carbide component can range, for example, from about 200° C. to about 300° C. during this treatment.
  • The outer surface of the silicon carbide components can be machined, such as by grinding and/or polishing, to achieve a desired surface finish prior to treating the components to remove the free-carbon by one of the preferred methods. This machining can produce an exposed surface having free-carbon on it.
  • The surface of the silicon carbide component can be conditioned with plasma after the component has been treated to remove free-carbon by one of the methods described above. The plasma conditioning treatment can be performed to remove attached particles, such as silicon carbide particles, from the surface of the component. Such attached particles can result from machining and/or sintering the component. Suitable methods of conditioning the silicon carbide components are described in commonly-owned U.S. patent application Ser. No. 09/607,922 filed on Jun. 30, 2000, which is incorporated herein by reference in its entirety.
  • In a preferred embodiment, prior to processing production semiconductor substrates, the plasma processing chamber, which contains one or more silicon carbide components that have been treated to remove free-carbon, is plasma conditioned by processing dummy wafers. The treated silicon carbide component is preferably placed in the plasma processing chamber before production semiconductor substrates are processed.
  • FIG. 1 shows the relationship between the number of particle adders on semiconductor substrates versus the duration of plasma conditioning of a plasma processing chamber containing a silicon carbide baffle plate that has not been treated to remove free-carbon (Curve A), and a plasma processing chamber containing a silicon carbide baffle plate that has been treated in an oxygen-containing atmosphere to remove free-carbon (Curve B). The horizontal line shows a typical specification of 20 adders having a size of at least about 0.2 μm deposited on 200 mm dummy wafers.
  • Curve B shows that the number of particle adders having a size of at least about 0.2 μm deposited on 200 mm dummy wafers in the plasma processing chamber was reduced to less than about 10 after just about 2 RF hours of plasma conditioning of the chamber (i.e., the number of hours that a plasma was generated in the plasma reactor during the conditioning), and the number of such particle adders was further decreased to about 5 by extending the duration of the conditioning.
  • As shown in Curve A, however, the number of particle adders deposited on dummy wafers in the plasma processing chamber that included a silicon carbide lower baffle plate that had not been treated to remove free-carbon was higher than 20, even after over 45 RF hours of plasma conditioning. Accordingly, removal of the free-carbon can significantly reduce the generation of adder particles in a plasma processing chamber.
  • Silicon carbide components of semiconductor substrate processing apparatuses that can be treated to remove free-carbon by the above-described methods include, but are not limited to, baffle plates, gas distribution plates, edge rings, focus rings, plasma confinement rings, chamber liners, electrodes, wafer passage inserts, windows, plasma screens, and chamber walls. Exemplary components of semiconductor substrate processing apparatuses that include such components are described in U.S. Pat. No. 6,129,808, which is incorporated herein by reference in its entirety.
  • The silicon carbide components can have various shapes and sizes. The free-carbon containing silicon carbide components that are treated to remove free-carbon can preferably have a thickness of up to about ¼ inch. Thicker components can also be treated, however, such as by the above-described treatment in an oxygen-containing atmosphere.
  • In a preferred embodiment, one or more silicon carbide components that have been treated to remove free-carbon are installed in a semiconductor substrate processing apparatus. One such exemplary apparatus is shown in FIG. 2. However, the silicon carbide components can also be used in plasma processing apparatuses having other constructions than shown in FIG. 2. The semiconductor substrate processing apparatus includes a showerhead electrode assembly 10, which is typically used with a substrate support including a chucking mechanism, e.g., an electrostatic chuck, having a flat bottom electrode on which a substrate, e.g., a semiconductor wafer, is supported below the showerhead electrode assembly 10. The substrate support can also include features for controlling the temperature of the substrate. For example, the substrate support can include a wafer backside helium supply system to control heat transfer between the substrate and the chuck, and/or a heat transfer fluid supply system operable to circulate a fluid, e.g., water, through the substrate support.
  • Another exemplary suitable electrode assembly in which the treated silicon carbide components, such as baffles plates, can be used is described in comrnonly-owned U.S. Pat. No. 6,391,787, which is incorporated herein by reference in its entirety.
  • The showerhead electrode assembly 10 can be provided in any type of semiconductor substrate processing apparatus in which a process gas is distributed over a semiconductor substrate. Such apparatuses can include, but are not limited to, CVD systems, ashers, capacitive coupled plasma reactors, inductive coupled plasma reactors, ECR reactors, and the like. The showerhead electrode assembly 10 shown is a consumable part, i.e., it is replaced periodically. Because the showerhead electrode 10 is attached to a temperature-controlled member, for ease of replacement, the upper surface of the showerhead electrode 10 can be bonded to a support ring 12, such as a graphite support ring, by any suitable technique, such as by an elastomeric joint, as described below.
  • The showerhead electrode 10 shown in FIG. 2 is a planar disk. A support ring 12 having a flange is clamped by a clamping ring 16 to a temperature-controlled member 14, such as an aluminum member, having flow passages 13 through which a heat transfer fluid (liquid or gas) is flowed. For example, water can be circulated in the cooling channels 13 by water inlet/outlet connections 13 a. A plasma confinement ring assembly 17 including a stack of plasma confinement rings surrounds the outer periphery of the showerhead electrode 10. The plasma confinement rings can be made of various materials, such as quartz, silicon carbide, and the like. The plasma confinement ring assembly 17 is attached to a dielectric (e.g., quartz) annular ring 18, which in attached to a dielectric housing 18 a. The plasma confinement ring assembly 17 produces a pressure differential in the plasma chamber and increases the electrical resistance between the walls of the plasma chamber 24 and the plasma, thereby confining the plasma between the showerhead electrode 10 and the bottom electrode.
  • In the embodiment of the semiconductor substrate processing apparatus, process gas from a gas supply is supplied to the showerhead electrode 10 via a passage 20 in the temperature-controlled member 14. Process gas is distributed through one or more vertically spaced-apart baffle plates 22 and passes through gas distribution holes (not shown) in the showerhead electrode 10 to evenly disperse the process gas into plasma chamber 24. To enhance heat conduction from the showerhead electrode 10 to the temperature-controlled member 14, process gas can be supplied into open spaces between opposed surfaces of the temperature-controlled member 14 and the support ring 12. In addition, a gas passage 27 is connected to a gas passage (not shown) in the annular ring 18 or confinement ring assembly 17 to allow pressure to be monitored in the plasma chamber 24. To maintain the process gas under pressure between the temperature-controlled member 14 and the support ring 12, an optional first O-ring seal 28 can be provided between an inner surface of the support ring 12 and an opposed surface of the temperature-controlled member 14, and an optional second O-ring seal 29 can be provided between an outer part of an upper surface of the support ring 12 and an opposed surface of the temperaturecontrolled member 14. In order to maintain a vacuum in the plasma chamber 24, additional optional O- rings 30, 32 can be provided between the temperature-controlled member 14 and a cylindrical member 18 b, and between the cylindrical member 18 b and a housing 18 a.
  • In a preferred embodiment, at least lower baffle plate 22 a is made of silicon carbide. Other baffle plates of the showerhead electrode assembly 10 are not directly exposed to plasma and, accordingly, plasma-induced erosion of these baffle plates is of less concern than for the lower baffle plate 22 a. However, one or more of the other baffle plates may also be made of silicon carbide.
  • The silicon carbide baffle plate can be constructed to be a drop-in replacement part for existing baffle plates, or as a part of any gas distribution system wherein it is desired to reduce contamination attributable to that particular part. For example, the silicon carbide baffle plate can be used as a drop-in replacement for a baffle plate of an Exelan® or 4520XLE®, both of which are manufactured by the assignee of the present application, Lam Research Corporation.
  • Because the electrode assembly is a consumable part, it is desirable to use non-contaminating materials for the parts of the electrode assembly that are contacted by the plasma. Depending on the process gas chemistry, such materials are preferably aluminum-free conductive, semiconductive or insulating materials and can include, for example, glass, ceramic and/or polymer materials, such as single crystal or polycrystalline silicon, quartz; carbides, nitrides and/or oxides of silicon, boron, yttria, ceria, titanium, tantalum, niobium and/or zirconium; silicides of titanium, tungsten, tantalum and/or cobalt; diamond, and the like. Materials made of silicon, carbon, nitrogen and/or oxygen are most preferred for surfaces in a plasma reaction chamber.
  • The electrode can be an electrically conductive material, such as a planar silicon (e.g., single crystal silicon) or silicon carbide electrode disc having a uniform thickness from the center to the outer edge thereof. However, electrodes having nonuniform thickness (e.g., stepped electrodes described in U.S. Pat. No. 6,391,787) can also be used. Different materials and/or electrodes without process gas distribution holes could also be used with the electrode assembly. In a preferred embodiment, the electrode is a showerhead electrode provided with a plurality of spaced apart gas discharge passages having a size and distribution suitable for supplying a process gas, which is energized by the electrode and forms a plasma in the reaction chamber beneath the electrode.
  • FIG. 3 shows a showerhead electrode 40 that can be substituted for the showerhead electrode assembly including the showerhead electrode 10 and the support ring 12 shown in FIG. 2. In the showerhead electrode 40, the electrode 42 is bonded to the support ring 44 by an elastomeric joint 46, which can be located in a recess 48, as shown in FIG. 4. The recess 48 extends continuously around the support ring 44 between an inner wall (not shown) and an outer wall 50 of the support ring 44. Each wall 50 can be as thin as possible, e.g. about 30 mils wide, which allows the elastomer to form a thin layer (e.g. about 2 μm thick in the case where the elastomer includes 0.7 to 2 μm sized filler) in the area in contact with each wall 50 and a thicker layer (e.g. about 0.0025 inch) in the recess 48. The recess formed by the walls can be extremely shallow, e.g., about 2 mils deep, to provide a thin elastomeric joint having sufficient strength to adhesively bond the electrode to the support ring, but yet allow relative movement between the electrode 42 and the support ring 44 during temperature cycling of the showerhead electrode assembly 40.
  • The dimensions of the electrode assembly can be selected to meet the demands of the intended use of the electrode assembly. For example, if the electrode is used to process an 8 inch wafer, the electrode can have a diameter slightly less than about 9 inches, and the support ring can have a width at the interface between the electrode and the support ring of slightly less than about 0.5 inch.
  • The elastomeric joint can comprise any suitable elastomeric material, such as a polymer material, that is compatible with a vacuum environment and resistant to thermal degradation at high temperatures, e.g., above 200° C. The elastomer material can optionally include a filler of electrically and/or thermally conductive particles or other shaped filler, such as wire mesh, woven or non-woven conductive fabric, and the like. Further details of the elastomeric joint are described in commonly-owned U.S. Pat. No. 6,073,577, which is incorporated herein by reference in its entirety.
  • The silicon carbide components that have been treated to remove free-carbon can be provided in plasma processing chambers used for various plasma processes including plasma etching of various dielectric materials, such as doped silicon oxide, e.g., fluorinated silicon oxide (FSG); undoped silicon oxide, such as silicon dioxide; spin-on-glass (SOG); silicate glasses, such as boron phosphate silicate glass (BPSG) and phosphate silicate glass (PSG); doped or undoped thermally grown silicon oxide; doped or undoped TEOS deposited silicon oxide, and the like. The dielectric dopants include boron, phosphorus and/or arsenic. The dielectric material can overlie a conductive or semiconductive material layer, such as of polycrystalline silicon; metals, such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof; nitrides, such as titanium nitride; and metal suicides, such as titanium silicide, cobalt silicide, tungsten silicide, molybdenum silicide, and the like. For instance, the gas distribution system can be used for plasma etching a damascene structure.
  • The plasma can be a high-density plasma produced in various types of plasma processing apparatuses. Such plasma processing apparatuses typically have high-energy sources that use RF energy, microwave energy, magnetic fields, and the like to produce high-density plasma. For instance, high-density plasma can be produced in a transformer coupled plasma (TCP™), which is also called inductively-coupled plasma reactor, an electron-cyclotron resonance (ECR) plasma reactor, a helicon plasma reactor, or the like. An example of a high-flow plasma processing apparatus that can provide a high-density plasma is disclosed in commonly-owned U.S. Pat. No. 5,820,723, which is incorporated herein by reference in its entirety.
  • The present invention has been described with reference to preferred embodiments. However, it will be readily apparent to those skilled in the art that it is possible to embody the invention in specific forms other than as described above without departing from the spirit of the invention. The preferred embodiment is illustrative and should not be considered restrictive in any way. The scope of the invention is given by the appended claims, rather than the preceding description, and all variations and equivalents which fall within the range of the claims are intended to be embraced therein.

Claims (28)

1. A method of removing free-carbon from a silicon carbide component of a semiconductor substrate processing apparatus, the silicon carbide component being porous and including an interior and an exposed surface, the silicon carbide component including free-carbon in the interior and on the exposed surface, the method comprising treating the silicon carbide component to remove at least substantially all of the free-carbon on the exposed surface.
2. The method of claim 1, wherein the silicon carbide component is made by reaction synthesis of silicon vapor with carbon.
3. The method of claim 1, wherein the treating comprises heating the silicon carbide component in an oxygen-containing atmosphere at a temperature and for an amount of time effective to remove substantially all of the free-carbon from at least the exposed surface.
4. The method of claim 3, wherein the temperature is from about 750° C. to about 1200° C., or from about 800° C. to about 900° C., and the amount of time from about 2 hours to about 12 hours.
5. The method of claim 1, wherein the treating comprises contacting the silicon carbide component with a chemical solution that is effective to remove substantially all of the free-carbon from at least the exposed surface without substantially removing the silicon carbide.
6. The method of claim 1, wherein the treatment comprises treating the silicon carbide component with an oxygen plasma to remove substantially all of the free-carbon from at least the exposed surface.
7. The method of claim 1, further comprising conditioning the exposed surface of the silicon carbide component with plasma after the treating.
8. The method of claim 1, wherein the free-carbon is in the form of carbon particles and/or carbon clusters and the treating removes at least about 90% of a number of carbon particles and/or carbon clusters having a size of at least about 50 μm in the interior of the silicon carbide component.
9. The method of claim 1, wherein the silicon carbide component consists essentially of silicon carbide and the free-carbon.
10. The method of claim 1, wherein the silicon carbide component is selected from the group consisting of a baffle plate of a showerhead electrode assembly, plasma confinement ring, edge ring, focus ring, backing plate, chamber liner, electrode, wafer passage insert, window, plasma screen, and a chamber wall.
11. (canceled)
12. (canceled)
13. (canceled)
14. (canceled)
15. A method of processing a semiconductor substrate in a plasma processing chamber of a semiconductor substrate processing apparatus into which process gas is supplied by a showerhead electrode assembly including a showerhead electrode, a baffle chamber through which process gas passes to the showerhead electrode, and a silicon carbide baffle plate according to claim 12 in the baffle chamber, the method comprising:
placing a production semiconductor substrate on a substrate support in the plasma processing chamber;
supplying process gas into the baffle chamber, the process gas passing through the silicon carbide baffle plate into a space between the silicon carbide baffle plate and the showerhead electrode followed by passing through the showerhead electrode and into an interior of the plasma processing chamber; and
processing the production semiconductor substrate with the process gas passing through the showerhead electrode.
16. The method of claim 15, further comprising etching a layer of dielectric material on the production semiconductor substrate by supplying RF power to the showerhead electrode such that the process gas forms a plasma in contact with an exposed surface of the semiconductor substrate.
17. The method of claim 15, further comprising plasma conditioning the plasma processing chamber prior to placing the production semiconductor substrate on the substrate support in the plasma processing chamber.
18. The method of claim 17, wherein the plasma conditioning comprises successively processing dummy wafers in the plasma processing chamber before processing the production semiconductor substrate, wherein a number of adder particles having a size of at least about 0.2 μm deposited on the dummy wafers is less than about 20 after plasma conditioning the plasma processing chamber for up to about 2 RF hours.
19. The method of claim 18, wherein the number of the adder particles having a size of at least about 0.2 μm deposited on the dummy wafers is less than about 10 after plasma conditioning the plasma processing chamber for up to about 2 RF hours.
20. The method of claim 17, wherein the silicon carbide baffle plate is placed in the plasma processing chamber before plasma conditioning the plasma processing chamber.
21. A method of making a silicon carbide component of a semiconductor substrate processing apparatus, comprising:
making a silicon carbide component by a process that results in the silicon carbide component including free-carbon in an interior of the silicon carbide component;
removing a portion of the silicon carbide component to produce an exposed surface having free-carbon thereon; and
treating the silicon carbide component to remove at least substantially all of the free-carbon on the exposed surface.
22. The method of claim 21, wherein the silicon carbide component is made by reaction synthesis of silicon vapor with carbon.
23. The method of claim 21, wherein the treating comprises heating the silicon carbide component in an oxygen-containing atmosphere at a temperature and for an amount of time effective to remove substantially all of the free-carbon from at least the exposed surface.
24. The method of claim 23, wherein the temperature is from about 750° C. to about 1200° C., or from about 800° C. to about 900° C., and the amount of time is from about 2 hours to about 12 hours.
25. The method of claim 21, wherein the treating comprises contacting the silicon carbide component with a chemical solution that is effective to remove substantially all of the free-carbon from at least the exposed surface without substantially removing the silicon carbide.
26. The method of claim 21, wherein the treatment comprises treating the silicon carbide component with an oxygen plasma to remove substantially all of the free-carbon from at least the exposed surface.
27. The method of claim 21, wherein the silicon carbide component is selected from the group consisting of a baffle plate, plasma confinement ring, edge ring, focus ring, backing plate, chamber liner, electrode, wafer passage insert, window, plasma screen, and a chamber wall.
28. The method of claim 21, wherein the removing comprises mechanically treating the silicon carbide component to remove silicon carbide therefrom.
US11/878,144 2003-11-14 2007-07-20 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon Abandoned US20080023029A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/878,144 US20080023029A1 (en) 2003-11-14 2007-07-20 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/706,938 US7267741B2 (en) 2003-11-14 2003-11-14 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US11/878,144 US20080023029A1 (en) 2003-11-14 2007-07-20 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/706,938 Division US7267741B2 (en) 2003-11-14 2003-11-14 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon

Publications (1)

Publication Number Publication Date
US20080023029A1 true US20080023029A1 (en) 2008-01-31

Family

ID=34573409

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/706,938 Active 2024-06-07 US7267741B2 (en) 2003-11-14 2003-11-14 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US11/878,144 Abandoned US20080023029A1 (en) 2003-11-14 2007-07-20 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/706,938 Active 2024-06-07 US7267741B2 (en) 2003-11-14 2003-11-14 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon

Country Status (7)

Country Link
US (2) US7267741B2 (en)
EP (1) EP1691938B1 (en)
JP (2) JP5043439B2 (en)
KR (1) KR101134328B1 (en)
CN (1) CN1890034B (en)
TW (1) TWI364861B (en)
WO (1) WO2005050705A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050253313A1 (en) * 2004-05-14 2005-11-17 Poco Graphite, Inc. Heat treating silicon carbide articles
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
JP5065660B2 (en) * 2005-12-02 2012-11-07 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Semiconductor processing
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7848075B2 (en) * 2006-07-19 2010-12-07 Ngk Insulators, Ltd. Electrostatic chuck with heater
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US8088684B2 (en) * 2007-02-05 2012-01-03 Suss Microtec Ag Apparatus and method for semiconductor wafer bumping via injection molded solder
JP2011524634A (en) * 2008-06-09 2011-09-01 ポコ グラファイト、インコーポレイテッド Method to increase production and reduce downtime in semiconductor manufacturing units by pre-processing components using sub-aperture reactive atomic etching
US8500047B2 (en) * 2008-11-14 2013-08-06 Chengjin Yu Method of removing backing adhesive of carpet and the device thereof
JP5630333B2 (en) 2011-03-08 2014-11-26 信越化学工業株式会社 Sinterable silicon carbide powder and sintered silicon carbide ceramics
JP5569445B2 (en) * 2011-03-17 2014-08-13 新日鐵住金株式会社 Method for separating inclusions in steel and analysis method for particle size distribution
US9048066B2 (en) 2012-07-03 2015-06-02 Spts Technologies Limited Method of etching
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
FR3011124A1 (en) * 2013-09-26 2015-03-27 St Microelectronics Tours Sas SCR COMPONENT WITH STABLE TEMPERATURE CHARACTERISTICS
JP7152846B2 (en) * 2017-06-19 2022-10-13 日本特殊陶業株式会社 Method for manufacturing silicon carbide member
US20220228260A1 (en) * 2019-05-27 2022-07-21 Schunk Xycarb Technology B.V. A chemical vapor deposition chamber article

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529474A (en) * 1983-02-01 1985-07-16 Canon Kabushiki Kaisha Method of cleaning apparatus for forming deposited film
US4702900A (en) * 1985-04-08 1987-10-27 Bridgestone Corporation Method of producing silicon carbide
US5589116A (en) * 1991-07-18 1996-12-31 Sumitomo Metal Industries, Ltd. Process for preparing a silicon carbide sintered body for use in semiconductor equipment
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US20020005213A1 (en) * 1998-12-08 2002-01-17 Masashi Otsuki Sintered silicon carbide and method for cleaning the same in wet condition
US6369575B1 (en) * 1995-10-20 2002-04-09 Schlumberger Technology Corporation Methods and apparatuses for measuring the resistivity of drilling mud in a borehole
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US20020123230A1 (en) * 1999-09-23 2002-09-05 Jerome Hubacek Gas distribution apparatus for semiconductor processing
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6659111B1 (en) * 1999-01-12 2003-12-09 Central Glass Company, Limited Cleaning gas and method for cleaning vacuum treatment apparatus by flowing the cleaning gas
US6695984B1 (en) * 1998-08-07 2004-02-24 Bridgestone Corporation Silicon carbide sinter and process for producing the same
US6699411B2 (en) * 1999-07-09 2004-03-02 Bridgestone Corporation Method for producing high purity silicon carbide sintered body
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6776581B2 (en) * 2001-09-28 2004-08-17 Jatco Ltd Method of connecting a starter ring gear with a converter cover and a torque converter including a starter ring gear connected with a converter cover by the method
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6899785B2 (en) * 2001-11-05 2005-05-31 International Business Machines Corporation Method of stabilizing oxide etch and chamber performance using seasoning
US20060162861A1 (en) * 2005-01-21 2006-07-27 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JP4567867B2 (en) * 2000-10-24 2010-10-20 キヤノンアネルバ株式会社 Film forming apparatus for magnetic recording disk and method for manufacturing magnetic recording disk
JP4141158B2 (en) * 2001-09-28 2008-08-27 新日本製鐵株式会社 SiC for amorphous refractories with excellent corrosion resistance, spalling resistance, and drying properties, and raw materials for amorphous refractories
EP1452508B1 (en) * 2001-11-08 2017-03-01 Bridgestone Corporation Process for producing silicon carbide sinter jig for use in semiconductor production
JP4056774B2 (en) * 2002-03-26 2008-03-05 住友大阪セメント株式会社 Heating element and manufacturing method thereof
JP4028274B2 (en) * 2002-03-26 2007-12-26 住友大阪セメント株式会社 Corrosion resistant material

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529474A (en) * 1983-02-01 1985-07-16 Canon Kabushiki Kaisha Method of cleaning apparatus for forming deposited film
US4702900A (en) * 1985-04-08 1987-10-27 Bridgestone Corporation Method of producing silicon carbide
US5589116A (en) * 1991-07-18 1996-12-31 Sumitomo Metal Industries, Ltd. Process for preparing a silicon carbide sintered body for use in semiconductor equipment
US6369575B1 (en) * 1995-10-20 2002-04-09 Schlumberger Technology Corporation Methods and apparatuses for measuring the resistivity of drilling mud in a borehole
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6695984B1 (en) * 1998-08-07 2004-02-24 Bridgestone Corporation Silicon carbide sinter and process for producing the same
US6419757B2 (en) * 1998-12-08 2002-07-16 Bridgestone, Corporation Method for cleaning sintered silicon carbide in wet condition
US20020005213A1 (en) * 1998-12-08 2002-01-17 Masashi Otsuki Sintered silicon carbide and method for cleaning the same in wet condition
US6659111B1 (en) * 1999-01-12 2003-12-09 Central Glass Company, Limited Cleaning gas and method for cleaning vacuum treatment apparatus by flowing the cleaning gas
US6699411B2 (en) * 1999-07-09 2004-03-02 Bridgestone Corporation Method for producing high purity silicon carbide sintered body
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US20020123230A1 (en) * 1999-09-23 2002-09-05 Jerome Hubacek Gas distribution apparatus for semiconductor processing
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6776581B2 (en) * 2001-09-28 2004-08-17 Jatco Ltd Method of connecting a starter ring gear with a converter cover and a torque converter including a starter ring gear connected with a converter cover by the method
US6899785B2 (en) * 2001-11-05 2005-05-31 International Business Machines Corporation Method of stabilizing oxide etch and chamber performance using seasoning
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US20060162861A1 (en) * 2005-01-21 2006-07-27 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD986190S1 (en) 2020-03-19 2023-05-16 Applied Materials, Inc. Confinement plate for a substrate processing chamber

Also Published As

Publication number Publication date
JP2007511911A (en) 2007-05-10
TW200525795A (en) 2005-08-01
KR101134328B1 (en) 2012-04-09
US7267741B2 (en) 2007-09-11
KR20070039471A (en) 2007-04-12
JP2012134535A (en) 2012-07-12
EP1691938A2 (en) 2006-08-23
TWI364861B (en) 2012-05-21
WO2005050705A3 (en) 2006-03-02
US20050106884A1 (en) 2005-05-19
EP1691938B1 (en) 2012-10-17
CN1890034A (en) 2007-01-03
JP5043439B2 (en) 2012-10-10
WO2005050705A2 (en) 2005-06-02
CN1890034B (en) 2012-04-18
EP1691938A4 (en) 2010-04-14

Similar Documents

Publication Publication Date Title
US20080023029A1 (en) Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
KR100807138B1 (en) Semiconductor processing equipment having improved particle performance
US6451157B1 (en) Gas distribution apparatus for semiconductor processing
US6506254B1 (en) Semiconductor processing equipment having improved particle performance
EP1145273B1 (en) Low contamination high density plasma etch chambers and methods for making the same
US6838012B2 (en) Methods for etching dielectric materials
US8883029B2 (en) Method of making a gas distribution member for a plasma processing chamber
US20100099263A1 (en) Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
EP1797216A2 (en) Heated gas box for pecvd applications

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION