US20080146029A1 - Method of forming an interconnect structure - Google Patents

Method of forming an interconnect structure Download PDF

Info

Publication number
US20080146029A1
US20080146029A1 US12/033,943 US3394308A US2008146029A1 US 20080146029 A1 US20080146029 A1 US 20080146029A1 US 3394308 A US3394308 A US 3394308A US 2008146029 A1 US2008146029 A1 US 2008146029A1
Authority
US
United States
Prior art keywords
layer
organo
silicate glass
hardmask
plasma etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/033,943
Inventor
Heidi Lee Baks
Shyng-Tsong Chen
Timothy Joseph Dalton
Nicholas Colvin Masi Fuller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/033,943 priority Critical patent/US20080146029A1/en
Publication of US20080146029A1 publication Critical patent/US20080146029A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Definitions

  • the present invention relates to the field of fabrication of integrated circuits; more specifically, it relates to methods of fabricating interconnect structures in organo-silicate glass based dielectric layers.
  • integrated circuit chips include a plurality of devices such as resistors, capacitors, inductors, diodes and transistors wired together into circuits by layers of interconnect structures formed in dielectric layers that are stacked on top of each other.
  • OSG materials are not easily integrated into common integrated circuit fabrication processes. Exposing OSG materials to plasma-based processes can cause image control problems in photoresist layers by release of amines from the OSG material (e.g. photoresist poisoning). Plasma based processing also causes carbon-depletion of the OSG material which leads to increased leakage current flow between unconnected interconnect structures in layers of OSG material as well as interconnect metallurgy/OSG interface adhesion loss.
  • a first aspect of the present invention is a method, comprising: forming an organo-silicate glass layer over a substrate; forming a first hardmask layer over the organo-silicate glass layer; forming a second hardmask layer over the first hardmask layer; forming a photoresist layer over the second hardmask layer; removing a region of the photoresist layer; removing a region of the second hardmask layer where the second hardmask layer is not protected by the photoresist layer using a first plasma etch process; removing the photoresist layer; using a second plasma etch process, removing the first hardmask layer where the first hardmask layer is not protected by the second hardmask layer; and using a third plasma etch process, removing the organo-silicate glass layer in where the organo-silicate glass layer is not protected by the first hardmask layer form a trench extending completely through the organo-silicate glass layer.
  • FIGS. 1 through 10 are cross-sectional views illustrating fabrication of an interconnect structure according to a first embodiment of the present invention
  • FIGS. 11 and 12 are cross-sectional views illustrating fabrication of an interconnect structure according to a second embodiment of the present invention.
  • FIG. 13 is a flowchart of the method for forming the interconnect structure according to the first embodiment of the present invention.
  • FIG. 14 is a flowchart of the method for forming the interconnect structure according to the second embodiment of the present invention.
  • Interconnect structures according to the present invention are formed by damascene processes and are either single or dual damascene interconnect structures.
  • vias and via bars are to be considered types of interconnect structures. While common vias are generally approximately square or round, via bars are elongated, or approximately rectangular shaped vias.
  • Interconnect structures are formed in an insulating layer often called an interlevel dielectric (ILD).
  • ILD interlevel dielectric
  • a damascene process is one in which wire trenches or via openings are formed in a dielectric layer, an electrical conductor of sufficient thickness to fill the trenches is deposited on a top surface of the dielectric and a chemical-mechanical-polish (CMP) process is performed to remove excess conductor and make the surface of the conductor co-planer with the surface of the dielectric layer to form damascene wires (or damascene vias).
  • CMP chemical-mechanical-polish
  • a dual-damascene process is one in which via openings are formed through the entire thickness of a dielectric layer followed by formation of trenches part of the way through the dielectric layer in any given cross-sectional view. All via openings are intersected by integral wire trenches above and by a wire trench below, but not all trenches need intersect a via opening.
  • An electrical conductor of sufficient thickness to fill the trenches and via opening is deposited on a top surface of the dielectric and a CMP process is performed to make the surface of the conductor in the trench co-planer with the surface the dielectric layer to form dual-damascene wires and dual-damascene wires having integral dual-damascene vias.
  • OSG materials are used in the various embodiments of the present invention.
  • the OSG materials may be spun-on a wafer or substrate to form an OSG layer or may be formed by plasma enhanced chemical vapor deposition (PECVD) over a wafer or substrate to form an OSG layer.
  • PECVD plasma enhanced chemical vapor deposition
  • OSG materials suitable for spin apply include hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ), Black DiamondTM (methyl doped silica or SiO x (CH 3 ) y or SiC x O y H y or SiOCH) manufactured by Applied Materials, Santa Clara, Calif., and porous OSG.
  • Porous OSG material is OSG containing voids or pores having a size distribution between about 1 and about 25 nm.
  • Pores may be introduced into spun on OSG materials by any number of methods well known in the art.
  • pores are introduced into OSG materials by mixing a porogen (pore generating agent) with uncured OSG resin, forming a layer of the mixture and removing the porogen (by volatilization or decomposition of the porogen) as the OSG resin is heat cured (converted into a polymer). Voids are left in the OSG where porogen had originally been.
  • OSG materials have a dielectric constant of less than 5. In a second example, OSG materials have a dielectric constant of less than 3.5. In a third example, OSG materials have a dielectric constant of less than 3.
  • silsesquioxane based OSG materials are resins (low molecular weight polymers or oligomers) or polymers that may be represented by structures (I), (II), (III) and (IV).
  • R′ is selected from the group consisting of an alkyl group having 1 to 3 carbon atoms, an aryl group having 6 to 12 carbon atoms, and a cycloalkyl group having 6 to 12 carbon atoms
  • R′′ is selected from the group consisting of an alkylene group having 1 to 2 carbon atoms and a cycloalkylene group having 6 to 12 carbon atoms.
  • Structure (I) may be represented by the formula —(SiO 2 ) n —
  • structure (II) may be represented by the formula —(R′SiO 3/2 ) n —
  • structure (III) may be represented by the formula —(R 12 SiO) n —
  • structure (IV) may be represented by the formula —(R′′Si 2 O 3 ) n —.
  • the atomic composition of OSG materials used in the various embodiments of the present invention comprise about 10% to about 40% silicon, about 0% to about 30% carbon, about 0% to about 30% nitrogen, and about 20% to about 50% hydrogen.
  • OSG materials formed by PECVD may be found in U.S. Pat. No. 6,147,009 by Grill et al. which issued Nov. 14, 2000 and is directed to forming layers of dense SiCOH and which is hereby incorporated by reference in its entirety.
  • Examples of forming multi phase or porous OSG materials by PECVD are found in U.S. Pat. No. 6,312,793 by Grill et al. which issued Nov. 6, 2001, U.S. Pat. No. 6,756,323 by Grill et al. which issued Jun. 29, 2004 and U.S. Pat. No. 6,768,200 by Grill et al. which issued Jul. 27, 2004 all of which are hereby incorporated by reference in there entireties.
  • OSG materials formed by PECVD may be represented by structures (V) and (VI).
  • PECVD OSG may be formed using a first precursor selected from molecules containing at least some of Si, C, O, and H atoms. Oxidizing molecules such as O 2 or N 2 O can be added to the first precursor.
  • the first precursor is selected from molecules with ring structures such as 1,3,5,7-tetramethylcyclotetrasiloxane (TMCTS or C 4 H 16 O 4 Si 4 ), tetraethylcyclotetrasiloxane (C 8 H 24 O 4 Si 4 ), decamethylcyclopentasiloxane (C 10 H 30 O 5 Si 5 ) molecules of methylsilanes mixed with an oxidizing agent such as O 2 or N 2 O or precursor mixtures including Si, O and C.
  • TCTS 1,3,5,7-tetramethylcyclotetrasiloxane
  • C 8 H 24 O 4 Si 4 tetraethylcyclotetrasiloxane
  • decamethylcyclopentasiloxane C 10
  • the precursor can be delivered directly as a gas to the reactor, delivered as a liquid vaporized directly within the reactor, or transported by an inert carrier gas such as helium or argon.
  • the precursor mixture may further contain elements such as nitrogen, fluorine or germanium.
  • PECVD porous OSG may be formed using a mixture of the first precursor and a second precursor gas mixture selected from molecules containing C and H atoms.
  • a second precursor gas mixture selected from molecules containing C and H atoms.
  • O, N or F atoms may be contained in the molecules, or molecules containing such atoms may be added to the precursor mixture.
  • the second precursor is selected from the group comprising molecules with ring structures containing C and H atoms such as cyclic hydrocarbons, cyclic alcohols, cyclic ethers, cyclic aldehydes, cyclic ketones, cyclic esters. pheonols, cyclic amines, or other O, N or F containing cyclic hydrocarbons.
  • the second precursor molecule is a multicyclic (or polycyclic) hydrocarbon containing about 6 to 12 carbon atoms, with preferred examples being 2,5-norbornadiene (also known as bicyclo[2.2.1]hepta-2,5-diene), norbornylene 2,5-norbornadiene (also known as bicyclo[2.2.1]hepta-2,5-diene), norbornane (also known as bicyclo[2.2.1]heptane).
  • 2,5-norbornadiene also known as bicyclo[2.2.1]hepta-2,5-diene
  • norbornylene 2,5-norbornadiene also known as bicyclo[2.2.1]hepta-2,5-diene
  • norbornane also known as bicyclo[2.2.1]heptane
  • tricyclo[3.2.1.0]octane tricyclo[3.2.2.0]nonane
  • connected ring hydrocarbons such as spiro[3.4]octane, spirol[4.5]nonane, spiro[5.6]decane, and the like.
  • cyclic hydrocarbons containing from 5 to 12 carbon atoms cyclopentane, cyclohexane, and the like
  • cyclic aromatic hydrocarbons containing 6 to 12 C atoms benzene, toluene, xylenes, and the like
  • O or F atoms may be contained in the molecules, or molecules containing such atoms added to the precursor mixture.
  • FIGS. 1 through 10 are cross-sectional views illustrating fabrication of an interconnect structure according to a first embodiment of the present invention.
  • a substrate 100 may be a bulk silicon (Si) substrate or a silicon-on-insulator (SOI) substrate.
  • substrate 100 comprises Si, silicon-germanium (SiGe), layers of Si, layers of SiGe, silicon dioxide (SiO 2 ) or combinations thereof.
  • Substrate 100 may include devices such as resistors, capacitors, inductors, diodes, transistors and contacts to the devices or substrate.
  • a dielectric layer 105 is formed on top of substrate 100 and includes, by way of example, a contact 110 .
  • dielectric layer 105 comprises SiO 2 and contact 110 comprises tungsten (W).
  • contact 110 comprises tungsten (W).
  • capping layer 115 comprises silicon nitride (Si 3 N 4 ), silicon carbide (SiC), silicon oxycarbide (SiOC), SiO 2 , OSG, low-K dielectrics or combinations of layers thereof and has a thickness of between about 2 nm and about 30 nm.
  • Capping layer 115 may act as a moisture barrier, ionic contamination barrier, copper diffusion barrier or combinations thereof.
  • a low-K dielectric is a dielectric with a dielectric constant of about 3 or less.
  • OSG layer 120 Formed on top of capping layer 115 is an OSG or a porous OSG layer 120 .
  • OSG layer includes either a layer of OSG material or a layer of porous OSG material as described supra.
  • a porous OSG layer comprises about 10% to about 70% voids by volume.
  • OSG layer 120 is between about 100 nm and about 1500 nm thick.
  • OSG layer 120 Formed on top of OSG layer 120 is an optional adhesion layer 125 . Formed on top of adhesion layer 125 is a first hardmask layer 130 . Formed on top of first hardmask layer 130 is an optional rework protection layer 135 . Formed on top of rework protection layer 135 is second hardmask layer 140 .
  • Adhesion of first hardmask layer 130 to OSG layer 120 can be enhanced either by plasma pre-treatment of the top surface of the OSG layer to form adhesion layer 125 or by deposition or application of adhesion layer 125 on top of the OSG layer before deposition of first hardmask layer 130 .
  • First hardmask layer 130 serves multiple purposes.
  • Hardmask layer 130 acts as chemical-mechanical polish (CMP) stopping layer as described infra, as a barrier layer to protect OSG layer 120 from moisture and slurry solvents, and as a template to enable the patterning of the OSG layer.
  • CMP chemical-mechanical polish
  • first hardmask layer 130 comprises Si 3 N 4 , SC, SiOC, hydrogenated silicon carbide (SiOCH), SiO 2 , OSG, a low-K dielectric or combinations of layers thereof.
  • first hardmask 130 or a layer thereof, is retained after CMP; it is advantageous to have a low-K material as the hardmask, so as to keep the effective dielectric constant of the entire stack low.
  • the major drawback of this requirement is that materials that satisfy this condition are susceptible to photoresist rework conditions that typically involve oxygen-based plasma strip conditions.
  • rework protection layer 135 may be used.
  • rework protection layer 135 comprises Si 3 N 4 , SiO 2 , SiOC, titanium (Ti), tantalum (Ta), other refractory metals, tantalum nitride (TiN), tantalum nitride (TaN), other refractory metal nitrides or combinations of layers thereof.
  • first hardmask layer 130 is resistant to photoresist rework conditions, rework protection layer 135 is not required.
  • photoresist rework includes removal of the photoresist using a plasma process that includes O 2 or oxygen containing species.
  • second hardmask layer 140 comprises Si 3 N 4 , SiO 2 , silicon oxynitride (Si x O y N z ) or combinations of layers thereof.
  • an optional anti-reflective coating (ARC) 145 is formed on second hardmask layer 140 and a photoresist layer 150 is formed on ARC 145 .
  • An opening 155 is formed in the photoresist layer by conventional photolithographic processing (e.g. exposing a photoresist layer to actinic radiation through a patterned mask and removing the exposed or unexposed photoresist), thus exposing a top surface 160 of ARC 145 .
  • ARC 145 comprises 414J available from Japan Synthetic Rubber (JSR).
  • JSR Japan Synthetic Rubber
  • a first plasma etch is performed to remove exposed ARC 145 (see FIG. 2 ), and to remove subsequently exposed second hardmask layer 140 , followed by a first plasma photoresist strip to remove photoresist layer 150 and any remaining ARC 145 (see FIG. 2 ), thus forming an opening 165 in second hardmask layer 140 and exposing a top surface 170 of rework protection layer 135 . (If rework protection layer 135 is not present, then a top surface of first hardmask 130 would be exposed in opening 165 .)
  • an optional wet clean in water or an aqueous acidic solution is performed. In one example, the optional wet clean removes up to about 20 ⁇ of second hardmask layer 140 .
  • the first plasma etch is performed using a chlorine containing gaseous compound, chlorine (Cl 2 ), boron tri-chloride (BCl 3 ), a fluorine containing gaseous compound, mono-fluoro methane (CH 3 F), di-fluoro methane (CH 2 F 2 ), tri fluoro methane (CHF 3 ) or combinations thereof mixed with oxygen (O 2 ), nitrogen (N 2 ). hydrogen (H 2 ) or combinations thereof.
  • the first plasma photoresist strip process is performed using O 2 , N 2 , H 2 or combinations thereof.
  • an optional ARC 175 is formed over top surface 170 of rework protection layer 135 exposed in opening 165 in second hardmask layer 140 and over top surface 180 of remaining second hardmask layer 140 .
  • ARC 175 may comprise the same material as described for ARC 145 supra.
  • ARC 175 is planar, i.e. there is no dip in a top surface 182 of ARC 175 over opening 165 .
  • a photoresist layer 185 is formed on ARC 175 .
  • An opening 190 is formed in the photoresist layer by conventional photolithographic processing as described supra, thus exposing a top surface 195 of ARC 175 .
  • a second plasma etch is performed to remove exposed ARC 175 and to etch an opening 200 through rework protection layer 135 , first hardmask layer 130 , adhesion layer 125 and into but not completely through OSG layer 120 .
  • OSG material of OSG layer 120 is exposed in a bottom 205 of opening 200 .
  • Opening 200 is aligned within opening 165 in second hardmask layer 140 .
  • the second plasma etch is performed using a fluorine containing gaseous compound, CH 3 F, CH 2 F 2 , CHF 3 or combinations thereof mixed with O 2 , N 2 , H 2 or combinations thereof.
  • the second plasma etch is non-selective to ARC 175 , rework protection layer 135 , first hardmask mask layer 130 , adhesion layer 125 and OSG layer 120 .
  • a second plasma photoresist strip is performed to remove photoresist layer 185 and any remaining ARC 175 (see FIG. 6 ).
  • the second plasma photoresist strip process is performed using O 2 , N 2 , H 2 or combinations thereof.
  • a damaged region 210 of OSG layer 120 is formed extending from bottom 205 of opening 200 into OSG layer 120 .
  • Damaged region 210 may include carbon depleted OSG material caused by O 2 or oxygen containing species used in the second plasma etch and/or the second plasma photoresist strip.
  • a third plasma etch is performed which etches rework protection layer 135 , first hardmask layer 130 , adhesion layer 125 and OSG layer 120 selectively to second hardmask layer 140 .
  • the third plasma etch defines an opening 215 in rework protection layer 135 , first hardmask layer 130 , adhesion layer 125 and OSG layer 120 using opening 165 in second hardmask layer 140 as a mask.
  • opening 200 (see FIG. 7 ) is extended in depth to form an opening 220 in OSG layer 120 and damaged region 210 (see FIG. 7 ) is removed.
  • the third plasma etch does not include O 2 or oxygen containing species.
  • the third plasma etch is a polymer forming etch.
  • a polymer forming etch forms fluorocarbon polymers on the sidewalls and bottom of the opening being etched which is continuously removed and redeposited on the bottom of the opening as the opening is progressively etched deeper.
  • the third plasma etch is performed using a fluorine containing gaseous compound, CH 3 F, CH 2 F 2 , CHF 3 , C X F Y (where X and Y are positive integers) or combinations thereof mixed with N 2 , H 2 , Ar, Ne, Kr, Xe or combinations thereof.
  • a fluorine containing gaseous compound CH 3 F, CH 2 F 2 , CHF 3 , C X F Y (where X and Y are positive integers) or combinations thereof mixed with N 2 , H 2 , Ar, Ne, Kr, Xe or combinations thereof.
  • a fourth plasma etch is performed in which OSG layer 120 is etched selective to second hardmask layer 140 and capping layer 115 .
  • the fourth plasma etch does not include O 2 or oxygen containing species.
  • the fourth plasma etch does not include O 2 , oxygen containing species or N 2 .
  • the fourth plasma etch is performed using a fluorine containing gaseous compound, C X F Y (where X and Y are positive integers) or combinations thereof mixed with an inert gas, Ar, Ne, Kr, Xe or combinations thereof.
  • a fifth plasma etch is performed which OSG layer 120 is etched selective to second hardmask layer 140 and capping layer 115 .
  • the fifth plasma etch does not include O 2 or oxygen containing species.
  • the fifth plasma etch is performed using a fluorine containing gaseous compound, CH 3 F, CH 2 F 2 , CHF 3 , C X F Y (where X and Y are positive integers) or combinations thereof mixed with N 2 , Ar, Ne, Kr, Xe or combinations thereof.
  • the fourth and fifth plasma etches define a wire trench 215 A and a via opening 220 A by extending the depth of openings 215 and 220 (see FIG. 8 ) to the depths required to form a dual damascene interconnect. It should be noted, that no regions of OSG layer 120 exposed in via opening 215 A and wire trench 220 A are “damaged.”
  • a dual damascene wire 225 includes a wire portion 230 and a via portion 235 formed in wire trench 215 A (see FIG. 9 ) and via opening 220 A (see FIG. 9 ) respectively as described supra.
  • Second hardmask 140 and resist protection layer 135 are removed by the CMP process used in the dual damascene process.
  • First hardmask 130 acts as a CMP stopping layer.
  • dual damascene wire 225 includes a liner 240 and a core conductor 245 .
  • liner 240 comprises layers of Ti, TiN, Ta, TaN or combinations thereof.
  • core conductor 245 comprises Cu.
  • Dual damascene wire 225 is illustrated in direct physical and electrical contact with exemplary contact 110 .
  • the fifth plasma etch may be eliminated and the fourth plasma etch time increased to also etch through capping layer 115 , though for small via openings use of the fifth plasma etch reduces plasma loading effects and improves via opening dimensional control.
  • FIGS. 11 and 12 are cross-sectional views illustrating fabrication of an interconnect structure according to a second embodiment of the present invention. While the first embodiment of the present invention was directed to a dual damascene process, the second embodiment of the present invention is directed to a single damascene process. The steps illustrated in FIGS. 1 , 2 and 3 and described supra, are performed immediately prior to the steps illustrated in FIGS. 11 and 12 .
  • the third etch processes described supra is performed.
  • the third plasma etch etches rework protection layer 135 , first hardmask layer 130 , adhesion layer 125 and OSG layer 120 selectively to second hardmask layer 140 .
  • a fourth plasma etch etches OSG layer 120 selectively to second hardmask layer 140 and capping layer 115 .
  • the fourth plasma etch process of the second embodiment of the present invention is essentially the same etch process as the fifth etch process of the first embodiment of the present invention described supra.
  • Opening 165 in second hardmask layer 140 is used to define a wire trench (or a via opening) 250 in OSG layer 120 and capping layer 115 .
  • a single damascene wire (or via or via bar) 255 includes liner 240 and a core conductor 245 and is in direct physical and electrical contact with contact 110 Second hardmask 140 and resist protection layer 135 are removed by the CMP process used in the single damascene process. First hardmask 130 acts as a CMP stopping layer.
  • the fifth plasma etch may be eliminated and the third plasma etch time increased to also etch through capping layer 115 .
  • FIG. 13 is a flowchart of the method for forming the interconnect structure according to the first embodiment of the present invention.
  • 300 at least first and second hardmask layers are formed over an OSG layer on a substrate (which may be a porous OSG layer), the first hardmask layer being between the second hardmask and the OSG layer.
  • An optional capping layer may be formed between the OSG layer and the first hardmask layer.
  • An optional adhesion layer may be applied/formed between the OSG layer and the first hardmask layer.
  • An optional rework protection layer may be formed between the first and second hardmask layers.
  • a first photoresist layer is applied on the second hardmask layer.
  • An optional first ARC may be applied to the second hardmask layer prior to applying the first photoresist layer in which case the photoresist layer is applied to the first ARC.
  • step 310 the first photoresist layer is patterned and the pattern transferred to the second hardmask layer using a first plasma etch process.
  • step 315 the first photoresist layer is removed.
  • a second photoresist layer is applied on the exposed surfaces of the first and second hardmask layers and patterned.
  • An optional second ARC may be applied to the exposed surfaces of the first and second hardmask layers prior to applying the second photoresist layer, in which case the second photoresist layer is applied to the second ARC.
  • the second photoresist pattern is transferred through the first hardmask layer and into the OSG layer using a second plasma etch process to define a via opening. If capping, rework protection, adhesion and/or second ARC layers are present, the pattern is transferred through these layers as well. In step 330 the second photoresist layer is removed.
  • a third plasma etch (using no O 2 or oxygen containing species) is performed, extending the pattern in the second hardmask layer into the OSG layer (and all intervening layers) to form a wire trench in the OSG layer and extend the depth of the via opening in the OSG layer.
  • a fourth plasma etch is performed using no oxygen or nitrogen containing species (i.e. containing no H 2 O, O 2 , CO, CO 2 N 2 , NH 3 or other oxygen or nitrogen containing molecules, radicals or ions) in order to extend the depth of the wire trench to its final depth and extending the via opening through the OSG layer.
  • a fifth plasma etch using no oxygen or nitrogen containing species i.e. containing no H 2 O, O 2 , CO, CO 2 N 2 , NH 3 or other oxygen or nitrogen containing molecules, radicals or ions
  • the fifth plasma etch may be eliminated and the fourth plasma etch also used to etch through the capping layer.
  • step 345 the wire trench and via opening are filled with metal or other electrically conductive material and planarized to form a dual damascene wire and via.
  • FIG. 14 is a flowchart of the method for forming the interconnect structure according to the second embodiment of the present invention.
  • step 350 at least first and second hardmask layers are formed over an OSG layer on a substrate (which may be a porous OSG layer), the first hardmask being between the second hardmask and the OSG layer.
  • An optional capping layer may be formed between the OSG layer and the first hardmask layer.
  • An optional adhesion layer may be applied/formed between the OSG layer and the first hardmask layer.
  • An optional rework protection layer may be formed between the first and second hardmask layers.
  • a photoresist layer is applied on the second hardmask layer.
  • An optional ARC may be applied to the second hardmask layer prior to applying the photoresist layer, in which case the photoresist layer is applied to the ARC.
  • step 360 the photoresist layer is patterned and the pattern transferred to the second hardmask layer using a first plasma etch process.
  • step 365 the first photoresist layer is removed.
  • the second hardmask pattern is transferred through the first hardmask layer and into the OSG using a second plasma etch process to define a wire trench or via or via bar opening. If capping, rework protection, adhesion layers and/or ARC are present, the pattern is transferred through these layers as well.
  • a third plasma etch using no oxygen or nitrogen containing species (i.e. containing no H 2 O, O 2 , CO, CO 2 N 2 , NH 3 or other oxygen or nitrogen containing molecules, radicals or ions) in order to extend the pattern in the second hardmask layer into the OSG layer (and all intervening layers) to complete the wire trench or via opening in the OSG layer.
  • a fourth plasma etch is also performed, extending the wire trench or via opening through the capping layer if present.
  • the fourth plasma etch may be eliminated and the third plasma etch also used to etch through the capping layer.
  • the fourth plasma etch of the second embodiment is essentially the same as the fifth plasma etch of the first embodiment.
  • step 375 the wire trench and via opening are filled with metal or other electrically conductive material and planarized to form a single damascene wire, via or via bar.
  • the various embodiments of the present invention methods of forming interconnect structures in OSG dielectrics that do not cause photoresist poisoning are insensitive to OSG carbon-depletion and are less susceptible to interconnect/OSG interface adhesion failure.

Abstract

A method of forming damascene interconnect structure in an organo-silicate glass layer without causing damage to the organo-silicate glass material. The method includes forming a stack of hardmask layers over the organo-silicate glass layer, defining openings in the hardmask and organo-silicate glass layers using a combination of plasma etch and plasma photoresist removal processes and performing one or more additional plasma etch processes that do not include oxygen containing species to etch the openings to depths required for forming the damascene interconnect structures and to remove any organo-silicate material damaged by the combination of plasma etch and plasma photoresist removal processes.

Description

  • This application is a continuation of copending U.S. patent application Ser. No. 11/315,923 filed on Dec. 22, 2005.
  • FIELD OF THE INVENTION
  • The present invention relates to the field of fabrication of integrated circuits; more specifically, it relates to methods of fabricating interconnect structures in organo-silicate glass based dielectric layers.
  • BACKGROUND OF THE INVENTION
  • Generally, integrated circuit chips include a plurality of devices such as resistors, capacitors, inductors, diodes and transistors wired together into circuits by layers of interconnect structures formed in dielectric layers that are stacked on top of each other.
  • There are two limiting factors that affect the speed of signal propagation in the interconnect structures, namely, the resistance (R) of the interconnects and the capacitance (C) of the dielectric layer which manifests themselves as an RC delay. Signal delay can be reduced by using low dielectric constant (k) dielectrics, one family of which are called organo-silicate glass (OSG).
  • However OSG materials are not easily integrated into common integrated circuit fabrication processes. Exposing OSG materials to plasma-based processes can cause image control problems in photoresist layers by release of amines from the OSG material (e.g. photoresist poisoning). Plasma based processing also causes carbon-depletion of the OSG material which leads to increased leakage current flow between unconnected interconnect structures in layers of OSG material as well as interconnect metallurgy/OSG interface adhesion loss.
  • Therefore there is a need for a method of forming interconnect structures in OSG dielectrics that does not cause photoresist poisoning, is insensitive to OSG carbon-depletion and is less susceptible to interconnect/OSG interface adhesion failure.
  • SUMMARY OF THE INVENTION
  • A first aspect of the present invention is a method, comprising: forming an organo-silicate glass layer over a substrate; forming a first hardmask layer over the organo-silicate glass layer; forming a second hardmask layer over the first hardmask layer; forming a photoresist layer over the second hardmask layer; removing a region of the photoresist layer; removing a region of the second hardmask layer where the second hardmask layer is not protected by the photoresist layer using a first plasma etch process; removing the photoresist layer; using a second plasma etch process, removing the first hardmask layer where the first hardmask layer is not protected by the second hardmask layer; and using a third plasma etch process, removing the organo-silicate glass layer in where the organo-silicate glass layer is not protected by the first hardmask layer form a trench extending completely through the organo-silicate glass layer.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:
  • FIGS. 1 through 10 are cross-sectional views illustrating fabrication of an interconnect structure according to a first embodiment of the present invention;
  • FIGS. 11 and 12 are cross-sectional views illustrating fabrication of an interconnect structure according to a second embodiment of the present invention;
  • FIG. 13 is a flowchart of the method for forming the interconnect structure according to the first embodiment of the present invention; and
  • FIG. 14 is a flowchart of the method for forming the interconnect structure according to the second embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Interconnect structures according to the present invention are formed by damascene processes and are either single or dual damascene interconnect structures. For the purpose of describing the various embodiments of the present invention wires, vias and via bars are to be considered types of interconnect structures. While common vias are generally approximately square or round, via bars are elongated, or approximately rectangular shaped vias. Interconnect structures are formed in an insulating layer often called an interlevel dielectric (ILD).
  • A damascene process is one in which wire trenches or via openings are formed in a dielectric layer, an electrical conductor of sufficient thickness to fill the trenches is deposited on a top surface of the dielectric and a chemical-mechanical-polish (CMP) process is performed to remove excess conductor and make the surface of the conductor co-planer with the surface of the dielectric layer to form damascene wires (or damascene vias). When only a trench and a wire (or a via opening and a via or a via trench and via bar) is formed the process is called single-damascene. (Note, a via opening may also be considered a trench.)
  • A dual-damascene process is one in which via openings are formed through the entire thickness of a dielectric layer followed by formation of trenches part of the way through the dielectric layer in any given cross-sectional view. All via openings are intersected by integral wire trenches above and by a wire trench below, but not all trenches need intersect a via opening. An electrical conductor of sufficient thickness to fill the trenches and via opening is deposited on a top surface of the dielectric and a CMP process is performed to make the surface of the conductor in the trench co-planer with the surface the dielectric layer to form dual-damascene wires and dual-damascene wires having integral dual-damascene vias.
  • OSG materials are used in the various embodiments of the present invention. The OSG materials may be spun-on a wafer or substrate to form an OSG layer or may be formed by plasma enhanced chemical vapor deposition (PECVD) over a wafer or substrate to form an OSG layer.
  • Examples of OSG materials suitable for spin apply include hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ), Black Diamond™ (methyl doped silica or SiOx(CH3)y or SiCxOyHy or SiOCH) manufactured by Applied Materials, Santa Clara, Calif., and porous OSG. Porous OSG material is OSG containing voids or pores having a size distribution between about 1 and about 25 nm.
  • Pores may be introduced into spun on OSG materials by any number of methods well known in the art. In one example pores are introduced into OSG materials by mixing a porogen (pore generating agent) with uncured OSG resin, forming a layer of the mixture and removing the porogen (by volatilization or decomposition of the porogen) as the OSG resin is heat cured (converted into a polymer). Voids are left in the OSG where porogen had originally been.
  • In a first example, OSG materials have a dielectric constant of less than 5. In a second example, OSG materials have a dielectric constant of less than 3.5. In a third example, OSG materials have a dielectric constant of less than 3.
  • Further examples of silsesquioxane based OSG materials are resins (low molecular weight polymers or oligomers) or polymers that may be represented by structures (I), (II), (III) and (IV).
  • Figure US20080146029A1-20080619-C00001
  • wherein R′ is selected from the group consisting of an alkyl group having 1 to 3 carbon atoms, an aryl group having 6 to 12 carbon atoms, and a cycloalkyl group having 6 to 12 carbon atoms, and wherein R″ is selected from the group consisting of an alkylene group having 1 to 2 carbon atoms and a cycloalkylene group having 6 to 12 carbon atoms.
  • Structure (I) may be represented by the formula —(SiO2)n—, structure (II) may be represented by the formula —(R′SiO3/2)n—, structure (III) may be represented by the formula —(R12SiO)n— and structure (IV) may be represented by the formula —(R″Si2O3)n—.
  • In one example, the atomic composition of OSG materials used in the various embodiments of the present invention comprise about 10% to about 40% silicon, about 0% to about 30% carbon, about 0% to about 30% nitrogen, and about 20% to about 50% hydrogen.
  • Examples of OSG materials formed by PECVD may be found in U.S. Pat. No. 6,147,009 by Grill et al. which issued Nov. 14, 2000 and is directed to forming layers of dense SiCOH and which is hereby incorporated by reference in its entirety. Examples of forming multi phase or porous OSG materials by PECVD are found in U.S. Pat. No. 6,312,793 by Grill et al. which issued Nov. 6, 2001, U.S. Pat. No. 6,756,323 by Grill et al. which issued Jun. 29, 2004 and U.S. Pat. No. 6,768,200 by Grill et al. which issued Jul. 27, 2004 all of which are hereby incorporated by reference in there entireties.
  • Examples of OSG materials formed by PECVD may be represented by structures (V) and (VI).
  • Figure US20080146029A1-20080619-C00002
  • PECVD OSG may be formed using a first precursor selected from molecules containing at least some of Si, C, O, and H atoms. Oxidizing molecules such as O2 or N2O can be added to the first precursor. Preferably the first precursor is selected from molecules with ring structures such as 1,3,5,7-tetramethylcyclotetrasiloxane (TMCTS or C4H16O4Si4), tetraethylcyclotetrasiloxane (C8H24O4Si4), decamethylcyclopentasiloxane (C10H30O5Si5) molecules of methylsilanes mixed with an oxidizing agent such as O2 or N2O or precursor mixtures including Si, O and C. The precursor can be delivered directly as a gas to the reactor, delivered as a liquid vaporized directly within the reactor, or transported by an inert carrier gas such as helium or argon. The precursor mixture may further contain elements such as nitrogen, fluorine or germanium.
  • PECVD porous OSG may be formed using a mixture of the first precursor and a second precursor gas mixture selected from molecules containing C and H atoms. Optionally, O, N or F atoms may be contained in the molecules, or molecules containing such atoms may be added to the precursor mixture. In one example, the second precursor is selected from the group comprising molecules with ring structures containing C and H atoms such as cyclic hydrocarbons, cyclic alcohols, cyclic ethers, cyclic aldehydes, cyclic ketones, cyclic esters. pheonols, cyclic amines, or other O, N or F containing cyclic hydrocarbons. More preferably, the second precursor molecule is a multicyclic (or polycyclic) hydrocarbon containing about 6 to 12 carbon atoms, with preferred examples being 2,5-norbornadiene (also known as bicyclo[2.2.1]hepta-2,5-diene), norbornylene 2,5-norbornadiene (also known as bicyclo[2.2.1]hepta-2,5-diene), norbornane (also known as bicyclo[2.2.1]heptane). Other examples are tricyclo[3.2.1.0]octane, tricyclo[3.2.2.0]nonane, connected ring hydrocarbons such as spiro[3.4]octane, spirol[4.5]nonane, spiro[5.6]decane, and the like. Alternatively, cyclic hydrocarbons containing from 5 to 12 carbon atoms (cyclopentane, cyclohexane, and the like) and also cyclic aromatic hydrocarbons containing 6 to 12 C atoms (benzene, toluene, xylenes, and the like) may be used. Optionally, O or F atoms may be contained in the molecules, or molecules containing such atoms added to the precursor mixture.
  • FIGS. 1 through 10 are cross-sectional views illustrating fabrication of an interconnect structure according to a first embodiment of the present invention. In FIG. 1, a substrate 100 may be a bulk silicon (Si) substrate or a silicon-on-insulator (SOI) substrate. In one example, substrate 100 comprises Si, silicon-germanium (SiGe), layers of Si, layers of SiGe, silicon dioxide (SiO2) or combinations thereof. Substrate 100 may include devices such as resistors, capacitors, inductors, diodes, transistors and contacts to the devices or substrate. In FIG. 1, a dielectric layer 105 is formed on top of substrate 100 and includes, by way of example, a contact 110. In one example, dielectric layer 105 comprises SiO2 and contact 110 comprises tungsten (W). Formed on top of dielectric layer 110 is an optional capping layer 115. In one example, capping layer 115 comprises silicon nitride (Si3N4), silicon carbide (SiC), silicon oxycarbide (SiOC), SiO2, OSG, low-K dielectrics or combinations of layers thereof and has a thickness of between about 2 nm and about 30 nm. Capping layer 115 may act as a moisture barrier, ionic contamination barrier, copper diffusion barrier or combinations thereof. A low-K dielectric is a dielectric with a dielectric constant of about 3 or less.
  • Formed on top of capping layer 115 is an OSG or a porous OSG layer 120. Hereinafter, the term OSG layer includes either a layer of OSG material or a layer of porous OSG material as described supra. In one example a porous OSG layer comprises about 10% to about 70% voids by volume. In one example OSG layer 120 is between about 100 nm and about 1500 nm thick.
  • Formed on top of OSG layer 120 is an optional adhesion layer 125. Formed on top of adhesion layer 125 is a first hardmask layer 130. Formed on top of first hardmask layer 130 is an optional rework protection layer 135. Formed on top of rework protection layer 135 is second hardmask layer 140.
  • Adhesion of first hardmask layer 130 to OSG layer 120 can be enhanced either by plasma pre-treatment of the top surface of the OSG layer to form adhesion layer 125 or by deposition or application of adhesion layer 125 on top of the OSG layer before deposition of first hardmask layer 130.
  • First hardmask layer 130 serves multiple purposes. Hardmask layer 130 acts as chemical-mechanical polish (CMP) stopping layer as described infra, as a barrier layer to protect OSG layer 120 from moisture and slurry solvents, and as a template to enable the patterning of the OSG layer. In one example, first hardmask layer 130 comprises Si3N4, SC, SiOC, hydrogenated silicon carbide (SiOCH), SiO2, OSG, a low-K dielectric or combinations of layers thereof.
  • Keeping in view of the fact that first hardmask 130, or a layer thereof, is retained after CMP; it is advantageous to have a low-K material as the hardmask, so as to keep the effective dielectric constant of the entire stack low. The major drawback of this requirement is that materials that satisfy this condition are susceptible to photoresist rework conditions that typically involve oxygen-based plasma strip conditions. Hence, in order to protect first hardmask layer 130 from damaging photoresist strip conditions, rework protection layer 135 may be used. In one example, rework protection layer 135 comprises Si3N4, SiO2, SiOC, titanium (Ti), tantalum (Ta), other refractory metals, tantalum nitride (TiN), tantalum nitride (TaN), other refractory metal nitrides or combinations of layers thereof. However, if first hardmask layer 130 is resistant to photoresist rework conditions, rework protection layer 135 is not required. In one example, photoresist rework includes removal of the photoresist using a plasma process that includes O2 or oxygen containing species.
  • In one example, second hardmask layer 140 comprises Si3N4, SiO2, silicon oxynitride (SixOyNz) or combinations of layers thereof.
  • In FIG. 2, an optional anti-reflective coating (ARC) 145 is formed on second hardmask layer 140 and a photoresist layer 150 is formed on ARC 145. An opening 155 is formed in the photoresist layer by conventional photolithographic processing (e.g. exposing a photoresist layer to actinic radiation through a patterned mask and removing the exposed or unexposed photoresist), thus exposing a top surface 160 of ARC 145.
  • In one example ARC 145 comprises 414J available from Japan Synthetic Rubber (JSR).
  • In FIG. 3, a first plasma etch is performed to remove exposed ARC 145 (see FIG. 2), and to remove subsequently exposed second hardmask layer 140, followed by a first plasma photoresist strip to remove photoresist layer 150 and any remaining ARC 145 (see FIG. 2), thus forming an opening 165 in second hardmask layer 140 and exposing a top surface 170 of rework protection layer 135. (If rework protection layer 135 is not present, then a top surface of first hardmask 130 would be exposed in opening 165.) After the first plasma photoresist strip, an optional wet clean in water or an aqueous acidic solution is performed. In one example, the optional wet clean removes up to about 20 Å of second hardmask layer 140.
  • In one example, the first plasma etch is performed using a chlorine containing gaseous compound, chlorine (Cl2), boron tri-chloride (BCl3), a fluorine containing gaseous compound, mono-fluoro methane (CH3F), di-fluoro methane (CH2F2), tri fluoro methane (CHF3) or combinations thereof mixed with oxygen (O2), nitrogen (N2). hydrogen (H2) or combinations thereof. In one example, the first plasma photoresist strip process is performed using O2, N2, H2 or combinations thereof.
  • In FIG. 4, an optional ARC 175 is formed over top surface 170 of rework protection layer 135 exposed in opening 165 in second hardmask layer 140 and over top surface 180 of remaining second hardmask layer 140. ARC 175 may comprise the same material as described for ARC 145 supra. In one example ARC 175 is planar, i.e. there is no dip in a top surface 182 of ARC 175 over opening 165.
  • In FIG. 5, a photoresist layer 185 is formed on ARC 175. An opening 190 is formed in the photoresist layer by conventional photolithographic processing as described supra, thus exposing a top surface 195 of ARC 175.
  • In FIG. 6, a second plasma etch is performed to remove exposed ARC 175 and to etch an opening 200 through rework protection layer 135, first hardmask layer 130, adhesion layer 125 and into but not completely through OSG layer 120. OSG material of OSG layer 120 is exposed in a bottom 205 of opening 200. Opening 200 is aligned within opening 165 in second hardmask layer 140. In one example, the second plasma etch is performed using a fluorine containing gaseous compound, CH3F, CH2F2, CHF3 or combinations thereof mixed with O2, N2, H2 or combinations thereof. In one example, the second plasma etch is non-selective to ARC 175, rework protection layer 135, first hardmask mask layer 130, adhesion layer 125 and OSG layer 120.
  • In FIG. 7, a second plasma photoresist strip is performed to remove photoresist layer 185 and any remaining ARC 175 (see FIG. 6). In one example, the second plasma photoresist strip process is performed using O2, N2, H2 or combinations thereof.
  • A damaged region 210 of OSG layer 120 is formed extending from bottom 205 of opening 200 into OSG layer 120. Damaged region 210 may include carbon depleted OSG material caused by O2 or oxygen containing species used in the second plasma etch and/or the second plasma photoresist strip.
  • In FIG. 8, a third plasma etch is performed which etches rework protection layer 135, first hardmask layer 130, adhesion layer 125 and OSG layer 120 selectively to second hardmask layer 140. The third plasma etch defines an opening 215 in rework protection layer 135, first hardmask layer 130, adhesion layer 125 and OSG layer 120 using opening 165 in second hardmask layer 140 as a mask. At the same time, opening 200 (see FIG. 7) is extended in depth to form an opening 220 in OSG layer 120 and damaged region 210 (see FIG. 7) is removed. In order that the third plasma etch not cause further damage to OSG layer 120 (and in fact remove any damage already present), the third plasma etch does not include O2 or oxygen containing species. In one example, the third plasma etch is a polymer forming etch. A polymer forming etch, forms fluorocarbon polymers on the sidewalls and bottom of the opening being etched which is continuously removed and redeposited on the bottom of the opening as the opening is progressively etched deeper. In one example, the third plasma etch is performed using a fluorine containing gaseous compound, CH3F, CH2F2, CHF3, CXFY (where X and Y are positive integers) or combinations thereof mixed with N2, H2, Ar, Ne, Kr, Xe or combinations thereof.
  • It should be noted, that no regions of OSG layer 120 exposed in openings 215 and 220 are “damaged” by the third plasma etch.
  • In FIG. 9, a fourth plasma etch is performed in which OSG layer 120 is etched selective to second hardmask layer 140 and capping layer 115. In order that the fourth plasma etch not cause further damage to OSG layer 120, the fourth plasma etch does not include O2 or oxygen containing species. In another example, the fourth plasma etch does not include O2, oxygen containing species or N2. In one example, the fourth plasma etch is performed using a fluorine containing gaseous compound, CXFY (where X and Y are positive integers) or combinations thereof mixed with an inert gas, Ar, Ne, Kr, Xe or combinations thereof.
  • In order to remove capping layer 115 from via opening 220A, a fifth plasma etch is performed which OSG layer 120 is etched selective to second hardmask layer 140 and capping layer 115. In order that the fifth plasma etch not cause further damage to OSG layer 120, the fifth plasma etch does not include O2 or oxygen containing species. In one example, the fifth plasma etch is performed using a fluorine containing gaseous compound, CH3F, CH2F2, CHF3, CXFY (where X and Y are positive integers) or combinations thereof mixed with N2, Ar, Ne, Kr, Xe or combinations thereof.
  • The fourth and fifth plasma etches define a wire trench 215A and a via opening 220A by extending the depth of openings 215 and 220 (see FIG. 8) to the depths required to form a dual damascene interconnect. It should be noted, that no regions of OSG layer 120 exposed in via opening 215A and wire trench 220A are “damaged.”
  • In FIG. 10, a dual damascene wire 225 includes a wire portion 230 and a via portion 235 formed in wire trench 215A (see FIG. 9) and via opening 220A (see FIG. 9) respectively as described supra. Second hardmask 140 and resist protection layer 135 are removed by the CMP process used in the dual damascene process. First hardmask 130 acts as a CMP stopping layer. In one example, dual damascene wire 225 includes a liner 240 and a core conductor 245. In one example, liner 240 comprises layers of Ti, TiN, Ta, TaN or combinations thereof. In one example, core conductor 245 comprises Cu. Dual damascene wire 225 is illustrated in direct physical and electrical contact with exemplary contact 110.
  • While the fourth and fifth plasma etches have been described as separate processes, the fifth plasma etch may be eliminated and the fourth plasma etch time increased to also etch through capping layer 115, though for small via openings use of the fifth plasma etch reduces plasma loading effects and improves via opening dimensional control.
  • FIGS. 11 and 12 are cross-sectional views illustrating fabrication of an interconnect structure according to a second embodiment of the present invention. While the first embodiment of the present invention was directed to a dual damascene process, the second embodiment of the present invention is directed to a single damascene process. The steps illustrated in FIGS. 1, 2 and 3 and described supra, are performed immediately prior to the steps illustrated in FIGS. 11 and 12.
  • In FIG. 11, the third etch processes described supra is performed. The third plasma etch etches rework protection layer 135, first hardmask layer 130, adhesion layer 125 and OSG layer 120 selectively to second hardmask layer 140. A fourth plasma etch etches OSG layer 120 selectively to second hardmask layer 140 and capping layer 115. The fourth plasma etch process of the second embodiment of the present invention is essentially the same etch process as the fifth etch process of the first embodiment of the present invention described supra. Opening 165 in second hardmask layer 140 is used to define a wire trench (or a via opening) 250 in OSG layer 120 and capping layer 115.
  • In FIG. 12, a single damascene wire (or via or via bar) 255 includes liner 240 and a core conductor 245 and is in direct physical and electrical contact with contact 110 Second hardmask 140 and resist protection layer 135 are removed by the CMP process used in the single damascene process. First hardmask 130 acts as a CMP stopping layer.
  • While the third and fifth plasma etches have been described as separate processes, the fifth plasma etch may be eliminated and the third plasma etch time increased to also etch through capping layer 115.
  • FIG. 13 is a flowchart of the method for forming the interconnect structure according to the first embodiment of the present invention. In step, 300 at least first and second hardmask layers are formed over an OSG layer on a substrate (which may be a porous OSG layer), the first hardmask layer being between the second hardmask and the OSG layer. An optional capping layer may be formed between the OSG layer and the first hardmask layer. An optional adhesion layer may be applied/formed between the OSG layer and the first hardmask layer. An optional rework protection layer may be formed between the first and second hardmask layers.
  • In step 305 a first photoresist layer is applied on the second hardmask layer. An optional first ARC may be applied to the second hardmask layer prior to applying the first photoresist layer in which case the photoresist layer is applied to the first ARC.
  • In step 310, the first photoresist layer is patterned and the pattern transferred to the second hardmask layer using a first plasma etch process. In step 315, the first photoresist layer is removed.
  • In step 320, a second photoresist layer is applied on the exposed surfaces of the first and second hardmask layers and patterned. An optional second ARC may be applied to the exposed surfaces of the first and second hardmask layers prior to applying the second photoresist layer, in which case the second photoresist layer is applied to the second ARC.
  • In step 325, the second photoresist pattern is transferred through the first hardmask layer and into the OSG layer using a second plasma etch process to define a via opening. If capping, rework protection, adhesion and/or second ARC layers are present, the pattern is transferred through these layers as well. In step 330 the second photoresist layer is removed.
  • In step, 335 a third plasma etch (using no O2 or oxygen containing species) is performed, extending the pattern in the second hardmask layer into the OSG layer (and all intervening layers) to form a wire trench in the OSG layer and extend the depth of the via opening in the OSG layer.
  • In step 340, a fourth plasma etch is performed using no oxygen or nitrogen containing species (i.e. containing no H2O, O2, CO, CO2N2, NH3 or other oxygen or nitrogen containing molecules, radicals or ions) in order to extend the depth of the wire trench to its final depth and extending the via opening through the OSG layer. A fifth plasma etch using no oxygen or nitrogen containing species (i.e. containing no H2O, O2, CO, CO2N2, NH3 or other oxygen or nitrogen containing molecules, radicals or ions) is also performed in order to extend the via opening through the capping layer if present. Alternatively, the fifth plasma etch may be eliminated and the fourth plasma etch also used to etch through the capping layer.
  • In step 345, the wire trench and via opening are filled with metal or other electrically conductive material and planarized to form a dual damascene wire and via.
  • FIG. 14 is a flowchart of the method for forming the interconnect structure according to the second embodiment of the present invention. In step, 350 at least first and second hardmask layers are formed over an OSG layer on a substrate (which may be a porous OSG layer), the first hardmask being between the second hardmask and the OSG layer. An optional capping layer may be formed between the OSG layer and the first hardmask layer. An optional adhesion layer may be applied/formed between the OSG layer and the first hardmask layer. An optional rework protection layer may be formed between the first and second hardmask layers.
  • In step 355 a photoresist layer is applied on the second hardmask layer. An optional ARC may be applied to the second hardmask layer prior to applying the photoresist layer, in which case the photoresist layer is applied to the ARC.
  • In step 360, the photoresist layer is patterned and the pattern transferred to the second hardmask layer using a first plasma etch process. In step 365, the first photoresist layer is removed.
  • In step 365, the second hardmask pattern is transferred through the first hardmask layer and into the OSG using a second plasma etch process to define a wire trench or via or via bar opening. If capping, rework protection, adhesion layers and/or ARC are present, the pattern is transferred through these layers as well.
  • In step, 370 a third plasma etch using no oxygen or nitrogen containing species (i.e. containing no H2O, O2, CO, CO2N2, NH3 or other oxygen or nitrogen containing molecules, radicals or ions) in order to extend the pattern in the second hardmask layer into the OSG layer (and all intervening layers) to complete the wire trench or via opening in the OSG layer. A fourth plasma etch is also performed, extending the wire trench or via opening through the capping layer if present. Alternatively, the fourth plasma etch may be eliminated and the third plasma etch also used to etch through the capping layer. (Note, the fourth plasma etch of the second embodiment is essentially the same as the fifth plasma etch of the first embodiment.)
  • In step 375, the wire trench and via opening are filled with metal or other electrically conductive material and planarized to form a single damascene wire, via or via bar.
  • Thus, the various embodiments of the present invention methods of forming interconnect structures in OSG dielectrics that do not cause photoresist poisoning, are insensitive to OSG carbon-depletion and are less susceptible to interconnect/OSG interface adhesion failure.
  • The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore, it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention.

Claims (20)

1. A method, comprising:
forming an organo-silicate glass layer over a substrate;
forming a first hardmask layer over said organo-silicate glass layer;
forming a second hardmask layer over said first hardmask layer;
forming a photoresist layer over said second hardmask layer;
removing a region of said photoresist layer;
removing a region of said second hardmask layer where said second hardmask layer is not protected by said photoresist layer using a first plasma etch process;
removing said photoresist layer;
using a second plasma etch process, removing said first hardmask layer where said first hardmask layer is not protected by said second hardmask layer; and
using a third plasma etch process, removing said organo-silicate glass layer in where said organo-silicate glass layer is not protected by said first hardmask layer form a trench extending completely through said organo-silicate glass layer.
2. The method of claim 1, wherein said first and third plasma etch processes include no oxygen or nitrogen containing species.
3. The method of claim 1, further including:
after said forming said trench, filling said trench with an electrically conductive material; and
performing a chemical mechanical polish to remove said first hardmask layer and co-planarize a top surface of said first hardmask layer and a top surface of said electrically conductive material.
4. The method of claim 1, wherein said organo-silicate glass layer includes about 10% to about 70% voids by volume.
5. The method of claim 1, wherein said organo-silicate glass layer comprises about 10% to about 40% silicon, about 0% to about 30% carbon, about 0% to about 30% nitrogen, and about 20% to about 50% hydrogen.
6. The method of claim 1, further including:
forming a capping layer between said organo-silicate glass layer and said substrate; and
after said performing said third plasma etch, removing said capping layer in regions of said capping layer not protected by said organo-silicate glass layer using a fourth plasma etch process, wherein said fourth plasma etch process includes no oxygen or nitrogen containing species.
7. The method of claim 1, further including:
forming an adhesion layer between said organo-silicate glass layer and said first hardmask layer; and
removing a region of said adhesion layer not protected by said second hardmask layer while performing said second plasma etch.
8. The method of claim 1, further including:
forming a rework protection layer between said first hardmask layer and said second hardmask layer; and
removing a region of said rework protection layer not protected by said first hardmask layer while performing said second plasma etch.
9. The method of claim 1, further including:
forming an anti-reflective coating between said second hardmask layer and said photoresist layer;
removing a region of said anti-reflective coating not protected by said photoresist layer while performing said first plasma etch; and
removing all remaining anti-reflective coating during said removing said photoresist layer.
10. The method of claim 1, wherein said organo-silicate glass layer is formed by plasma enhanced chemical vapor deposition.
11. The method of claim 10, wherein said organo-silicate glass layer has the structure:
Figure US20080146029A1-20080619-C00003
12. The method of claim 10, wherein said organo-silicate glass layer has the structure:
Figure US20080146029A1-20080619-C00004
13. The method of claim 1, wherein said organo-silicate glass layer is formed by spin application.
14. The method of claim 13, wherein said wherein said organo-silicate glass layer is a silsequioxane based polymer.
15. The method of claim 13, wherein said wherein said organo-silicate glass layer is formed by spin application of a mixture of silsequioxane based polymer and a porogen followed by removal of said porogen to form voids in said organo-silicate glass layer.
16. The method of claim 13, wherein said organo-silicate glass layer is formed from a resin represented by structures (I), (II), (III) or (IV)
Figure US20080146029A1-20080619-C00005
wherein R′ is selected from the group consisting of an alkyl group having 1 to 3 carbon atoms, an aryl group having 6 to 12 carbon atoms, and a cycloalkyl group having 6 to 12 carbon atoms, and wherein R″ is selected from the group consisting of an alkylene group having 1 to 2 carbon atoms and a cycloalkylene group having 6 to 12 carbon atoms.
17. The method of claim 1, wherein said first plasma etch is performed using a chlorine containing gaseous compound, chlorine (Cl2), boron tri-chloride (BCl3), a fluorine containing gaseous compound, mono-fluoro methane (CH3F), di-fluoro methane (CH2F2), tri fluoro methane (CHF3) or combinations thereof mixed with oxygen (O2), nitrogen (N2), hydrogen (H2) or combinations thereof.
18. The method of claim 1, wherein said second plasma etch is performed using a fluorine containing gaseous compound, CH3F, CH2F2, CHF3, CXFY (where X and Y are positive integers) or combinations thereof mixed with N2, H2, Ar, Ne, Kr, Xe or combinations thereof.
19. The method of claim 1, wherein said third plasma etch is performed using a fluorine containing gaseous compound, CH3F, CH2F2, CHF3, CXFY (where X and Y are positive integers) or combinations thereof mixed with N2, H2, Ar, Ne, Kr, Xe or combinations thereof.
20. The method of claim 1, wherein said second plasma etch, after etching through said second hardmask layer converts a uppermost layer of said organo-silicate glass layer not protected by said second hardmask layer to a carbon depleted organo-silicate glass layer.
US12/033,943 2005-12-22 2008-02-20 Method of forming an interconnect structure Abandoned US20080146029A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/033,943 US20080146029A1 (en) 2005-12-22 2008-02-20 Method of forming an interconnect structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/315,923 US7358182B2 (en) 2005-12-22 2005-12-22 Method of forming an interconnect structure
US12/033,943 US20080146029A1 (en) 2005-12-22 2008-02-20 Method of forming an interconnect structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/315,923 Continuation US7358182B2 (en) 2005-12-22 2005-12-22 Method of forming an interconnect structure

Publications (1)

Publication Number Publication Date
US20080146029A1 true US20080146029A1 (en) 2008-06-19

Family

ID=38184859

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/315,923 Expired - Fee Related US7358182B2 (en) 2005-12-22 2005-12-22 Method of forming an interconnect structure
US12/033,943 Abandoned US20080146029A1 (en) 2005-12-22 2008-02-20 Method of forming an interconnect structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/315,923 Expired - Fee Related US7358182B2 (en) 2005-12-22 2005-12-22 Method of forming an interconnect structure

Country Status (3)

Country Link
US (2) US7358182B2 (en)
JP (1) JP5382990B2 (en)
CN (1) CN100530591C (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080102639A1 (en) * 2006-10-30 2008-05-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US20080166873A1 (en) * 2005-03-02 2008-07-10 Nec Electronics Corporation Method of manufacturing semiconductor device
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10658192B2 (en) * 2017-09-13 2020-05-19 Tokyo Electron Limited Selective oxide etching method for self-aligned multiple patterning

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070232048A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US8084357B2 (en) * 2007-04-11 2011-12-27 United Microelectronics Corp. Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
US20090283310A1 (en) * 2007-04-11 2009-11-19 Wei-Chih Chen Multi cap layer and manufacturing method thereof
US20100260992A1 (en) * 2007-04-11 2010-10-14 Wei-Chih Chen Multi cap layer
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
KR100854860B1 (en) * 2007-06-27 2008-08-28 주식회사 하이닉스반도체 Method of manufacturing a memory device
DE102007052167B4 (en) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale A semiconductor device and method for adjusting the height of a gate electrode in the semiconductor device
US20090181532A1 (en) * 2008-01-10 2009-07-16 International Business Machines Corporation Integration scheme for extension of via opening depth
DE102008016425B4 (en) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. A method of patterning a metallization layer by reducing degradation of the dielectric material caused by resist removal
JP2010171081A (en) * 2009-01-20 2010-08-05 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2010212489A (en) * 2009-03-11 2010-09-24 Fujifilm Corp Composition
US8114780B2 (en) * 2009-03-27 2012-02-14 Lam Research Corporation Method for dielectric material removal between conductive lines
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US8513114B2 (en) * 2011-04-29 2013-08-20 Renesas Electronics Corporation Method for forming a dual damascene interconnect structure
JP6061610B2 (en) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8835306B2 (en) * 2013-02-01 2014-09-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits having embedded electrical interconnects
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
US9659857B2 (en) * 2013-12-13 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
CN104752326B (en) * 2013-12-30 2018-09-07 中芯国际集成电路制造(上海)有限公司 The method for forming interconnection structure
KR102021484B1 (en) 2014-10-31 2019-09-16 삼성에스디아이 주식회사 Method of producimg layer structure, layer structure, and method of forming patterns
US10242872B2 (en) * 2017-03-21 2019-03-26 International Business Machines Corporation Rework of patterned dielectric and metal hardmask films
US10242883B2 (en) 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
DE102019120765B4 (en) 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD FOR FORMING A SEMICONDUCTOR COMPONENT
EP3716314A1 (en) * 2019-03-29 2020-09-30 Commissariat à l'Energie Atomique et aux Energies Alternatives Contact on germanium
FR3100377A1 (en) * 2019-08-30 2021-03-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Contact on germanium
CN113838798A (en) * 2020-06-08 2021-12-24 芯恩(青岛)集成电路有限公司 Etching method

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US20040249006A1 (en) * 2002-07-22 2004-12-09 Gleason Karen K. Porous material formation by chemical vapor deposition onto colloidal crystal templates
US20050130411A1 (en) * 2002-09-27 2005-06-16 Taiwan Semiconductor Manufacturing Co. Method for forming openings in low-k dielectric layers
US6927495B2 (en) * 2002-08-21 2005-08-09 Nec Electronics Corporation Semiconductor device and method of manufacturing same
US6930052B2 (en) * 1999-08-25 2005-08-16 Infineon Technologies Ag Method for producing an integrated circuit having at least one metalicized surface
US20050191852A1 (en) * 2003-04-30 2005-09-01 Fujitsu Limited Method for manufacturing semiconductor device
US7435685B2 (en) * 2002-12-31 2008-10-14 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US20030008490A1 (en) * 2001-07-09 2003-01-09 Guoqiang Xing Dual hardmask process for the formation of copper/low-k interconnects
JP2003332340A (en) * 2002-05-10 2003-11-21 Renesas Technology Corp Manufacturing method of semiconductor device
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
JP2005203429A (en) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc Method of manufacturing semiconductor device

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6930052B2 (en) * 1999-08-25 2005-08-16 Infineon Technologies Ag Method for producing an integrated circuit having at least one metalicized surface
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US20040249006A1 (en) * 2002-07-22 2004-12-09 Gleason Karen K. Porous material formation by chemical vapor deposition onto colloidal crystal templates
US7112615B2 (en) * 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6927495B2 (en) * 2002-08-21 2005-08-09 Nec Electronics Corporation Semiconductor device and method of manufacturing same
US20050130411A1 (en) * 2002-09-27 2005-06-16 Taiwan Semiconductor Manufacturing Co. Method for forming openings in low-k dielectric layers
US7435685B2 (en) * 2002-12-31 2008-10-14 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US20050191852A1 (en) * 2003-04-30 2005-09-01 Fujitsu Limited Method for manufacturing semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080166873A1 (en) * 2005-03-02 2008-07-10 Nec Electronics Corporation Method of manufacturing semiconductor device
US7491640B2 (en) * 2005-03-02 2009-02-17 Nec Electronics Corporation Method of manufacturing semiconductor device
US20080102639A1 (en) * 2006-10-30 2008-05-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US7858476B2 (en) * 2006-10-30 2010-12-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10658192B2 (en) * 2017-09-13 2020-05-19 Tokyo Electron Limited Selective oxide etching method for self-aligned multiple patterning
TWI785110B (en) * 2017-09-13 2022-12-01 日商東京威力科創股份有限公司 Selective oxide etching method for self-aligned multiple patterning

Also Published As

Publication number Publication date
CN1988132A (en) 2007-06-27
JP5382990B2 (en) 2014-01-08
CN100530591C (en) 2009-08-19
US7358182B2 (en) 2008-04-15
US20070148966A1 (en) 2007-06-28
JP2007173795A (en) 2007-07-05

Similar Documents

Publication Publication Date Title
US7358182B2 (en) Method of forming an interconnect structure
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR100413908B1 (en) Protective hardmask for producing interconnect structures
US7767587B2 (en) Method of forming an interconnection structure in a organosilicate glass having a porous layer with higher carbon content located between two lower carbon content non-porous layers
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US20060121721A1 (en) Methods for forming dual damascene wiring using porogen containing sacrificial via filler material
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US20080166870A1 (en) Fabrication of Interconnect Structures
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070222076A1 (en) Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
US10177091B2 (en) Interconnect structure and method of forming
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
US20050255687A1 (en) Plasma treatment for silicon-based dielectrics
US6998325B2 (en) Method for manufacturing semiconductor device
US7768129B2 (en) Metal etching method for an interconnect structure and metal interconnect structure obtained by such method
KR20040101008A (en) Manufacturing method for semiconductor apparatus
KR20070019748A (en) Fabrication of interconnect structures

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910