US20080153271A1 - Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers - Google Patents

Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers Download PDF

Info

Publication number
US20080153271A1
US20080153271A1 US11/958,541 US95854107A US2008153271A1 US 20080153271 A1 US20080153271 A1 US 20080153271A1 US 95854107 A US95854107 A US 95854107A US 2008153271 A1 US2008153271 A1 US 2008153271A1
Authority
US
United States
Prior art keywords
layer
film
implanted
exposing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/958,541
Inventor
Majeed A. Foad
Manoj Vellaikal
Kartik Santhanam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/958,541 priority Critical patent/US20080153271A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANTHANAM, KARTIK, FOAD, MAJEED A., VELLAIKAL, MANOJ
Publication of US20080153271A1 publication Critical patent/US20080153271A1/en
Priority to US12/730,068 priority patent/US20100173484A1/en
Priority to US14/275,408 priority patent/US8927400B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form

Definitions

  • Embodiments of the invention generally relate to the field of semiconductor manufacturing processes and, more particularly, to methods of making substrates that have been implanted with arsenic, phosphorus, or boron safer to handle.
  • Integrated circuits may include more than one million micro-electronic field effect transistors (e.g., complementary metal-oxide-semiconductor (CMOS) field effect transistors) that are formed on a substrate (e.g., semiconductor wafer) and cooperate to perform various functions within the circuit.
  • CMOS transistor comprises a gate structure disposed between source and drain regions that are formed in the substrate.
  • the gate structure generally comprises a gate electrode and a gate dielectric layer.
  • the gate electrode is disposed over the gate dielectric layer to control a flow of charge carriers in a channel region formed between the drain and source regions beneath the gate dielectric layer.
  • An ion implantation process is typically utilized to implant and dope ions into the substrate, forming the gate and source drain structure with desired profile and concentration on the substrate.
  • different process gases or gas mixtures may be used to provide ion source species such as arsenic, phosphorus, or boron.
  • Arsenic in particular, will react when exposed to moisture to produce arsenic oxide and arsine gas according to the following reaction:
  • Arsine gas is a highly toxic gas that is also flammable.
  • high doses of dopant i.e., about 1 ⁇ 10 16 l/cm 2 or more
  • low implantation energy i.e., about 2 kV
  • the dopant does not implant deeply into the layer stack.
  • more dopant is present near or at the surface of the layer stack and may be exposed to moisture upon removal from the chamber.
  • the arsenic residing near the surface may react to undesirably form arsine gas.
  • the present invention generally comprises a method of preventing toxic gas formation after an implantation process.
  • Certain dopants when implanted into a film disposed on a substrate, may react when exposed to moisture to form a toxic gas and/or a flammable gas.
  • a dopant is initially implanted into a film formed on a substrate and then the implanted film is exposed to an oxygen containing gas to form a protective oxide layer.
  • the oxide layer may be formed in the same chamber in which the film was implanted.
  • a substrate processing method comprises implanting a dopant into a film disposed in a processing chamber and exposing the implanted film to an oxygen containing plasma to form an oxide layer on the implanted film and trap the dopant within the film prior to exposure of the implanted film to atmospheric oxygen.
  • a dopant is initially implanted into a film formed on a substrate and then a capping layer is deposited over the implanted film.
  • the capping layer may be deposited in the same chamber in which the film was implanted.
  • a substrate processing method comprises implanting a dopant into a film disposed on a substrate in a processing chamber and depositing a capping layer over the dopant implanted film prior to exposure of the implanted film to atmospheric oxygen, wherein the capping layer is selected from the group consisting of a carbon layer, a silicon layer, a silicon oxide layer, a silicon nitride layer, a silicon carbide layer, an organic layer, and combinations thereof.
  • a substrate processing method comprises implanting a dopant into a film disposed on a substrate in a processing chamber and removing excess dopants by etching the implanted film with a plasma formed from NF 3 prior to exposure of the implanted film to atmospheric oxygen.
  • FIGS. 1A-1B depict one embodiment of a plasma immersion ion implantation tool suitable for practicing the present invention.
  • FIG. 2 depicts a process diagram illustrating a method for a dopant oxide formation process according to one embodiment of the present invention.
  • FIG. 3 depicts a process diagram illustrating a method for an in-situ capping process according to one embodiment of the present invention.
  • FIG. 4 is a graph showing arsine gas formation over time.
  • FIG. 1A depicts a plasma reactor 100 that may be utilized to practice ion implantation, oxide layer formation, and capping layer formation according to one embodiment of the invention.
  • a plasma reactor 100 that may be utilized to practice ion implantation, oxide layer formation, and capping layer formation according to one embodiment of the invention.
  • One suitable reactor which may be adapted to practice the invention is a P3iTM reactor, available from Applied Materials, Inc., of Santa Clara, Calif.
  • Another reactor which may be adapted to practice the invention is described in U.S. patent application Ser. No. 11/608,357, filed Dec. 8, 2006, which is hereby incorporated by reference in its entirety. It is contemplated that the methods described herein may be practiced in other suitably adapted plasma reactors, including those from other manufacturers.
  • the plasma reactor 100 includes a chamber body 102 having a bottom 124 , a top 126 , and side walls 122 enclosing a process region 104 .
  • a substrate support assembly 128 is supported from the bottom 124 of the chamber body 102 and is adapted to receive a substrate 106 for processing.
  • a gas distribution plate 130 is coupled to the top 126 of the chamber body 102 facing the substrate support assembly 128 .
  • a pumping port 132 is defined in the chamber body 102 and coupled to a vacuum pump 134 .
  • the vacuum pump 134 is coupled through a throttle valve 136 to the pumping port 132 .
  • a gas source 152 is coupled to the gas distribution plate 130 to supply gaseous precursor compounds for processes performed on the substrate 106 .
  • the reactor 100 depicted in FIG. 1A further includes a plasma source 190 best shown in the perspective view of FIG. 1B .
  • the plasma source 190 includes a pair of separate external reentrant conduits 140 , 140 ′ mounted on the outside of the top 126 of the chamber body 102 disposed transverse to one another (or orthogonal to one another, as shown in the exemplary embodiment depicted in FIG. 1B ).
  • the first external conduit 140 has a first end 140 a coupled through an opening 198 formed in the top 126 into a first side of the process region 104 in the chamber body 102 .
  • a second end 140 b has an opening 196 coupled into a second side of the process region 104 .
  • the second external reentrant conduit 140 b has a first end 140 a ′ having an opening 194 coupled into a third side of the process region 104 and a second end 140 b ′ having an opening 192 into a fourth side of the process region 104 .
  • the first and second external reentrant conduits 140 , 140 ′ are configured to be orthogonal to one another, thereby providing the two ends 140 a , 140 a ′, 140 b . 140 b ′ of each external reentrant conduits 140 , 140 ′ disposed at about 90 degree intervals around the periphery of the top 126 of the chamber body 102 .
  • the orthogonal configuration of the external reentrant conduits 140 , 140 ′ allows a plasma source distributed uniformly across the process region 104 . It is contemplated that the first and second external reentrant conduits 140 , 140 ′ may be configured as other distributions utilized to provide uniform plasma distribution into the process region 104 .
  • Magnetically permeable torroidal cores 142 , 142 ′ surround a portion of a corresponding one of the external reentrant conduits 140 , 140 ′.
  • the conductive coils 144 , 144 ′ are coupled to respective RF plasma source power generators 146 , 146 ′ through respective impedance match circuits or elements 148 , 148 ′.
  • Each external reentrant conduit 140 , 140 ′ is a hollow conductive tube interrupted by an insulating annular ring 150 , 150 ′ respectively that interrupts an otherwise continuous electrical path between the two ends 140 a , 140 b (and 140 a ′, 104 b ′) of the respective external reentrant conduits 140 , 140 ′.
  • Ion energy at the substrate surface is controlled by an RF plasma bias power generator 154 coupled to the substrate support assembly 128 through an impedance match circuit or element 156 .
  • process gases including gaseous compounds supplied from the process gas source 152 are introduced through the overhead gas distribution plate 130 into the process region 104 .
  • RF source plasma power 146 is coupled from the power applicator to gases supplied in the conduit 140 , which creates a circulating plasma current in a first closed torroidal path including the external reentrant conduit 140 and the process region 104 .
  • RF source power 146 ′ may be coupled from the other power applicator to gases in the second conduit 140 ′, which creates a circulating plasma current in a second closed torroidal path transverse (e.g., orthogonal) to the first torroidal path.
  • the second torroidal path includes the second external reentrant conduit 140 ′ and the process region 104 .
  • the plasma currents in each of the paths oscillate (e.g., reverse direction) at the frequencies of the respective RF source power generators 146 , 146 ′, which may be the same or slightly offset from one another.
  • the process gas source 152 provides different process gases that may be utilized to provide ions implanted to the substrate 106 .
  • Suitable examples of process gases include B 2 H 6 , BF 3 , SiH 4 , SiF 4 , PH 3 , P 2 H 5 , PO 3 , PF 3 , PF 5 and CF 4 , among others.
  • the power of each plasma source power generators 146 , 146 ′ is operated so that their combined effect efficiently dissociates the process gases supplied from the process gas source 152 and produces a desired ion flux at the surface of the substrate 106 .
  • the power of the RF plasma bias power generator 154 is controlled at a selected level at which the ion energy dissociated from the process gases may be accelerated toward the substrate surface and implanted at a desired depth below the top surface of the substrate 106 with desired ion concentration. For example, with relatively low RF power, such as less than about 50 eV, relatively low plasma ion energy may be obtained. Dissociated ions with low ion energy may be implanted at a shallow depth between about 0 ⁇ and about 100 ⁇ from the substrate surface. Alternatively, dissociated ions with high ion energy provided and generated from high RF power, such as higher than about 50 eV, may be implanted into the substrate having a depth substantially over 100 ⁇ depth from the substrate surface.
  • the combination of the controlled RF plasma source power and RF plasma bias power dissociates ions in the gas mixture having sufficient momentum and desired ion distribution in the processing chamber 100 .
  • the ions are biased and driven toward the substrate surface, thereby implanting ions into the substrate with desired ion concentration, distribution and depth from the substrate surface.
  • the controlled ion energy and different types of ion species from the supplied process gases facilitates ions implanted in the substrate 106 , forming desired device structure, such as gate structure and source drain region on the substrate 106 .
  • FIG. 2 depicts a process flow diagram of a method 200 for forming a dopant oxide layer after an implantation process.
  • the method 200 begins at step 202 where a dopant is implanted into a film formed on a substrate.
  • the term film is a generic term encompassing one or more layers of material that may be stacked on the substrate.
  • the dopant comprises arsenic.
  • the dopant comprises phosphorus.
  • the dopant comprises boron.
  • the method continues at step 204 where the implanted (e.g., doped) layer is exposed to an oxygen containing gas.
  • the exposure may occur in-situ within the same chamber in which the layer was implanted.
  • the substrate having the doped layer may remain in the chamber after the implantation to ensure that the dopant is not exposed to moisture, which may react with the dopant to form a toxic or flammable gas.
  • the implanted (e.g., doped) layer may be exposed to the oxygen containing gas in a separate chamber without exposing the layer to atmosphere and hence, moisture.
  • oxygen reacts to form an oxide on the surface of the implanted film at step 206 .
  • the oxide may be that of the dopant and/or the implanted film.
  • Suitable oxygen containing gases that may be used include atomic oxygen (O), oxygen (O 2 ), ozone (O 3 ), nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), dinitrogen pentoxide (N 2 O 5 ), plasmas thereof, radicals thereof, derivatives thereof, combinations thereof, or other suitable oxygen sources.
  • the oxygen containing gas may be ignited into a plasma.
  • the oxygen containing gas is ignited within the same processing chamber as the implantation.
  • the plasma is ignited remotely and delivered to the chamber.
  • the plasma may be generated by a capacitive source and/or an inductive source.
  • the implanted layer may be exposed to a hydrogen containing gas.
  • the implanted layer may be exposed to the hydrogen containing gas either prior to or after the exposure to the oxygen containing gas.
  • the hydrogen containing gas comprises hydrogen gas.
  • the exposure to a hydrogen containing gas and the exposure to the oxygen containing gas may be repeated a plurality of times.
  • the hydrogen containing gas may be ignited into a plasma.
  • the hydrogen containing gas is ignited within the same processing chamber as the implantation.
  • the plasma is ignited remotely and delivered to the chamber.
  • the plasma may be generated by a capacitive source and/or an inductive source.
  • the hydrogen containing gas exposure and the oxygen containing gas exposure may occur within the same processing chamber, but at separate intervals.
  • a capping layer may be deposited over the oxide layer formed on the implanted layer.
  • the capping layer may be selected from the group consisting of a carbon layer, a silicon layer, a silicon oxide layer, a silicon nitride layer, a silicon carbide layer, an organic layer, and combinations thereof.
  • the capping layer may be deposited over the oxide layer within the same processing chamber as the implantation. In one embodiment, the capping layer may be deposited in a separate chamber without exposing the layer to atmosphere and hence, moisture. The capping layer may be removed after annealing.
  • the implanted layer may be exposed to a gas to remove excess dopants.
  • the dopants may not activate and thus, hydride formation may be reduced.
  • the gas may comprise an etching gas.
  • the gas may comprise NF 3 . The removal of excess dopants may occur within the same processing chamber as the implantation. In one embodiment, the removal of excess dopants may occur in a separate chamber without exposing the layer to atmosphere and hence, moisture.
  • the oxide layer formation, the capping layer formation, and the removal of excess dopants may be utilized in any combination.
  • the oxide layer is formed and no capping layer is formed and excess dopants are not removed.
  • the capping layer is formed and no oxide layer is formed and excess dopants are not removed.
  • the excess dopants are removed, but no oxide layer or capping layer is formed.
  • the oxide layer and the capping layer are formed, but excess dopants are not removed.
  • the oxide layer is formed and excess dopants are removed, but the capping layer is not formed.
  • the capping layer is formed and the excess dopants are removed, but the oxide layer is not formed.
  • the hydrogen containing gas exposure may occur in any combination with the above oxide layer formation, capping layer formation, and removal of excess dopants.
  • the oxygen containing gas may be provided to the chamber at a flow rate of about 300 sccm to about 450 sccm. In another embodiment, the flow rate of oxygen containing gas may be greater than 450 sccm.
  • the oxide layer is formed in the chamber by exposing the implanted film for about 3 seconds to about 10 seconds at a chamber pressure of about 15 mTorr to about 300 mTorr.
  • the oxygen containing gas may be co-flowed to the chamber with a carrier gas.
  • the carrier gas may have a flow rate of about 50 sccm.
  • the carrier gas may comprise an inert gas. In one embodiment, the carrier gas comprises argon.
  • FIG. 3 depicts a process flow diagram of a method 300 for forming a capping layer after an implantation process.
  • the method 300 begins at step 302 where a dopant is implanted into a film formed on a substrate.
  • the dopant may be as described above.
  • the method continues at step 304 where gases that may be used to deposit a capping layer over the doped layer stack in step 306 are provided.
  • the capping layer may be deposited in-situ the same chamber in which the layer was implanted. By capping the implanted substrate in-situ the same chamber ensures that the dopant is not exposed to moisture, which may react with the dopant to form a toxic or flammable gas.
  • the capping layer may be deposited by a chemical vapor deposition (CVD) process.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • the capping layer may include silicon, oxygen, nitrogen, carbon, and combinations thereof. Suitable gases that may be introduced to the chamber include silicon containing gases, oxygen containing gases as described above, nitrogen containing gases, and carbon containing gases.
  • the capping layer comprises a silicon layer.
  • the capping layer comprises a silicon oxide layer.
  • the capping layer comprises a silicon nitride layer.
  • the capping layer comprises a silicon carbide layer.
  • suitable silicon gases for forming the capping layer include aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof.
  • silicon gases include bis(tertbutylamino)silane (BTBAS or ( t Bu(H)N) 2 SiH 2 ), hexachlorodisilane (HCD or Si 2 Cl 6 ), tetrachlorosilane (SiCl 4 ), dichlorosilane (H 2 SiCl 2 ), 1,2-diethyl-tetrakis(diethylamino) disilane ((CH 2 CH 3 ((CH 3 CH 2 ) 2 N) 2 Si) 2 ), 1,2-dichloro-tetrakis(diethylamino) disilane ((Cl((CH 3 CH 2 ) 2 N) 2 Si) 2 ), hexakis(N-pyrrolidinio) disilane (((C 4 H 9 N)
  • Suitable silicon gases include compounds having one or more Si—N bonds or Si—Cl bonds, such as bis(tertbutylamino)silane (BTBAS or ( t Bu(H)N) 2 SiH 2 ) or hexachlorodisilane (HCD or Si 2 Cl 6 ).
  • BBAS bis(tertbutylamino)silane
  • HCD hexachlorodisilane
  • Silicon gases having preferred bond structures described above have the chemical formulas:
  • R and R′ may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof.
  • Specific functional groups include chloro (—Cl), methyl (—CH 3 ), ethyl (—CH 2 CH 3 ), isopropyl (—CH(CH 3 ) 2 ), tertbutyl (—C(CH 3 ) 3 ), trimethylsilyl (—Si(CH 3 ) 3 ), pyrrolidine, or combinations thereof.
  • Suitable silicon gases include silylazides R 3 —SiN 3 and silylhydrazine class of gases R 3 SiNRNR 2 , linear and cyclic with any combination of R groups.
  • the R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (C X H Y ).
  • the R groups attached to Si can optionally be another amino group NH 2 or NR 2 .
  • Examples of specific silylazide compounds include trimethylsilylazide ((CH 3 ) 3 SiN 3 ) (available from United Chemical Technologies, located in Bristol, Pa.) and tris(dimethylamine)silylazide (((CH 3 ) 2 N) 3 SiN 3 ).
  • a specific silylhydrazine compound is 1,1-dimethyl-2-dimethylsilylhydrazine ((CH 3 ) 2 HSiNHN(CH 3 ) 2 ).
  • a silicon-nitrogen gas may be at least one of (R 3 Si) 3 N, (R 3 Si) 2 NN(SiR 3 ) 2 and (R 3 Si)NN(SiR 3 ), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof.
  • suitable silicon-nitrogen gases include trisilylamine ((H 3 Si) 3 N), (H 3 Si) 2 N N(SiH 3 ) 2 , (H 3 Si)NN(SiH 3 ), or derivatives thereof.
  • nitrogen gases examples include ammonia (NH 3 ), hydrazine (N 2 H 4 ), organic amines, organic hydrazines, organic diazines (e.g., methyldiazine ((H 3 C)NNH)), silylazides, silylhydrazines, hydrogen azide (HN 3 ), hydrogen cyanide (HCN), atomic nitrogen (N), nitrogen (N 2 ), phenylhydrazine, azotertbutane, ethylazide, derivatives thereof, or combinations thereof.
  • Organic amines include R x NH 3-x , where each R is independently an alkyl group or an aryl group and x is 1, 2, or 3.
  • organic amines examples include trimethylamine ((CH 3 ) 3 N), dimethylamine ((CH 3 ) 2 NH), methylamine ((CH 3 )NH 2 )), triethylamine ((CH 3 CH 2 ) 3 N), diethylamine ((CH 3 CH 2 ) 2 NH), ethylamine ((CH 3 CH 2 )NH 2 )), tertbutylamine (((CH 3 ) 3 C)NH 2 ), derivatives thereof, or combinations thereof.
  • Organic hydrazines include R x N 2 H 4-x , where each R is independently an alkyl group or an aryl group and x is 1, 2, 3, or 4.
  • organic hydrazines examples include methylhydrazine ((CH 3 )N 2 H 3 ), dimethylhydrazine ((CH 3 ) 2 N 2 H 2 ), ethylhydrazine ((CH 3 CH 2 )N 2 H 3 ), diethylhydrazine ((CH 3 CH 2 ) 2 N 2 H 2 ), tertbutylhydrazine (((CH 3 ) 3 C)N 2 H 3 ), ditertbutylhydrazine (((CH 3 ) 3 C) 2 N 2 H 2 ), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
  • Carbon sources include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl.
  • Such carbon sources include methylsilane (CH 3 SiH 3 ), dimethylsilane ((CH 3 ) 2 SiH 2 ), ethylsilane (CH 3 CH 2 SiH 3 ), methane (CH 4 ), ethylene (C 2 H 4 ), ethyne (C 2 H 2 ), propane (C 3 H 8 ), propene (C 3 H 6 ), butyne (C 4 H 6 ), as well as others.
  • the capping layer formation gases may be provided to the chamber with a carrier gas.
  • argon is used as the carrier gas and may be provided at a flow rate of about 300 sccm.
  • RF power may be supplied at about 200 Watts to about 2000 Watts during CVD.
  • a silicon dioxide layer may be deposited over the implanted film by flowing silane gas at 15 sccm, oxygen gas at about 50 sccm to about 60 sccm, argon gas at about 300 sccm, and applying an RF bias of about 200 watts. The deposition occurs for about 1 minute to about 2 minutes and deposits a silicon dioxide capping layer of about 50 Angstroms to about 60 Angstroms thickness.
  • the capping layer may be deposited over an oxide layer formed using the method 200 .
  • the capping layer is removed prior to further processing.
  • the oxide layer or capping layer deposited in-situ may be removed during later processing and should be thick enough to reduce and/or prevent the dopants from producing toxic and/or flammable gases.
  • the oxide or capping layer should also be thin enough that it can be easily removed, for example by a stripping process, without adding excessive processing time or damage to the layer stack.
  • Table I shows data for five different substrates that were implanted with arsenic as a dopant at 2 kV implantation power and 1 ⁇ 10 16 l/cm 2 dosage level. For each substrate, a different exposure/capping process occurred.
  • arsenic oxide layer naturally forms when the arsenic is exposed to moisture, along with arsine gas.
  • the arsenic oxide layer formed to a thickness of 34.85 Angstroms on the first day and the thickness increased to 42.65 Angstroms by the fifth day.
  • the implanted film was exposed to oxygen gas for ten seconds without striking a plasma.
  • An arsenic oxide layer was formed to a thickness of 37.38 Angstroms. The thickness was reduced to 36.75 Angstroms by the fifth day. The amount of arsine gas produced was undetectable.
  • the implanted film was exposed to an oxygen plasma for 3 seconds without applying a bias.
  • the arsenic oxide layer was formed to a thickness of 51.19 Angstroms.
  • the thickness increased to 56.19 Angstroms by the fifth day.
  • the amount of arsine gas produced was undetectable.
  • the implanted film was exposed to an oxygen plasma for 7 seconds without applying a bias.
  • the arsenic oxide layer was formed to a thickness of 47.15 angstroms that increased to 47.57 Angstroms by the third day and increased to 49.93 Angstroms by the fifth day.
  • the amount of arsine gas produced was undetectable.
  • a silicon dioxide layer was deposited over the implanted film by introducing a plasma of SiH 2 and O 2 for 3 seconds.
  • the silicon dioxide layer was formed to a thickness of 56.73 Angstroms. By the fifth day, the thickness has increased to 59.52 Angstroms. The amount of arsine gas produced was undetectable.
  • substrates 1-4 The arsine evolution for substrates 1-4 is shown in FIG. 4 .
  • substrate 1 which does not have an oxide layer formed in-situ, initially permits a large amount of arsine gas to form in addition to and oxide layer.
  • Substrates 2-4 have a much smaller amount of arsine gas that is permitted to form.
  • Substrates 2-4 are exposed to oxygen in-situ within the same chamber in which the layer was implanted and thus, have less arsenic available to produce arsine gas upon exposure to moisture. Because less arsine is formed, substrates 2-4 are much safer to handle.
  • Oxidizing a dopant implanted film in-situ and/or depositing a capping layer over a dopant implanted film in-situ reduces the amount of toxic and/or flammable gases that may be produced upon exposing the layer stack to moisture. It is also contemplated that the implantation and oxidation (or capping) steps may be performed in separate chambers as long as the substrate remains under vacuum between the implantation and oxidation/capping process.

Abstract

A method of preventing toxic gas formation after an implantation process is disclosed. Certain dopants, when implanted into films disposed on a substrate, may react when exposed to moisture to form a toxic gas and/or a flammable gas. By in-situ exposing the doped film to an oxygen containing compound, dopant that is shallowly implanted into the layer stack reacts to form a dopant oxide, thereby reducing potential toxic gas and/or flammable gas formation. Alternatively, a capping layer may be formed in-situ over the implanted film to reduce the potential generation of toxic gas and/or flammable gas.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 60/870,575 (APPM/011747L), filed Dec. 18, 2006, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to the field of semiconductor manufacturing processes and, more particularly, to methods of making substrates that have been implanted with arsenic, phosphorus, or boron safer to handle.
  • 2. Description of the Related Art
  • Integrated circuits may include more than one million micro-electronic field effect transistors (e.g., complementary metal-oxide-semiconductor (CMOS) field effect transistors) that are formed on a substrate (e.g., semiconductor wafer) and cooperate to perform various functions within the circuit. A CMOS transistor comprises a gate structure disposed between source and drain regions that are formed in the substrate. The gate structure generally comprises a gate electrode and a gate dielectric layer. The gate electrode is disposed over the gate dielectric layer to control a flow of charge carriers in a channel region formed between the drain and source regions beneath the gate dielectric layer.
  • An ion implantation process is typically utilized to implant and dope ions into the substrate, forming the gate and source drain structure with desired profile and concentration on the substrate. During an ion implantation process, different process gases or gas mixtures may be used to provide ion source species such as arsenic, phosphorus, or boron. Arsenic, in particular, will react when exposed to moisture to produce arsenic oxide and arsine gas according to the following reaction:

  • As+H2O→AsH3+AsxOy
  • Arsine gas is a highly toxic gas that is also flammable. When high doses of dopant (i.e., about 1×1016 l/cm2 or more) and low implantation energy (i.e., about 2 kV) is applied, the dopant does not implant deeply into the layer stack. Thus, more dopant is present near or at the surface of the layer stack and may be exposed to moisture upon removal from the chamber. The arsenic residing near the surface may react to undesirably form arsine gas.
  • Therefore, there is a need for a method to prevent toxic compounds from forming after dopants have been implanted.
  • SUMMARY OF THE INVENTION
  • The present invention generally comprises a method of preventing toxic gas formation after an implantation process. Certain dopants, when implanted into a film disposed on a substrate, may react when exposed to moisture to form a toxic gas and/or a flammable gas. In one embodiment, a dopant is initially implanted into a film formed on a substrate and then the implanted film is exposed to an oxygen containing gas to form a protective oxide layer. The oxide layer may be formed in the same chamber in which the film was implanted.
  • In another embodiment, a substrate processing method comprises implanting a dopant into a film disposed in a processing chamber and exposing the implanted film to an oxygen containing plasma to form an oxide layer on the implanted film and trap the dopant within the film prior to exposure of the implanted film to atmospheric oxygen.
  • In another embodiment, a dopant is initially implanted into a film formed on a substrate and then a capping layer is deposited over the implanted film. The capping layer may be deposited in the same chamber in which the film was implanted.
  • In another embodiment, a substrate processing method comprises implanting a dopant into a film disposed on a substrate in a processing chamber and depositing a capping layer over the dopant implanted film prior to exposure of the implanted film to atmospheric oxygen, wherein the capping layer is selected from the group consisting of a carbon layer, a silicon layer, a silicon oxide layer, a silicon nitride layer, a silicon carbide layer, an organic layer, and combinations thereof.
  • In another embodiment, a substrate processing method comprises implanting a dopant into a film disposed on a substrate in a processing chamber and removing excess dopants by etching the implanted film with a plasma formed from NF3 prior to exposure of the implanted film to atmospheric oxygen.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIGS. 1A-1B depict one embodiment of a plasma immersion ion implantation tool suitable for practicing the present invention.
  • FIG. 2 depicts a process diagram illustrating a method for a dopant oxide formation process according to one embodiment of the present invention.
  • FIG. 3 depicts a process diagram illustrating a method for an in-situ capping process according to one embodiment of the present invention.
  • FIG. 4 is a graph showing arsine gas formation over time.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention describes a method of preventing toxic gas formation after an implantation process. FIG. 1A depicts a plasma reactor 100 that may be utilized to practice ion implantation, oxide layer formation, and capping layer formation according to one embodiment of the invention. One suitable reactor which may be adapted to practice the invention is a P3i™ reactor, available from Applied Materials, Inc., of Santa Clara, Calif. Another reactor which may be adapted to practice the invention is described in U.S. patent application Ser. No. 11/608,357, filed Dec. 8, 2006, which is hereby incorporated by reference in its entirety. It is contemplated that the methods described herein may be practiced in other suitably adapted plasma reactors, including those from other manufacturers.
  • The plasma reactor 100 includes a chamber body 102 having a bottom 124, a top 126, and side walls 122 enclosing a process region 104. A substrate support assembly 128 is supported from the bottom 124 of the chamber body 102 and is adapted to receive a substrate 106 for processing. A gas distribution plate 130 is coupled to the top 126 of the chamber body 102 facing the substrate support assembly 128. A pumping port 132 is defined in the chamber body 102 and coupled to a vacuum pump 134. The vacuum pump 134 is coupled through a throttle valve 136 to the pumping port 132. A gas source 152 is coupled to the gas distribution plate 130 to supply gaseous precursor compounds for processes performed on the substrate 106.
  • The reactor 100 depicted in FIG. 1A further includes a plasma source 190 best shown in the perspective view of FIG. 1B. The plasma source 190 includes a pair of separate external reentrant conduits 140, 140′ mounted on the outside of the top 126 of the chamber body 102 disposed transverse to one another (or orthogonal to one another, as shown in the exemplary embodiment depicted in FIG. 1B). The first external conduit 140 has a first end 140 a coupled through an opening 198 formed in the top 126 into a first side of the process region 104 in the chamber body 102. A second end 140 b has an opening 196 coupled into a second side of the process region 104. The second external reentrant conduit 140 b has a first end 140 a′ having an opening 194 coupled into a third side of the process region 104 and a second end 140 b′ having an opening 192 into a fourth side of the process region 104. In one embodiment, the first and second external reentrant conduits 140, 140′ are configured to be orthogonal to one another, thereby providing the two ends 140 a, 140 a′, 140 b. 140 b′ of each external reentrant conduits 140, 140′ disposed at about 90 degree intervals around the periphery of the top 126 of the chamber body 102. The orthogonal configuration of the external reentrant conduits 140, 140′ allows a plasma source distributed uniformly across the process region 104. It is contemplated that the first and second external reentrant conduits 140, 140′ may be configured as other distributions utilized to provide uniform plasma distribution into the process region 104.
  • Magnetically permeable torroidal cores 142, 142′ surround a portion of a corresponding one of the external reentrant conduits 140, 140′. The conductive coils 144, 144′ are coupled to respective RF plasma source power generators 146, 146′ through respective impedance match circuits or elements 148, 148′. Each external reentrant conduit 140, 140′ is a hollow conductive tube interrupted by an insulating annular ring 150, 150′ respectively that interrupts an otherwise continuous electrical path between the two ends 140 a, 140 b (and 140 a′, 104 b′) of the respective external reentrant conduits 140, 140′. Ion energy at the substrate surface is controlled by an RF plasma bias power generator 154 coupled to the substrate support assembly 128 through an impedance match circuit or element 156.
  • Referring back to FIG. 1A, process gases including gaseous compounds supplied from the process gas source 152 are introduced through the overhead gas distribution plate 130 into the process region 104. RF source plasma power 146 is coupled from the power applicator to gases supplied in the conduit 140, which creates a circulating plasma current in a first closed torroidal path including the external reentrant conduit 140 and the process region 104. Also, RF source power 146′ may be coupled from the other power applicator to gases in the second conduit 140′, which creates a circulating plasma current in a second closed torroidal path transverse (e.g., orthogonal) to the first torroidal path. The second torroidal path includes the second external reentrant conduit 140′ and the process region 104. The plasma currents in each of the paths oscillate (e.g., reverse direction) at the frequencies of the respective RF source power generators 146, 146′, which may be the same or slightly offset from one another.
  • In one embodiment, the process gas source 152 provides different process gases that may be utilized to provide ions implanted to the substrate 106. Suitable examples of process gases include B2H6, BF3, SiH4, SiF4, PH3, P2H5, PO3, PF3, PF5 and CF4, among others. The power of each plasma source power generators 146, 146′ is operated so that their combined effect efficiently dissociates the process gases supplied from the process gas source 152 and produces a desired ion flux at the surface of the substrate 106. The power of the RF plasma bias power generator 154 is controlled at a selected level at which the ion energy dissociated from the process gases may be accelerated toward the substrate surface and implanted at a desired depth below the top surface of the substrate 106 with desired ion concentration. For example, with relatively low RF power, such as less than about 50 eV, relatively low plasma ion energy may be obtained. Dissociated ions with low ion energy may be implanted at a shallow depth between about 0 Å and about 100 Å from the substrate surface. Alternatively, dissociated ions with high ion energy provided and generated from high RF power, such as higher than about 50 eV, may be implanted into the substrate having a depth substantially over 100 Å depth from the substrate surface.
  • The combination of the controlled RF plasma source power and RF plasma bias power dissociates ions in the gas mixture having sufficient momentum and desired ion distribution in the processing chamber 100. The ions are biased and driven toward the substrate surface, thereby implanting ions into the substrate with desired ion concentration, distribution and depth from the substrate surface. Furthermore, the controlled ion energy and different types of ion species from the supplied process gases facilitates ions implanted in the substrate 106, forming desired device structure, such as gate structure and source drain region on the substrate 106.
  • FIG. 2 depicts a process flow diagram of a method 200 for forming a dopant oxide layer after an implantation process. The method 200 begins at step 202 where a dopant is implanted into a film formed on a substrate. The term film is a generic term encompassing one or more layers of material that may be stacked on the substrate. In one embodiment, the dopant comprises arsenic. In another embodiment, the dopant comprises phosphorus. In yet another embodiment, the dopant comprises boron.
  • After the dopant is implanted into the layer stack, the method continues at step 204 where the implanted (e.g., doped) layer is exposed to an oxygen containing gas. The exposure may occur in-situ within the same chamber in which the layer was implanted. The substrate having the doped layer may remain in the chamber after the implantation to ensure that the dopant is not exposed to moisture, which may react with the dopant to form a toxic or flammable gas. In one embodiment, the implanted (e.g., doped) layer may be exposed to the oxygen containing gas in a separate chamber without exposing the layer to atmosphere and hence, moisture.
  • By exposing the implanted layer to an oxygen containing gas, oxygen reacts to form an oxide on the surface of the implanted film at step 206. The oxide may be that of the dopant and/or the implanted film. Suitable oxygen containing gases that may be used include atomic oxygen (O), oxygen (O2), ozone (O3), nitrous oxide (N2O), nitric oxide (NO), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), plasmas thereof, radicals thereof, derivatives thereof, combinations thereof, or other suitable oxygen sources. The oxygen containing gas may be ignited into a plasma. In one embodiment, the oxygen containing gas is ignited within the same processing chamber as the implantation. In another embodiment, the plasma is ignited remotely and delivered to the chamber. The plasma may be generated by a capacitive source and/or an inductive source.
  • In one embodiment, the implanted layer may be exposed to a hydrogen containing gas. The implanted layer may be exposed to the hydrogen containing gas either prior to or after the exposure to the oxygen containing gas. In one embodiment, the hydrogen containing gas comprises hydrogen gas. The exposure to a hydrogen containing gas and the exposure to the oxygen containing gas may be repeated a plurality of times. The hydrogen containing gas may be ignited into a plasma. In one embodiment, the hydrogen containing gas is ignited within the same processing chamber as the implantation. In another embodiment, the plasma is ignited remotely and delivered to the chamber. The plasma may be generated by a capacitive source and/or an inductive source. The hydrogen containing gas exposure and the oxygen containing gas exposure may occur within the same processing chamber, but at separate intervals.
  • In one embodiment, a capping layer may be deposited over the oxide layer formed on the implanted layer. The capping layer may be selected from the group consisting of a carbon layer, a silicon layer, a silicon oxide layer, a silicon nitride layer, a silicon carbide layer, an organic layer, and combinations thereof. The capping layer may be deposited over the oxide layer within the same processing chamber as the implantation. In one embodiment, the capping layer may be deposited in a separate chamber without exposing the layer to atmosphere and hence, moisture. The capping layer may be removed after annealing.
  • In still another embodiment, the implanted layer may be exposed to a gas to remove excess dopants. By removing excess dopants, the dopants may not activate and thus, hydride formation may be reduced. In one embodiment, the gas may comprise an etching gas. In another embodiment, the gas may comprise NF3. The removal of excess dopants may occur within the same processing chamber as the implantation. In one embodiment, the removal of excess dopants may occur in a separate chamber without exposing the layer to atmosphere and hence, moisture.
  • The oxide layer formation, the capping layer formation, and the removal of excess dopants may be utilized in any combination. In one embodiment, the oxide layer is formed and no capping layer is formed and excess dopants are not removed. In another embodiment, the capping layer is formed and no oxide layer is formed and excess dopants are not removed. In another embodiment, the excess dopants are removed, but no oxide layer or capping layer is formed. In another embodiment, the oxide layer and the capping layer are formed, but excess dopants are not removed. In another embodiment, the oxide layer is formed and excess dopants are removed, but the capping layer is not formed. In another embodiment, the capping layer is formed and the excess dopants are removed, but the oxide layer is not formed. Additionally, the hydrogen containing gas exposure may occur in any combination with the above oxide layer formation, capping layer formation, and removal of excess dopants.
  • In forming the oxide layer, the oxygen containing gas may be provided to the chamber at a flow rate of about 300 sccm to about 450 sccm. In another embodiment, the flow rate of oxygen containing gas may be greater than 450 sccm. The oxide layer is formed in the chamber by exposing the implanted film for about 3 seconds to about 10 seconds at a chamber pressure of about 15 mTorr to about 300 mTorr. The oxygen containing gas may be co-flowed to the chamber with a carrier gas. The carrier gas may have a flow rate of about 50 sccm. The carrier gas may comprise an inert gas. In one embodiment, the carrier gas comprises argon.
  • FIG. 3 depicts a process flow diagram of a method 300 for forming a capping layer after an implantation process. The method 300 begins at step 302 where a dopant is implanted into a film formed on a substrate. The dopant may be as described above.
  • After the dopant is implanted into the film, the method continues at step 304 where gases that may be used to deposit a capping layer over the doped layer stack in step 306 are provided. The capping layer may be deposited in-situ the same chamber in which the layer was implanted. By capping the implanted substrate in-situ the same chamber ensures that the dopant is not exposed to moisture, which may react with the dopant to form a toxic or flammable gas.
  • The capping layer may be deposited by a chemical vapor deposition (CVD) process. One particular CVD process that may be used includes plasma enhanced chemical vapor deposition (PECVD). The capping layer may include silicon, oxygen, nitrogen, carbon, and combinations thereof. Suitable gases that may be introduced to the chamber include silicon containing gases, oxygen containing gases as described above, nitrogen containing gases, and carbon containing gases. In one embodiment, the capping layer comprises a silicon layer. In another embodiment, the capping layer comprises a silicon oxide layer. In yet another embodiment, the capping layer comprises a silicon nitride layer. In still another embodiment, the capping layer comprises a silicon carbide layer.
  • Examples of suitable silicon gases for forming the capping layer include aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof. Some specific examples of silicon gases include bis(tertbutylamino)silane (BTBAS or (tBu(H)N)2SiH2), hexachlorodisilane (HCD or Si2Cl6), tetrachlorosilane (SiCl4), dichlorosilane (H2SiCl2), 1,2-diethyl-tetrakis(diethylamino) disilane ((CH2CH3((CH3CH2)2N)2Si)2), 1,2-dichloro-tetrakis(diethylamino) disilane ((Cl((CH3CH2)2N)2Si)2), hexakis(N-pyrrolidinio) disilane (((C4H9N)3)Si)2), 1,1,2,2-tetrachloro-bis(di(trimethylsilyl)amino) disilane, ((Cl2((CH3)3Si)2N)Si)2), 1,1,2,2-tetrachloro-bis(diisopropylamino) disilane, ((Cl2((C3H7)2N)Si)2), 1,2-dimethyltetrakis(diethylamino) disilane ((CH3(CH3CH2N)2Si)2), tris(dimethylamino)silane azide (((CH3)2N)3SiN3), tris(methylamino)silane azide (((CH3)(H)N)3SiN3), 2,2-dimethylhydrazine-dimethylsilane ((CH3)2(H)Si)(H)NN(CH3)2), trisilylamine ((SiH3)3N or TSA), and hexakis(ethylamino)disilane (((EtHN)3Si)2), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof. Other suitable silicon gases that may be used include compounds having one or more Si—N bonds or Si—Cl bonds, such as bis(tertbutylamino)silane (BTBAS or (tBu(H)N)2SiH2) or hexachlorodisilane (HCD or Si2Cl6).
  • Silicon gases having preferred bond structures described above have the chemical formulas:
  • (I) R2NSi(R′2)Si(R′2)NR2 (aminodisilanes),
  • (II) R3SiN3 (silylazides), or
  • (III) R′3SiNRNR2 (silylhydrazines).
  • In the above chemical formulas, R and R′ may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof. Specific functional groups include chloro (—Cl), methyl (—CH3), ethyl (—CH2CH3), isopropyl (—CH(CH3)2), tertbutyl (—C(CH3)3), trimethylsilyl (—Si(CH3)3), pyrrolidine, or combinations thereof.
  • Other examples of suitable silicon gases include silylazides R3—SiN3 and silylhydrazine class of gases R3SiNRNR2, linear and cyclic with any combination of R groups. The R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (CXHY). The R groups attached to Si can optionally be another amino group NH2 or NR2. Examples of specific silylazide compounds include trimethylsilylazide ((CH3)3SiN3) (available from United Chemical Technologies, located in Bristol, Pa.) and tris(dimethylamine)silylazide (((CH3)2N)3SiN3). An example of a specific silylhydrazine compound is 1,1-dimethyl-2-dimethylsilylhydrazine ((CH3)2HSiNHN(CH3)2). In another embodiment, a silicon-nitrogen gas may be at least one of (R3Si)3N, (R3Si)2NN(SiR3)2 and (R3Si)NN(SiR3), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof. Examples of suitable silicon-nitrogen gases include trisilylamine ((H3Si)3N), (H3Si)2N N(SiH3)2, (H3Si)NN(SiH3), or derivatives thereof.
  • Examples of suitable nitrogen gases include ammonia (NH3), hydrazine (N2H4), organic amines, organic hydrazines, organic diazines (e.g., methyldiazine ((H3C)NNH)), silylazides, silylhydrazines, hydrogen azide (HN3), hydrogen cyanide (HCN), atomic nitrogen (N), nitrogen (N2), phenylhydrazine, azotertbutane, ethylazide, derivatives thereof, or combinations thereof. Organic amines include RxNH3-x, where each R is independently an alkyl group or an aryl group and x is 1, 2, or 3. Examples of organic amines include trimethylamine ((CH3)3N), dimethylamine ((CH3)2NH), methylamine ((CH3)NH2)), triethylamine ((CH3CH2)3N), diethylamine ((CH3CH2)2NH), ethylamine ((CH3CH2)NH2)), tertbutylamine (((CH3)3C)NH2), derivatives thereof, or combinations thereof. Organic hydrazines include RxN2H4-x, where each R is independently an alkyl group or an aryl group and x is 1, 2, 3, or 4. Examples of organic hydrazines include methylhydrazine ((CH3)N2H3), dimethylhydrazine ((CH3)2N2H2), ethylhydrazine ((CH3CH2)N2H3), diethylhydrazine ((CH3CH2)2N2H2), tertbutylhydrazine (((CH3)3C)N2H3), ditertbutylhydrazine (((CH3)3C)2N2H2), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
  • Carbon sources include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl. Such carbon sources include methylsilane (CH3SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane (CH3CH2SiH3), methane (CH4), ethylene (C2H4), ethyne (C2H2), propane (C3H8), propene (C3H6), butyne (C4H6), as well as others.
  • The capping layer formation gases may be provided to the chamber with a carrier gas. In one embodiment, argon is used as the carrier gas and may be provided at a flow rate of about 300 sccm. RF power may be supplied at about 200 Watts to about 2000 Watts during CVD.
  • In one embodiment, a silicon dioxide layer may be deposited over the implanted film by flowing silane gas at 15 sccm, oxygen gas at about 50 sccm to about 60 sccm, argon gas at about 300 sccm, and applying an RF bias of about 200 watts. The deposition occurs for about 1 minute to about 2 minutes and deposits a silicon dioxide capping layer of about 50 Angstroms to about 60 Angstroms thickness. Optionally, the capping layer may be deposited over an oxide layer formed using the method 200.
  • At step 308, the capping layer is removed prior to further processing. The oxide layer or capping layer deposited in-situ may be removed during later processing and should be thick enough to reduce and/or prevent the dopants from producing toxic and/or flammable gases. However, the oxide or capping layer should also be thin enough that it can be easily removed, for example by a stripping process, without adding excessive processing time or damage to the layer stack.
  • Table I shows data for five different substrates that were implanted with arsenic as a dopant at 2 kV implantation power and 1×1016 l/cm2 dosage level. For each substrate, a different exposure/capping process occurred.
  • TABLE I
    Implan- Dopant oxide/capping
    Sub- tation Dop- layer thickness
    strate Power ant Exposure/Capping 1st day 3rd day 5th day
    1 2 kV As None 34.85 Å 42.65 Å
    2 2 kV As 10 seconds O2 (no 37.38 Å 36.75 Å
    plasma)
    3 2 kV As 3 seconds O2 plasma 51.19 Å 56.19 Å
    (no bias)
    4 2 kV As 7 seconds O2 47.15 Å 47.57 Å 49.93 Å
    plasma (no bias)
    5 2 kV As 3 seconds SiH2/O2 56.73 Å 59.52 Å
    plasma (no bias)
  • For substrate 1, no in-situ exposure occurred after the implantation. An arsenic oxide layer naturally forms when the arsenic is exposed to moisture, along with arsine gas. The arsenic oxide layer formed to a thickness of 34.85 Angstroms on the first day and the thickness increased to 42.65 Angstroms by the fifth day.
  • For substrate 2, the implanted film was exposed to oxygen gas for ten seconds without striking a plasma. An arsenic oxide layer was formed to a thickness of 37.38 Angstroms. The thickness was reduced to 36.75 Angstroms by the fifth day. The amount of arsine gas produced was undetectable.
  • For substrate 3, the implanted film was exposed to an oxygen plasma for 3 seconds without applying a bias. The arsenic oxide layer was formed to a thickness of 51.19 Angstroms. The thickness increased to 56.19 Angstroms by the fifth day. The amount of arsine gas produced was undetectable.
  • For substrate 4, the implanted film was exposed to an oxygen plasma for 7 seconds without applying a bias. The arsenic oxide layer was formed to a thickness of 47.15 angstroms that increased to 47.57 Angstroms by the third day and increased to 49.93 Angstroms by the fifth day. The amount of arsine gas produced was undetectable.
  • For substrate 5, a silicon dioxide layer was deposited over the implanted film by introducing a plasma of SiH2 and O2 for 3 seconds. The silicon dioxide layer was formed to a thickness of 56.73 Angstroms. By the fifth day, the thickness has increased to 59.52 Angstroms. The amount of arsine gas produced was undetectable.
  • The arsine evolution for substrates 1-4 is shown in FIG. 4. As may be seen from FIG. 4, substrate 1, which does not have an oxide layer formed in-situ, initially permits a large amount of arsine gas to form in addition to and oxide layer. Substrates 2-4, on the other hand, have a much smaller amount of arsine gas that is permitted to form. Substrates 2-4, as discussed above, are exposed to oxygen in-situ within the same chamber in which the layer was implanted and thus, have less arsenic available to produce arsine gas upon exposure to moisture. Because less arsine is formed, substrates 2-4 are much safer to handle.
  • Oxidizing a dopant implanted film in-situ and/or depositing a capping layer over a dopant implanted film in-situ reduces the amount of toxic and/or flammable gases that may be produced upon exposing the layer stack to moisture. It is also contemplated that the implantation and oxidation (or capping) steps may be performed in separate chambers as long as the substrate remains under vacuum between the implantation and oxidation/capping process.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A substrate processing method, comprising:
implanting a dopant into a film disposed in a processing chamber; and
exposing the implanted film to an oxygen containing plasma to form an oxide layer on the implanted film and trap the dopant within the film prior to exposure of the implanted film to atmospheric oxygen.
2. The method of claim 1, wherein the dopant is selected from the group consisting of arsenic, phosphorus, boron, and combinations thereof.
3. The method of claim 2, wherein the oxygen containing plasma is produced from oxygen gas.
4. The method of claim 3, wherein the implanting and the exposing occurs within the same processing chamber.
5. The method of claim 4, wherein the plasma is generated by a capacitively coupled source.
6. The method of claim 5, wherein the plasma is generated by an inductively coupled source in addition to the capacitively coupled source.
7. The method of claim 4, wherein the plasma is generated by an inductively coupled source.
8. The method of claim 1, further comprising exposing the implanted film to a hydrogen containing plasma separately from the oxygen containing plasma.
9. The method of claim 8, wherein the exposing the implanted film to a hydrogen containing plasma occurs after the implanting and before exposing to an oxygen containing plasma.
10. The method of claim 9, wherein the exposing to a hydrogen containing plasma and exposing to an oxygen containing plasma occurs a plurality of times.
11. The method of claim 8, wherein the exposing the implanted film to a hydrogen containing plasma occurs after the implanting and after exposing to an oxygen containing plasma.
12. The method of claim 11, wherein the exposing to a hydrogen containing plasma and exposing to an oxygen containing plasma occurs a plurality of times.
13. The method of claim 1, further comprising depositing a capping layer over the oxide layer, wherein the capping layer is selected from the group consisting of a carbon layer, a silicon layer, a silicon oxide layer, a silicon nitride layer, a silicon carbide layer, an organic layer, and combinations thereof.
14. The method of claim 13, further comprising etching the film after the implanting and before the exposing, wherein the etching removes excess dopants and wherein the etching comprises exposing the implanted layer to a plasma formed from NF3.
15. A substrate processing method, comprising:
implanting a dopant into a film disposed on a substrate in a processing chamber; and
depositing a capping layer over the dopant implanted film prior to exposure of the implanted film to atmospheric oxygen, wherein the capping layer is selected from the group consisting of a carbon layer, a silicon layer, a silicon oxide layer, a silicon nitride layer, a silicon carbide layer, an organic layer, and combinations thereof.
16. The method of claim 15, further comprising etching the film after the implanting and before the depositing, wherein the etching removes excess dopants and wherein the etching comprises exposing the implanted layer to a plasma formed from NF3.
17. The method of claim 15, wherein the implanting and the depositing occur within the same processing chamber.
18. A substrate processing method, comprising:
implanting a dopant into a film disposed on a substrate in a processing chamber; and
removing excess dopants by etching the implanted film with a plasma formed from NF3 prior to exposure of the implanted film to atmospheric oxygen.
19. The method of claim 18, further comprising exposing the etched film to an oxygen containing plasma to form an oxide layer on the implanted film and trap the dopant within the film.
20. The method of claim 18, wherein the implanting and the exposing occurs within the same processing chamber.
US11/958,541 2006-12-18 2007-12-18 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers Abandoned US20080153271A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/958,541 US20080153271A1 (en) 2006-12-18 2007-12-18 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US12/730,068 US20100173484A1 (en) 2006-12-18 2010-03-23 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US14/275,408 US8927400B2 (en) 2006-12-18 2014-05-12 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US87057506P 2006-12-18 2006-12-18
US11/958,541 US20080153271A1 (en) 2006-12-18 2007-12-18 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/730,068 Continuation US20100173484A1 (en) 2006-12-18 2010-03-23 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers

Publications (1)

Publication Number Publication Date
US20080153271A1 true US20080153271A1 (en) 2008-06-26

Family

ID=39537046

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/958,541 Abandoned US20080153271A1 (en) 2006-12-18 2007-12-18 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US12/730,068 Abandoned US20100173484A1 (en) 2006-12-18 2010-03-23 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US14/275,408 Expired - Fee Related US8927400B2 (en) 2006-12-18 2014-05-12 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/730,068 Abandoned US20100173484A1 (en) 2006-12-18 2010-03-23 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US14/275,408 Expired - Fee Related US8927400B2 (en) 2006-12-18 2014-05-12 Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers

Country Status (6)

Country Link
US (3) US20080153271A1 (en)
JP (1) JP5383501B2 (en)
KR (1) KR101369993B1 (en)
CN (1) CN101548190A (en)
TW (1) TWI508142B (en)
WO (1) WO2008077020A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090139540A1 (en) * 2007-11-30 2009-06-04 Applied Materials, Inc. Repairing surface defects and cleaning residues from plasma chamber components
US20100112794A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Doping profile modification in p3i process
US20100200954A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. Ion implanted substrate having capping layer and method
WO2011161965A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Plasma doping device, plasma doping method, method for manufacturing semiconductor element, and semiconductor element
US11649559B2 (en) 2016-09-14 2023-05-16 Applied Materials, Inc. Method of utilizing a degassing chamber to reduce arsenic outgassing following deposition of arsenic-containing material on a substrate

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501605B2 (en) * 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
WO2012154373A2 (en) * 2011-05-11 2012-11-15 Applied Materials, Inc. Surface dose retention of dopants by pre-amorphization and post-implant passivation treatments
WO2013164940A1 (en) * 2012-05-01 2013-11-07 東京エレクトロン株式会社 Method for injecting dopant into base body to be processed, and plasma doping apparatus
KR102065329B1 (en) 2014-05-30 2020-01-13 다우 실리콘즈 코포레이션 Process of synthesizing diisopropylaminw-disilanes
FR3033079B1 (en) * 2015-02-19 2018-04-27 Ion Beam Services PROCESS FOR PASSIVATING A SUBSTRATE AND MACHINE FOR CARRYING OUT SAID METHOD

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4226667A (en) * 1978-10-31 1980-10-07 Bell Telephone Laboratories, Incorporated Oxide masking of gallium arsenide
US5196370A (en) * 1990-11-08 1993-03-23 Matsushita Electronics Corporation Method of manufacturing an arsenic-including compound semiconductor device
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US20010001729A1 (en) * 1997-12-30 2001-05-24 Francols Leverd Method of plasma etching doped polysilicon layers with uniform etch rates
US6239034B1 (en) * 1998-11-02 2001-05-29 Vanguard International Semiconductor Corporation Method of manufacturing inter-metal dielectric layers for semiconductor devices
US6274512B1 (en) * 1999-09-10 2001-08-14 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
US6344884B1 (en) * 1997-11-28 2002-02-05 Lg.Philips Lcd Co., Ltd. Liquid crystal display device substrate and method for manufacturing thereof
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6376285B1 (en) * 1998-05-28 2002-04-23 Texas Instruments Incorporated Annealed porous silicon with epitaxial layer for SOI
US20020098627A1 (en) * 2000-11-24 2002-07-25 Pomarede Christophe F. Surface preparation prior to deposition
US20030067037A1 (en) * 1999-12-28 2003-04-10 Xerox Corporation Thin phosphorus nitride film as an n-type doping source used in laser doping technology
US6716704B2 (en) * 2001-06-22 2004-04-06 Samsung Electronics Co., Ltd. Methods of fabricating read only memory devices including thermally oxidized transistor sidewalls
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6743651B2 (en) * 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US20050090080A1 (en) * 2001-05-21 2005-04-28 International Business Machines Corporation Patterned SOI by oxygen implantation and annealing
US20050130424A1 (en) * 2002-07-16 2005-06-16 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US20050161434A1 (en) * 2002-03-29 2005-07-28 Tokyo Electron Limited Method for forming insulation film
US20050266617A1 (en) * 2004-03-18 2005-12-01 Ikuroh Ichitsubo Module with multiple power amplifiers and power sensors
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US20060073683A1 (en) * 2000-08-11 2006-04-06 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US20060105507A1 (en) * 2004-11-18 2006-05-18 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US20060226480A1 (en) * 2005-04-06 2006-10-12 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3103629B2 (en) * 1990-11-08 2000-10-30 松下電子工業株式会社 Method for manufacturing arsenic compound semiconductor device
JPH1131665A (en) * 1997-07-11 1999-02-02 Hitachi Ltd Manufacture of semiconductor integrated circuit
US6566283B1 (en) * 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
JP4151884B2 (en) * 2001-08-08 2008-09-17 独立行政法人理化学研究所 Method for producing a material in which a composite metal oxide nanomaterial is formed on a solid surface
US7003111B2 (en) * 2001-10-11 2006-02-21 International Business Machines Corporation Method, system, and program, for encoding and decoding input data
JP3578345B2 (en) * 2002-03-27 2004-10-20 株式会社半導体先端テクノロジーズ Semiconductor device manufacturing method and semiconductor device
US7037818B2 (en) * 2004-08-20 2006-05-02 International Business Machines Corporation Apparatus and method for staircase raised source/drain structure

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4226667A (en) * 1978-10-31 1980-10-07 Bell Telephone Laboratories, Incorporated Oxide masking of gallium arsenide
US5196370A (en) * 1990-11-08 1993-03-23 Matsushita Electronics Corporation Method of manufacturing an arsenic-including compound semiconductor device
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US6344884B1 (en) * 1997-11-28 2002-02-05 Lg.Philips Lcd Co., Ltd. Liquid crystal display device substrate and method for manufacturing thereof
US20010001729A1 (en) * 1997-12-30 2001-05-24 Francols Leverd Method of plasma etching doped polysilicon layers with uniform etch rates
US6376285B1 (en) * 1998-05-28 2002-04-23 Texas Instruments Incorporated Annealed porous silicon with epitaxial layer for SOI
US6239034B1 (en) * 1998-11-02 2001-05-29 Vanguard International Semiconductor Corporation Method of manufacturing inter-metal dielectric layers for semiconductor devices
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6274512B1 (en) * 1999-09-10 2001-08-14 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
US20030067037A1 (en) * 1999-12-28 2003-04-10 Xerox Corporation Thin phosphorus nitride film as an n-type doping source used in laser doping technology
US20060073683A1 (en) * 2000-08-11 2006-04-06 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US20020098627A1 (en) * 2000-11-24 2002-07-25 Pomarede Christophe F. Surface preparation prior to deposition
US20050090080A1 (en) * 2001-05-21 2005-04-28 International Business Machines Corporation Patterned SOI by oxygen implantation and annealing
US6716704B2 (en) * 2001-06-22 2004-04-06 Samsung Electronics Co., Ltd. Methods of fabricating read only memory devices including thermally oxidized transistor sidewalls
US20050161434A1 (en) * 2002-03-29 2005-07-28 Tokyo Electron Limited Method for forming insulation film
US6743651B2 (en) * 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US20050130424A1 (en) * 2002-07-16 2005-06-16 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US20050266617A1 (en) * 2004-03-18 2005-12-01 Ikuroh Ichitsubo Module with multiple power amplifiers and power sensors
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US20060105507A1 (en) * 2004-11-18 2006-05-18 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US20060226480A1 (en) * 2005-04-06 2006-10-12 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090139540A1 (en) * 2007-11-30 2009-06-04 Applied Materials, Inc. Repairing surface defects and cleaning residues from plasma chamber components
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20100112794A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Doping profile modification in p3i process
WO2010051283A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Doping profile modification in p3i process
US8288257B2 (en) 2008-10-31 2012-10-16 Applied Materials, Inc. Doping profile modification in P3I process
US20100200954A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20110092058A1 (en) * 2009-02-06 2011-04-21 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8198180B2 (en) * 2009-02-06 2012-06-12 Applied Materials, Inc. Ion implanted substrate having capping layer and method
WO2011161965A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Plasma doping device, plasma doping method, method for manufacturing semiconductor element, and semiconductor element
US11649559B2 (en) 2016-09-14 2023-05-16 Applied Materials, Inc. Method of utilizing a degassing chamber to reduce arsenic outgassing following deposition of arsenic-containing material on a substrate

Also Published As

Publication number Publication date
CN101548190A (en) 2009-09-30
JP2010514166A (en) 2010-04-30
KR101369993B1 (en) 2014-03-06
TW200834681A (en) 2008-08-16
US20100173484A1 (en) 2010-07-08
KR20090100421A (en) 2009-09-23
JP5383501B2 (en) 2014-01-08
WO2008077020A3 (en) 2008-08-28
WO2008077020A2 (en) 2008-06-26
US8927400B2 (en) 2015-01-06
US20140248759A1 (en) 2014-09-04
TWI508142B (en) 2015-11-11

Similar Documents

Publication Publication Date Title
US8927400B2 (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US11152248B2 (en) Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions
US9478415B2 (en) Method for forming film having low resistance and shallow junction depth
US7989329B2 (en) Removal of surface dopants from a substrate
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
US8084105B2 (en) Method of depositing boron nitride and boron nitride-derived materials
KR102384484B1 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8642128B2 (en) Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
KR101736528B1 (en) Depositing conformal boron nitride films
US7732309B2 (en) Plasma immersed ion implantation process
US20090286402A1 (en) Method for critical dimension shrink using conformal pecvd films
US20170243734A1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US8288257B2 (en) Doping profile modification in P3I process
US20200388483A1 (en) Methods of post treating silicon nitride based dielectric films with high energy low dose plasma
CN112204706B (en) Improved step coverage for pulsed plasma deposition etch

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FOAD, MAJEED A.;VELLAIKAL, MANOJ;SANTHANAM, KARTIK;REEL/FRAME:020713/0221;SIGNING DATES FROM 20080207 TO 20080212

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION