US20080237634A1 - Crystallographic recess etch for embedded semiconductor region - Google Patents

Crystallographic recess etch for embedded semiconductor region Download PDF

Info

Publication number
US20080237634A1
US20080237634A1 US11/693,792 US69379207A US2008237634A1 US 20080237634 A1 US20080237634 A1 US 20080237634A1 US 69379207 A US69379207 A US 69379207A US 2008237634 A1 US2008237634 A1 US 2008237634A1
Authority
US
United States
Prior art keywords
semiconductor
substrate
semiconductor region
embedded
facets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/693,792
Inventor
Thomas W. Dyer
Dureseti Chidambarrao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/693,792 priority Critical patent/US20080237634A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIDAMBARRAO, DURESETI, DYER, THOMAS W.
Publication of US20080237634A1 publication Critical patent/US20080237634A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Source and drain regions of an FET are etched by a crystallographic anisotropic etch to form a cavity surrounded by crystallographic facets. The exposure of the sidewalls of shallow trench isolation (STI) is avoided or reduced compared to the prior art. The crystallographic anisotropic etch may be combined with an isotropic etch or a recess etch to create undercuts beneath gate spacers and/or a pegging line beneath a top surface of the STI. The at least one cavity is then filled with a lattice-mismatched embedded material so that stress is applied to the channel of the FET. The resulting structure has increased containment of the embedded semiconductor region by shallow trench isolation. A reduction in stress due to the unconstrained sidewall area and an increase in the junction current due to the recessing of the pegging line are eliminated or alleviated.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor structures, and particularly, to semiconductor structures with at least one embedded semiconductor region and methods of manufacturing the same.
  • BACKGROUND OF THE INVENTION
  • Stress in the channel of a field effect transistor (FET) affects the on-current by altering the band structure of the semiconductor material, and consequently, the mobility of charge carriers. For example, the hole mobility of a p-type FET formed on a silicon substrate increases under a uniaxial compressive stress in the direction of the channel, i.e., along a line connecting the source and the drain. Similarly, the electron mobility of an n-type FET formed on a silicon substrate increases under a uniaxial tensile stress in the direction of the channel. The change in the mobility of minority carriers depends on the type and direction of stress as well as the semiconductor substrate material. By manipulating stress on the channel of an FET, the performance of the FET may be enhanced.
  • One method for generating stress in the channel of an FET is to embed a stress-generating material within portions of an active area of a semiconductor substrate. The embedded portions of the active area are typically source and drain regions of the FET so that stress may be applied to the channel. The embedded material is epitaxially grown on the underlying semiconductor substrate material with a forced match in the lattice constant with resulting strain in the embedded semiconductor region. The strained embedded semiconductor region applies either tensile or compressive stress on the surrounding semiconductor structures. Therefore, by embedding the source and drain regions of a FET with a lattice mismatched semiconductor material relative to the underlying substrate semiconductor material, carrier mobility in the channel, and consequently, the device on-current may be increased in the FET.
  • To successfully generate stress in the channel of a FET, the embedded material needs to be epitaxially grown on the underlying substrate semiconductor material. Therefore, the embedded semiconductor region has the same crystalline structure as and a small lattice mismatch, typically less about than 10%, and preferably less than 3%, relative to the underlying substrate semiconductor material. The choice of embedded semiconductor material depends on the substrate semiconductor material, the type of semiconductor device, and the geometry of the embedded region. For example, if the source and drain regions of a p-type insulated gate field effect transistor (IGFET) on a silicon substrate is to be embedded with a compressive-stress-generating material, a silicon germanium alloy may be employed. Similarly, a carbon doped silicon may be employed within the source and drain regions of an n-type IGFET on a silicon substrate to generate a tensile stress on the channel.
  • In general, a selective epitaxy process deposits a semiconductor material on a semiconductor surface while suppressing the deposition of the semiconductor material on a dielectric surface. This is achieved by introducing reactants and etchants into a process chamber at the same time so that an etching process competes with the deposition process. On a semiconductor surface, a rapid deposition of the semiconductor material occurs as semiconductor atoms from the reactant molecules diffuse on the surface to be incorporated into ledges, which has a high number of available bonds and located on the semiconductor surface. Thus, the semiconductor surface grows ledge by ledge and layer by layer. The deposition rate exceeds the etch rate on the semiconductor surface and a net deposition occurs. On the dielectric surface, however, semiconductor ledges are not present. For any deposition of a semiconductor material to occur, therefore, the semiconductor material must nucleate first. Since the nucleation may occur only if the semiconductor atoms from the reactant molecules form bonds on the dielectric surface, the nucleation rate is lower than the deposition rate. In a selective epitaxy process, the nucleation rate is lower than the etch rate, and no deposition of the semiconductor material occurs on the surface of the dielectric material.
  • In general, ledges play a key role in an epitaxy process by providing sites at which semiconductor atoms that originate from the reactants and diffuse on the surface can be incorporated into the semiconductor surface. Depending on the orientation of the surface, the number of available bonds at a ledge varies for a given semiconductor material. Therefore, the rate of growth in an epitaxy process is often anisotropic, i.e., different along different crystallographic orientations, often causing facets on the semiconductor surface as a result. In a selective epitaxy process, the anisotropy in the net deposition rate is magnified over the anisotropy of the deposition rate from the reactants, since the net deposition rate is equal to the anisotropic deposition rate less the etch rate, which tends to be isotropic.
  • Referring to FIG. 1, an exemplary prior art structure with embedded stress-generating semiconductor material formed by selective epitaxy is shown. The gate of an insulated gate field effect transistor (IGFET) comprises a gate dielectric 30 formed on a substrate semiconductor region 10, a gate semiconductor 32, a gate cap insulator 34, an L-shaped first gate spacer 40, and a second spacer 42. Embedded semiconductor regions 12 are formed by recessing portions of the semiconductor substrate 2 by a reactive ion etch and selectively depositing an embedded semiconductor material. Source and drain regions (not shown explicitly) of the IGFET comprises portions of the embedded semiconductor regions 12. The substrate semiconductor region 10 is a (001) silicon crystal region. The source and drain regions 12 are filled with a silicon germanium alloy, and are bounded by shallow trench isolation (STI) 20, which comprises a dielectric material such as silicon oxide.
  • In this case, the growth rate of the silicon germanium alloy is high in the [001] orientations but is close to zero in the [111] orientations. Therefore, during a selective epitaxy process, the (001) surfaces 13 move upward in the <001> orientation as the embedded semiconductor region grows while the {111} surfaces 15 do not grow in the [111] orientations and grows only laterally. The growth of the embedded semiconductor region 12 is pegged at the pegging line P on the surface of the STI 20 since the embedded semiconductor region 12 does not grow along sidewalls of the STI 20.
  • The absence of the embedded semiconductor material above the pegging line P has an adverse impact on the FET performance. Since the {111} surfaces 15 are not confined by the STI 20 in this configuration, the epitaxial strain caused by the lattice mismatch between the embedded semiconductor region 12 in the source and drain regions and the substrate semiconductor region 10 is partially relieved by deformation of the {111} surfaces 13, i.e., their movement away from the channel. Thus, the stress at the channel of the IGFET is reduced by the unconfined semiconductor surfaces below a top surface of the STI 20. Further, junction depth increases by the depth of the pegging line P near the STI after the source and drain ion implantation, which may cause junction leakage current to increases by orders of magnitude.
  • While FIG. 1 demonstrates an example of reduction of stress for a particular combination of substrate semiconductor material, embedded semiconductor material, and surface orientations, in general, differences in the growth rate of the embedded semiconductor region along different crystallographic orientations can cause a pegging line on shallow trench isolation above which the embedded semiconductor region does not grow. Such an absence of contact between an embedded semiconductor region and shallow trench isolation above a pegging line provides a strain relaxation mechanism for the embedded semiconductor region, thereby causing a reduction of stress in the channel.
  • Therefore, there exists a need for a semiconductor structure with an embedded semiconductor region that provides containment of embedded semiconductor region with sidewalls of shallow trench isolation, and thus, alleviates stress reduction and/or junction leakage current increase, and methods of manufacturing the same.
  • SUMMARY OF THE INVENTION
  • The present invention addresses the needs described above by providing semiconductor structures having an embedded semiconductor region with increased constraint by shallow trench isolation and methods of manufacturing the same.
  • Specifically, source and drain regions of an FET are etched by a crystallographic anisotropic etch to form at least one cavity surrounded by crystallographic facets. The exposure of the sidewalls of shallow trench isolation (STI) is eliminated or reduced compared to the prior art. The crystallographic anisotropic etch may be combined with an isotropic etch or a recess etch to create undercuts beneath gate spacers and/or a pegging line beneath a top surface of the STI. The at least one cavity is then filled with a lattice-mismatched embedded material so that stress is applied to the channel of the FET. The embedded semiconductor region may adjoin a top surface of the STI. Alternatively, a pegging line P, above which the embedded semiconductor region does not contact the STI, may be formed on the shallow trench isolation. The resulting structure has increased containment of the embedded semiconductor region by shallow trench isolation. A reduction in stress due to the unconstrained sidewall area and an increase in the junction current due to the recessing of the pegging line P are eliminated or alleviated.
  • According to the present invention, a semiconductor structure contains:
  • a substrate semiconductor region comprising a substrate semiconductor material and located within a semiconductor substrate;
  • at least one embedded semiconductor region comprising an embedded semiconductor material and located within the semiconductor substrate, wherein the embedded semiconductor material and the semiconductor substrate material have different material compositions; and
  • at least one boundary where at least two first facets of the substrate semiconductor region adjoin at least two second facets of the at least one embedded semiconductor region, wherein adjoined facets across the boundary have the same crystallographic orientation, and the first facets and the second facets are adjoined by a ridge.
  • Preferably, a vertical cross-section perpendicular to the ridge is a polygon having at least three sides, wherein at least three surfaces of the embedded semiconductor region containing the at least three sides of the polygon are major crystallographic surfaces with low Miller indices. The major crystallographic surfaces may be selected from a group consisting of {100}, {110}, {111}, {211}, {221}, {311}, {321}, {331}, and {332}.
  • In one embodiment, the polygon may have at least four sides, and three surfaces of the embedded semiconductor region containing three of the at least four sides may directly contact the substrate semiconductor region with epitaxial alignment. The polygon may be a trapezoid. Two surfaces of the embedded semiconductor region containing two parallel sides of the four sides of the polygon may comprise a set of two facets having the same orientation as the semiconductor substrate.
  • In another embodiment, the polygon may have at least five sides, and at least three surfaces of the embedded semiconductor region containing at least three of the at least five sides may directly contact the substrate semiconductor region with epitaxial alignment. The polygon may be a pentagon. A surface of the embedded semiconductor region not adjoining the substrate semiconductor region may comprise a facet having the same orientation as the semiconductor substrate.
  • In still another embodiment, the polygon may have at least six sides, and at least five surfaces of the embedded semiconductor region containing at least five of the at least six sides may directly contact the substrate semiconductor region with epitaxial alignment. The polygon may be a hexagon. Two surfaces of the embedded semiconductor region containing two of the six sides of the polygon may comprise a set of two facets having the same orientation as the semiconductor substrate.
  • In yet another embodiment, the polygon may have at least three sides, and at least two surfaces of the embedded semiconductor region containing at least two of the at least three sides may directly contact the substrate semiconductor region with epitaxial alignment. The polygon may be a triangle. One surface of the embedded semiconductor region containing one of the three sides of the polygon may comprise a facet having the same orientation as the semiconductor substrate.
  • The semiconductor substrate may have any crystallographic orientation. For example, the semiconductor substrate may be a (001) orientation substrate. The facets are crystallographic planes with low Miller indices with none of the indices exceeding 6 in magnitude. For example, at least three surfaces of the embedded semiconductor region containing the three sides may comprise a (001) facet and a set of two {110} facets.
  • The embedded semiconductor region may abut a bottom surface of a gate spacer of an insulated gate field effect transistor (IGFET).
  • The substrate semiconductor material and the embedded semiconductor material may have the same crystal structure and a lattice mismatch in the range from 0% to about 10%.
  • An edge of the at least one embedded semiconductor region may adjoin a top surface of shallow trench isolation. Alternatively, a surface of the at least one embedded semiconductor region may adjoin a sidewall surface of shallow trench isolation.
  • According to another aspect of the present invention, a method of manufacturing a semiconductor structure, comprises:
  • providing a semiconductor substrate having a substrate semiconductor region and at least one exposed semiconductor surface;
  • subjecting the at least one exposed semiconductor surface to a crystallographic anisotropic etch;
  • forming at least one cavity with crystallographic facets within the semiconductor substrate; and
  • forming at least one embedded semiconductor region by filling the at least one cavity with an embedded semiconductor material, wherein the embedded semiconductor material is epitaxially aligned with the substrate semiconductor material.
  • The method may further comprise recessing the at least one exposed semiconductor surface with a reactive ion etch prior to forming the at least one cavity.
  • The method may further comprise performing an isotropic etch on the at least one cavity of the semiconductor substrate.
  • A bottom surface of a spacer of an insulated gate field effect transistor (IGFET) may be exposed.
  • Preferably, the embedded semiconductor region and the substrate semiconductor region have different material compositions and the embedded semiconductor region applies stress to the substrate semiconductor region.
  • The method may further comprise forming at least one boundary where at least two first facets of the substrate semiconductor region adjoin at least two second facets of the at least one embedded semiconductor region, wherein adjoined facets across the boundary have the same crystallographic orientation, and the first facets and the second facets are adjoined by a ridge.
  • A vertical cross-section perpendicular to the ridge may be a polygon selected from a group comprising a triangle, a trapezoid, a pentagon, and a hexagon with at least three sides, wherein surfaces of the embedded semiconductor region containing the at least three sides of the polygon are major crystallographic surfaces with low Miller indices. The major crystallographic surfaces may be selected from a group consisting of {100}, {110}, {111}, {211}, {221}, {311}, {321}, {331}, and {332}.
  • The semiconductor substrate may be a (001) orientation silicon substrate and the surfaces of the embedded semiconductor region may comprise at least one (001) surface and at least two {111} surfaces.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a vertical cross-sectional view of an exemplary prior art structure containing embedded semiconductor regions and {111} facets in a (001) silicon substrate.
  • FIGS. 2-5 are sequential cross-sectional views of a first exemplary semiconductor structure with embedded semiconductor regions according to a first embodiment of the present invention.
  • FIGS. 6-8 are sequential cross-sectional views of a second exemplary semiconductor structure with embedded semiconductor regions according to a second embodiment of the present invention.
  • FIGS. 9-11 are sequential cross-sectional views of a third exemplary semiconductor structure with embedded semiconductor regions according to a third embodiment of the present invention.
  • FIGS. 12-16 are sequential cross-sectional views of a fourth exemplary semiconductor structure with embedded semiconductor regions according to a fourth embodiment of the present invention.
  • FIGS. 17-19 are sequential cross-sectional views of a fifth exemplary semiconductor structure with embedded semiconductor regions according to a fifth embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • As stated above, the present invention relates to semiconductor structures with an embedded semiconductor region and methods of manufacturing the same, which are now described in detail with accompanying figures. It is noted that like and corresponding elements are referred to by like reference numerals.
  • Referring to FIG. 2, a first exemplary semiconductor structure according to the present invention comprises a semiconductor substrate 2 that contains a substrate semiconductor region 10 and shallow trench isolation 20. A gate of an insulated gate field effect transistor (IGFET) comprises a gate dielectric 30 located on the substrate semiconductor region 10, a gate semiconductor 32, a gate cap insulator 34, an L-shaped first gate spacer 40, and a second spacer 42. Exposed portions of an original semiconductor surface 11 include the area between the gate and the shallow trench isolation 20.
  • The semiconductor materials in the substrate semiconductor region 10 comprises a semiconductor material such as silicon, germanium, silicon-germanium alloy, silicon-carbon alloy, and silicon-germanium-carbon alloy, gallium arsenide, indium arsenide, indium phosphide, III-V compound semiconductor materials, II-VI compound semiconductor materials, organic semiconductor materials, and other compound semiconductor materials.
  • The semiconductor substrate 2 may be a bulk substrate, a semiconductor-on-insulator (SOI) substrate, or a hybrid substrate. The orientation of the semiconductor substrate 2 is determined by the crystallographic orientation of the substrate semiconductor region 10 underneath the gate structure along a surface normal of the semiconductor substrate 2. An SOI substrate or a hybrid substrate may have multiple regions of semiconductor material with different crystallographic orientations in the same semiconductor substrate. In this case, the orientation of the semiconductor substrate 2 is defined locally by the orientation of the substrate semiconductor region 10 underneath a semiconductor device in reference.
  • The L-shaped first spacer 40 and the second spacer 42 may be replaced with other types of spacers, or even eliminated in the practice of the present invention. Further, any semiconductor structure with at least one patterned exposed semiconductor surface may be employed to form embedded semiconductor regions in the practice of the present invention. The IGFETs in the first and subsequent exemplary structures, do not limit the application of the present invention to semiconductor structures containing an IGFET in any way, but serves as a demonstration of the practicability of the present invention.
  • Referring to FIG. 3, the at least one exposed original semiconductor surface 11 (shown in FIG. 2), which is the source and drain regions of the IGFET in the first exemplary semiconductor structure, is subjected to a crystallographic anisotropic etch. The substrate semiconductor material in the substrate semiconductor region 10 is etched at different etch rates along different crystallographic orientations with a high degree of anisotropy. The crystallographic anisotropic etch forms at least one cavity surrounded by outer walls made of crystallographic facets.
  • The crystallographic anisotropic etch may employ a wet etch process or a reactive ion etch process. Both types of crystallographic anisotropic etch processes need to have anisotropic etch rates along different crystallographic orientations of the substrate. A high etch rate crystallographic facet, which has a high etch rate for a given crystallographic anisotropic etch, moves rapidly in the direction normal to the facet. Conversely, a low etch rate crystallographic facet, which has a low etch rate for a given crystallographic anisotropic etch, moves slowly in the direction normal to the facet. It is noted that “high” or “low” etch rates are relative to each other i.e., measured against etch rates along different orientations of the same material in a given etch process. The ratio of the etch rates between the high etch rate crystallographic facet and the etch rate crystallographic facet is about 3 or greater, and preferably about 10 or greater, and more preferably about 30 or greater. Oftentimes, the area of a low etch rate crystallographic facet may increase as a high etch rate crystallographic facet slides along the surface of the low etch rate crystallographic facet. A prolonged crystallographic anisotropic etch tends to form predominantly low etch rate crystallographic facets in a resulting structure, while a prematurely terminated crystallographic anisotropic etch tends to form both high etch rate crystallographic facets and low etch rate crystallographic facets.
  • FIG. 3 shows both high etch rate crystallographic facets 50 and low etch rate crystallographic facets 51 formed in the semiconductor substrate 2 by the crystallographic anisotropic etch of the substrate semiconductor region 10. The substrate semiconductor material is not etched from the sidewalls of the shallow trench isolation 20 since a low etch rate crystallographic facet 51 is formed from the interface between the original exposed semiconductor surface 11 and the shallow trench isolation 20 and extends downward at an angle less than 90 degrees relative to the original exposed semiconductor surface 11.
  • For example, the semiconductor substrate 2 may be a silicon substrate. In this case, the following exemplary crystallographic anisotropic etch processes may be used to form low etch rate crystallographic facets having {110} orientations on a silicon substrate. A first example of such a process is a wet etch process utilizing a pure TMAH (tetramethyl-ammonium hydroxide; (CH3) 4NOH) solution, which produces {110} facets due to a low etch rate perpendicular to {110} facets. A second example is a wet etch process which comprises a pretreatment with SCI clean consisting of a mixture of H2O, NH4OH, and H2O2, followed by an etch in a dilute hydrofluoric acid (DHF), then followed by another etch in an ammonium hydroxide solution (NH4OH). This process also has a low etch rate perpendicular to {110} facets compared to other facets. A third example is a reactive ion etch used for deep trench formation in the DRAM processes, which tends to produce {110} facets on the surface of the semiconductor material.
  • Alternatively, the following exemplary crystallographic anisotropic etch process may be used to form low etch rate crystallographic facets having {100} orientations on a silicon substrate. The exemplary crystallographic anisotropic etch process comprises a pretreatment in a dilute hydrofluoric acid (DHF), followed by drying in an environment containing isopropyl alcohol (IPA) vapor, then followed by an etch in an ammonium hydroxide (NH4OH) solution.
  • In general, for an arbitrary substrate semiconductor material, a wet etch process or a reactive ion etch processes may be employed as a crystallographic anisotropic etch as long as the etchant has an anisotropic etch rate along different crystallographic planes. In the case of an anisotropic wet etch process, the semiconductor substrate may be pretreated with a chemical that modifies the ratio of etch rates along different crystallographic planes of the semiconductor substrate prior to subjecting the exposed semiconductor surface to the etchant.
  • Preferably, the crystallographic facets are major crystallographic surfaces with low Miller indices such as {100}, {110}, {111}, {211}, {221}, {311}, {321}, {331}, and {332}. In general, if none of the indices have numbers exceeds 6 in magnitude, the corresponding crystallographic surface may be considered a major crystallographic surface with low Miller indices. The angle between a surface normal of some of the crystallographic facets and a surface normal of common semiconductor substrate orientations are tabulated in Table 1.
  • TABLE 1
    Angles between a surface normal of common semiconductor substrate
    orientations and a surface normal of some of the crystallographic facets
    {100} {110} {111} {211} {221} {311}
    facets facets facets facets facets facets
    Substrate    0 degree    45 degrees ~54.73 degrees ~35.26 or ~48.18 or ~25.24 or
    orientation ~65.90 ~70.53 ~72.45
    (100) degrees degrees degrees
    Substrate    45 degrees    0 degree ~35.26 degrees ~30.01, ~19.47, 45 ~31.48 or
    orientation ~54.74 or or ~76.36 ~64.76
    (110) ~73.22 degrees degrees
    degrees
    Substrate ~54.73 degrees ~35.26 degrees    0 degree ~19.47 or ~15.79, ~29.49,
    orientation ~61.87 ~54.74 or ~58.52 or
    (111) degrees ~78.90 ~79.98
    degrees degrees
  • The angle between the surface normal of the crystallographic facets and the substrate orientation is less than 90 degrees. Typically, a pair of low etch rate crystallographic facets 51 are formed in the semiconductor substrate 2 as well as a high etch rate crystallographic facet 50 in each cavity. If the crystallographic anisotropic etch is terminated before the high etch rate crystallographic facet is reduced to a ridge, the exemplary structure in FIG. 3 is formed. Preferably, the high etch rate crystallographic facets 50 are parallel to the original semiconductor surface 11. Alternatively, the high etch rate crystallographic facet 50 may not be parallel to the original semiconductor surface 11. While the angle between facets may vary depending on the substrate orientation and the crystallographic etch process, a ridge is formed where two facets are adjoined to each other. Thus, the crystallographic anisotropic etch produces at least one cavity surrounded by crystallographic facets adjoined by two ridges on the substrate semiconductor region 10.
  • Referring to FIG. 4, an embedded semiconductor material is deposited on the facets (50, 51) of the substrate semiconductor region 10 preferably by selective epitaxy. Preferably, the embedded semiconductor material has a different composition than the substrate semiconductor material in the substrate semiconductor region 10. Preferably, the embedded semiconductor material has the same crystal structure as the substrate semiconductor material and has a lattice mismatch in the range from 0% to about 10%, and preferably from 0% to about 3%. Preferably, the selective epitaxy process provides a higher growth rate to surfaces that are parallel to the original semiconductor surface 11 than to surfaces that are parallel to the low etch rate crystallographic facets 51. In other words, the selective epitaxy causes the embedded semiconductor material to grow at a higher growth rate from surfaces that are parallel to the original semiconductor surface 11 in the direction perpendicular to the original semiconductor surface 11 than the growth rate of the embedded semiconductor material from the low etch rate crystallographic facet 51 in the direction perpendicular to the low etch rate crystallographic facets 51. This causes the growth surface of the embedded semiconductor material to be parallel to the high etch rate crystallographic facet 50. The embedded semiconductor material thus forms trapezoidal embedded semiconductor regions 60A, i.e., embedded semiconductor regions with a trapezoidal cross-sectional area.
  • The epitaxial constraint, i.e., the forced alignment of the atoms of the embedded semiconductor material with the underlying crystal structure of the substrate semiconductor region 10, causes the embedded semiconductor material to be strained. The strained embedded semiconductor region 60A exerts stress on neighboring semiconductor structures, including the channel of the IGFET between the two trapezoidal embedded semiconductor regions 60A.
  • Due to the constraint on the crystal structure and lattice mismatch, the variety of the material that may be used for the embedded trapezoidal semiconductor region 60A is determined by the crystal structure and the lattice constant of the substrate semiconductor region 10. For example, if the substrate semiconductor region 10 comprises silicon, the embedded semiconductor material may be silicon-germanium alloy, silicon-carbon alloy, or silicon-carbon-germanium alloy. If the semiconductor substrate region 10 comprises gallium arsenide, the embedded semiconductor material may comprise indium-gallium arsenide. Other combinations that are capable of producing epitaxial alignment are known in the art.
  • Since the substrate semiconductor region 10 is epitaxially aligned to the trapezoidal embedded semiconductor region 60A, each of the facets (50, 51 in FIG. 3) on the substrate semiconductor region 10 adjoins a facet of the embedded semiconductor region 60A that is located directly across the boundary between the trapezoidal embedded semiconductor region 60A and the substrate semiconductor region 10. Therefore, at each boundary between the trapezoidal embedded semiconductor region 60A and the substrate semiconductor region 10, two facets of the same surface orientations, one belonging to the trapezoidal embedded semiconductor region 60A and the other belonging to the semiconductor substrate region 10, are adjoined to each other with an epitaxial alignment across the boundary. At a ridge where two facets belonging to the substrate semiconductor region 10 are adjoined, two other facets belonging to the trapezoidal embedded semiconductor region 60A are also adjoined.
  • Referring to FIG. 5, a variant of the first exemplary semiconductor structure is shown, wherein the selective epitaxy process is prolonged after the embedded semiconductor region 60A reaches a top surface of the STI 20. Two more facets are added to the cross-sectional area of the embedded semiconductor region 60A. The trapezoidal embedded semiconductor region 60A in FIG. 4 grows into a hexagonal embedded semiconductor region 60A′, i.e., an embedded semiconductor region that has a hexagonal cross-sectional area. Further, it is herein explicitly contemplated that the selective epitaxy process may be terminated before the growth of the embedded semiconductor region reaches the original semiconductor surface 11, resulting in a trapezoidal embedded semiconductor region (not shown) that does not contact the STI 20.
  • Referring to FIG. 6, a second exemplary structure according to a second embodiment of the present invention is shown. The semiconductor structure in FIG. 6 is formed by extending the crystallographic anisotropic etch after the first exemplary semiconductor structure shown in FIG. 3 is formed. The low etch rate crystallographic facet 51 in FIG. 3 extends further downward until the high etch rate crystallographic facet 50 is reduced to a ridge that joins the two low etch rate crystallographic facets 51. A V-shaped groove with a ridge in the middle is formed by the two low etch rate crystallographic facets 51 in an exposed semiconductor area. Thus, the crystallographic anisotropic etch produces at least one cavity surrounded by crystallographic facets adjoined by a ridge on the substrate semiconductor region 10.
  • Referring to FIG. 7, an embedded semiconductor material is deposited on the low etch rate crystallographic facets 51 of the substrate semiconductor region 10 preferably by selective epitaxy as in the first embodiment. The requirements for the composition, crystal structure, and lattice constants are the same as in the first embodiment. Preferably, the selective epitaxy process provides a higher growth rate to surfaces that are parallel to the original semiconductor surface 11 than to surfaces that are parallel to the low etch rate crystallographic facets 51. In other words, the selective epitaxy causes the embedded semiconductor material to grow at a higher growth rate from surfaces parallel to the original semiconductor surface 11 in the direction perpendicular to the original semiconductor surface 11 than the growth rate of the embedded semiconductor material from the low etch rate crystallographic facet 51 in the direction perpendicular to the low etch rate crystallographic facets 51. This causes the growth surface of the embedded semiconductor material to be parallel to the original semiconductor surface 11. The embedded semiconductor material thus forms triangular embedded semiconductor regions 60B, i.e., embedded semiconductor regions with a triangular cross-sectional area.
  • Through the same mechanism as in the first embodiment, the strained embedded semiconductor region 60B exerts stress on neighboring semiconductor structures. Also, the variety of the material that may be used for the embedded triangular semiconductor region 60B is determined by the crystal structure and the lattice constant of the substrate semiconductor region 10.
  • As in the first embodiment, each of the facets 51 (in FIG. 6) of the substrate semiconductor region 10 adjoins a facet of the triangular embedded semiconductor region 60B that is located directly across the boundary between the trapezoidal embedded semiconductor region 60B and the substrate semiconductor region 10. At a ridge where two facets belonging to the substrate semiconductor region 10 are adjoined, two other facets belonging to the triangular embedded semiconductor region 60B are also adjoined.
  • Referring to FIG. 8, a variant of the second exemplary semiconductor structure is shown, wherein the selective epitaxy process is prolonged after the embedded semiconductor region 60B reaches a top surface of the STI 20. Two more facets are added to the cross-sectional area of the embedded semiconductor region 60B. The triangular embedded semiconductor region 60B in FIG. 7 grows into a pentagonal embedded semiconductor region 60B′, i.e., an embedded semiconductor region that has a pentagonal cross-sectional area. Further, it is herein explicitly contemplated that the selective epitaxy process may be terminated before the growth of the embedded semiconductor region reaches the original semiconductor surface 11, resulting in a triangular embedded semiconductor region (not shown) that does not contact the STI 20.
  • Referring to FIG. 9, a third exemplary structure according to a third embodiment of the present invention is shown. The semiconductor structure in FIG. 8 is formed by subjecting the first exemplary semiconductor structure shown in FIG. 3 to a subsequent isotropic etch. During the isotropic etch, the substrate semiconductor material is removed at substantially the same rate along the various crystallographic orientations of the substrate semiconductor region 10. Preferably, the high etch rate crystallographic facets 50 are parallel to the original semiconductor surface 11. Alternatively, the high etch rate crystallographic facet 50 may not be parallel to the original semiconductor surface 11. The portions of the substrate semiconductor region 10 underneath the spacers (40, 42) are undercut during the isotropic etch. Further, the line at which a low etch rate crystallographic facet 51 adjoins the shallow trench isolation (STI) 20 is recessed downward along a sidewall of the STI 20. The cavity in the structure in FIG. 3 is thus enlarged to enable incorporation of more embedded semiconductor material into the semiconductor structure. Thus, the combination of the crystallographic anisotropic etch and the isotropic etch produces at least one cavity surrounded by crystallographic facets adjoined by two ridges on the substrate semiconductor region 10.
  • Referring to FIG. 10, an embedded semiconductor material is deposited on the crystallographic facets (50, 51) of the substrate semiconductor region 10 preferably by selective epitaxy as in the first embodiment. The requirements for the composition, crystal structure, and lattice constants are the same as in the first embodiment. Preferably, the selective epitaxy process provides a higher growth rate to surfaces that are parallel to original semiconductor surface 11 than to surfaces that are parallel to the low etch rate crystallographic facets 51. This causes the growth surface of the embedded semiconductor material to be parallel to the original semiconductor surface 11. The growth of the embedded semiconductor material may be pegged, however, at a pegging line P along a sidewall of the shallow trench isolation 20. A facet develops from the pegging line P upward and at an angle from the sidewall on which the pegging liner P is formed. The embedded semiconductor material thus forms pentagonal embedded semiconductor regions 60C, i.e., embedded semiconductor regions with a pentagonal cross-sectional area.
  • Through the same mechanism as in the first embodiment, the strained embedded semiconductor region 60C exerts stress on neighboring semiconductor structures. Also, the variety of the material that may be used for the embedded pentagonal semiconductor region 60C is determined by the crystal structure and the lattice constant of the substrate semiconductor region 10.
  • As in the first embodiment, each of the facets 51 (in FIG. 9) of the substrate semiconductor region 10 adjoins a facet of the pentagonal embedded semiconductor region 60C that is located directly across the boundary between the pentagonal embedded semiconductor region 60C and the substrate semiconductor region 10. At a ridge where two facets belonging to the substrate semiconductor region 10 are adjoined, two other facets belonging to the triangular pentagonal embedded semiconductor region 60C are also adjoined.
  • Referring to FIG. 11, a variant of the third exemplary semiconductor structure is shown, wherein the selective epitaxy process is prolonged after the embedded semiconductor region 60C reaches a top surface of the STI 20. Two more facets are added to the cross-sectional area of the embedded semiconductor region 60C. The pentagonal embedded semiconductor region 60C in FIG. 7 grows into a heptagonal embedded semiconductor region 60C′, i.e., an embedded semiconductor region that has a heptagonal cross-sectional area. Further, it is herein explicitly contemplated that the selective epitaxy process may be terminated before the growth of the embedded semiconductor region reaches the original semiconductor surface 11, resulting in a trapezoidal or pentagonal embedded semiconductor region (not shown).
  • Referring to FIG. 12, a fourth exemplary structure according to a fourth embodiment of the present invention is shown. A first exposed semiconductor surface 11A adjoining shallow trench isolation 20 and second and third exposed semiconductor surfaces (11B and 11C, respectively), each of which adjoin a pair of gate structures, are shown. The distance between the adjoining gate structures is greater for the second exposed semiconductor surface 11B than for the third exposed semiconductor surface 11C.
  • Referring to FIG. 13, the substrate semiconductor region 10 is recessed by a reactive ion etch (RIE). The reactive ion etch is anisotropic and forms substantially vertical sidewalls on the recessed portions of the substrate semiconductor region 10. The depth of the reactive ion etch may be in the range from about 3 nm to about 100 nm, and preferably in the range from about 5 nm to about 20 nm.
  • Referring to FIG. 14, a crystallographic anisotropic etch performed on the exposed semiconductor surfaces (11A, 11B, 11C) forms both high etch rate crystallographic facets 50 and low etch rate crystallographic facets 51. The substrate semiconductor material is etched fast in the direction perpendicular to the high etch rate crystallographic facets 50, while the low etch rate crystallographic facets 51 tend to grow in size laterally until the they meet another low etch rate crystallographic facet 51. A first, second, and third cavities (C1, C2, C3, respectively) that are surrounded by crystallographic facets are formed underneath each of the three original exposed semiconductor surfaces (11A, 11B, 11C in FIG. 12) by the crystallographic anisotropic etch. Depending on the duration of the crystallographic anisotropic etch, a high etch rate crystallographic facet 50 may or may not be present in a cavity.
  • For example, the crystallographic anisotropic etch may proceed such that the first cavity C1 comprises three low etch rate crystallographic facets 51 and does not contain a high etch rate crystallographic facet 50. The second cavity C2, formed underneath the second exposed semiconductor surface 11B, comprises one high etch rate crystallographic facet 50 and four low etch rate crystallographic facets 51. The third cavity C3, formed underneath the third exposed semiconductor surface 11C, comprises three low etch rate crystallographic facets 51 and does not contain a high etch rate crystallographic facet 50. It is understood that a high etch rate crystallographic facet 50 may be present in the first and third cavities (C1, C3) if the crystallographic anisotropic etch is shortened.
  • Some of the crystallographic facets in the fourth embodiment are “retro-facets” that face downward, i.e., crystallographic facets in which a surface normal toward the cavity (C1, C2, or C3) has a downward component. The retro-facets are formed because the crystallographic anisotropic etch is pegged at the edge of the gate spacers (40, 42). At a microscopic level, as individual atoms of the substrate semiconductor region 10 are removed by the crystallographic anisotropic etch, microscopic facets are formed around the edge of the gate spacers (40, 42). While a microscopic facet with a high etch rate is etched during the crystallographic anisotropic etch, a microscopic facet with a low etch rate is locked in its place and grows only laterally as the etch front of an adjacent high etch rate crystallographic facet moves into the substrate semiconductor region 10.
  • Referring to FIG. 15, an embedded semiconductor material is deposited on the crystallographic facets (50, 51) of the substrate semiconductor region 10 preferably by selective epitaxy as in the first embodiment. The requirements for the composition, crystal structure, and lattice constants are the same as in the first embodiment. Preferably, the selective epitaxy process provides a higher growth rate to surfaces that are parallel to the original semiconductor surface 11 than to surfaces that are parallel to the low etch rate crystallographic facets 51. This causes the growth surface of the embedded semiconductor material to be parallel to the original semiconductor surface 11. The embedded semiconductor material thus forms a first pentagonal embedded semiconductor region 60D in the first cavity C1, a hexagonal embedded semiconductor region 60E in the second cavity C2, and a second pentagonal embedded semiconductor region 60F in the third cavity C3. The pentagonal embedded semiconductor regions (60D, 60F) have a pentagonal cross-sectional area and the hexagonal embedded semiconductor region 60E has a hexagonal cross-sectional area.
  • Through the same mechanism as in the first embodiment, the strained embedded semiconductor regions (60D, 60E, 60F) exerts stress on neighboring semiconductor structures. Also, the variety of the material that may be used for the embedded triangular semiconductor regions (60D, 60E, 60F) is determined by the crystal structure and the lattice constant of the substrate semiconductor region 10. The strained embedded semiconductor regions may be enclosed by substrate semiconductor regions 10 up to the level of the original semiconductor surface (11B, 11C) as in the case of the hexagonal embedded semiconductor region 60E and the second pentagonal embedded semiconductor region 60F. Alternatively, the strained embedded semiconductor regions may contact the shallow trench isolation 20 at an edge of a crystallographic facet, in which the edge is also a ridge adjoining two crystallographic facets, as is the case with the first pentagonal embedded semiconductor region 60D. The edge forms a pegging line P, which is recessed from the top surface of the STI 20 by a depth on the order of the depth of the recess RIE.
  • As in the first embodiment, each of the facets 51 (in FIG. 14) of the substrate semiconductor region 10 adjoins a facet of one of the embedded semiconductor regions (60D, 60E, or 60F) that is located directly across the boundary between the embedded semiconductor regions (60D, 60E, or 60F) and the substrate semiconductor region 10. At a ridge where two facets belonging to the substrate semiconductor region 10 are adjoined, two other facets belonging to the same embedded semiconductor regions (60D, 60E, or 60F) are also adjoined.
  • Referring to FIG. 16, a variant of the fourth exemplary semiconductor structure is shown, wherein the selective epitaxy process is prolonged after the embedded semiconductor regions (60D, 60E, 60F) reaches a top surface of the STI 20. More facets are added to the cross-sectional area of the embedded semiconductor regions (60D, 60E, 60F). The additional facets above the level of the original semiconductor surfaces (11A, 11B, 11C) may, or may not, be parallel to the low etch rate crystallographic facets 51. Top surfaces of the embedded semiconductor regions (60D, 60E, 60F) are located above the level of the original semiconductor surfaces (11A, 11B, 11C). Further, it is herein explicitly contemplated that the selective epitaxy process may be terminated before the growth of the embedded semiconductor region reaches the original semiconductor surfaces (11A, 11B, 11C), resulting in a embedded semiconductor region (not shown) with top surfaces located at a level lower than the original semiconductor surfaces (11A, 11B, 11C).
  • Referring to FIG. 17, a fifth exemplary structure according to a fifth embodiment of the present invention is shown. The semiconductor structure in FIG. 17 is formed by subjecting the fourth exemplary semiconductor structure shown in FIG. 14 to a subsequent isotropic etch. During the isotropic etch, the substrate semiconductor material is removed at substantially the same rate along the various crystallographic orientations of the substrate semiconductor region 10. Preferably, the high etch rate crystallographic facets 50 are parallel to the original semiconductor surface 11. Alternatively, the high etch rate crystallographic facet 50 may not be parallel to the original semiconductor surface 11. The portions of the substrate semiconductor region 10 underneath the spacers (40, 42) are undercut during the isotropic etch. Further, the line at which a low etch rate crystallographic facet 51 adjoins the shallow trench isolation (STI) 20 is recessed downward along a sidewall of the STI 20. The cavity in the structure in FIG. 3 is thus enlarged to enable incorporation of more embedded semiconductor material into the semiconductor structure. The combination of the crystallographic anisotropic etch and the isotropic etch produces enlarges the cavities (C1, C2, C3) of FIG. 14 to subsequently accommodate an increased volume of embedded semiconductor material in the semiconductor substrate 2 according to the fifth embodiment.
  • Referring to FIG. 18, an embedded semiconductor material is deposited on the crystallographic facets (50, 51) of the substrate semiconductor region 10 preferably by selective epitaxy as in the first embodiment. The requirements for the composition, crystal structure, and lattice constants are the same as in the first embodiment. Preferably, the selective epitaxy process provides a higher growth rate to surfaces that are parallel to original semiconductor surfaces (11A, 11B, 11C) than to surfaces that are parallel to the low etch rate crystallographic facets 51. This causes the growth surface of the embedded semiconductor material to be parallel to the original semiconductor surface 11. The growth of the embedded semiconductor material may be pegged, however, at a pegging line P along a sidewall of the shallow trench isolation 20. A facet develops from the pegging line P upward and at an angle from the sidewall on which the pegging liner P is formed.
  • The embedded semiconductor material thus forms a first pentagonal embedded semiconductor region 60G in the first enlarged cavity C1, a hexagonal embedded semiconductor region 60H in the second enlarged cavity C2, and a second pentagonal embedded semiconductor region 60I in the third enlarged cavity C3. The pentagonal embedded semiconductor regions (60G, 60I) have a pentagonal cross-sectional area and the hexagonal embedded semiconductor region 60H has a hexagonal cross-sectional area.
  • Through the same mechanism as in the first embodiment, the strained embedded semiconductor regions (60G, 60H, 60I) exert stress on neighboring semiconductor structures. Also, the variety of the material that may be used for the embedded pentagonal semiconductor regions (60G, 60H, 60I) is determined by the crystal structure and the lattice constant of the substrate semiconductor region 10.
  • As in the first embodiment, each of the facets 51 (in FIG. 17) of the substrate semiconductor region 10 adjoins a facet of one of the polygonal embedded semiconductor regions (60G, 60H, or 60I) that is located directly across the boundary between the polygonal embedded semiconductor region (60G, 60H, or 60I) and the substrate semiconductor region 10. At a ridge where two facets belonging to the substrate semiconductor region 10 are adjoined, two other facets belonging to the same polygonal embedded semiconductor region (60G, 60H, or 60I) are also adjoined.
  • Referring to FIG. 19, a variant of the fifth exemplary semiconductor structure is shown, wherein the selective epitaxy process is prolonged after the embedded semiconductor regions (60G, 60H, 60I) reaches a top surface of the STI 20. More facets are added to the cross-sectional area of the embedded semiconductor regions (60G, 60H, 60I). Further, it is herein explicitly contemplated that the selective epitaxy process may be terminated before the growth of the embedded semiconductor region reaches the original semiconductor surfaces (11A, 11B, 11C), resulting in a embedded semiconductor region (not shown) with top surfaces located at a level lower than the original semiconductor surfaces (11A, 11B, 11C).
  • While the invention has been described in terms of specific embodiments, it is evident in view of the foregoing description that numerous alternatives, modifications and variations will be apparent to those skilled in the art. Accordingly, the invention is intended to encompass all such alternatives, modifications and variations which fall within the scope and spirit of the invention and the following claims.

Claims (20)

1. A semiconductor structure comprising:
a substrate semiconductor region including a substrate semiconductor material and located within a semiconductor substrate;
at least one embedded semiconductor region including an embedded semiconductor material and located within said semiconductor substrate, wherein said embedded semiconductor material and said semiconductor substrate material have different material compositions; and
at least one boundary where at least two first facets of said substrate semiconductor region adjoin at least two second facets of said at least one embedded semiconductor region, wherein adjoined facets across said boundary have the same crystallographic orientation, and said first facets and said second facets are adjoined by a ridge.
2. The semiconductor structure of claim 1, wherein a vertical cross-section perpendicular to said ridge is a polygon having at least three sides, wherein at least three surfaces of said embedded semiconductor region containing said at least three sides of said polygon are crystallographic surfaces selected from the group consisting of {100}, {110}, {111}, {211}, {221}, {311}, {321}, {331}, and {332}.
3. The semiconductor structure of claim 2, wherein said polygon has at least four sides, and three surfaces of said embedded semiconductor region containing three of said at least four sides directly contact said substrate semiconductor region with epitaxial alignment.
4. The semiconductor structure of claim 3, wherein two surfaces of said embedded semiconductor region containing two parallel sides of said four sides of said polygon comprise a set of two facets having the same orientation as said semiconductor substrate.
5. The semiconductor structure of claim 2, wherein said polygon has at least five sides, and at least three surfaces of said embedded semiconductor region containing at least three of said at least five sides directly contact said substrate semiconductor region with epitaxial alignment.
6. The semiconductor structure of claim 5, wherein a surface of said embedded semiconductor region not adjoining said substrate semiconductor region comprises a facet having the same orientation as said semiconductor substrate.
7. The semiconductor structure of claim 2, wherein said polygon has at least six sides, and at least five surfaces of said embedded semiconductor region containing at least five of said at least six sides directly contact said substrate semiconductor region with epitaxial alignment.
8. The semiconductor structure of claim 7, wherein two surfaces of said embedded semiconductor region containing two of said six sides of said polygon comprise a set of two facets having the same orientation as said semiconductor substrate.
9. The semiconductor structure of claim 2, wherein said polygon has at least three sides, said semiconductor substrate is a (001) orientation substrate, and at least three surfaces of said embedded semiconductor region containing said three sides comprise a (001) facet and a set of two {110} facets.
10. The semiconductor structure of claim 1, wherein said embedded semiconductor region abuts a bottom surface of a gate spacer of an insulated gate field effect transistor (IGFET).
11. The semiconductor structure of claim 1, wherein said substrate semiconductor material and said embedded semiconductor material have the same crystal structure and a lattice mismatch in the range from 0% to about 10%.
12. The semiconductor structure of claim 1, wherein a surface of said at least one embedded semiconductor region adjoins a sidewall surface of shallow trench isolation.
13. A method of manufacturing a semiconductor structure, comprising:
providing a semiconductor substrate having a substrate semiconductor region and at least one exposed semiconductor surface;
subjecting said at least one exposed semiconductor surface to a crystallographic anisotropic etch;
forming at least one cavity with crystallographic facets within said semiconductor substrate; and
forming at least one embedded semiconductor region by filling said at least one cavity with an embedded semiconductor material, wherein said embedded semiconductor material is epitaxially aligned with said substrate semiconductor material.
14. The method of claim 13, further comprising recessing said at least one exposed semiconductor surface with a reactive ion etch prior to forming said at least one cavity.
15. The method of claim 13, further comprising performing an isotropic etch on said at least one cavity of said semiconductor substrate.
16. The method of claim 13, further comprising exposing a bottom surface of a spacer of a insulated gate field effect transistor (IGFET).
17. The method of claim 13, wherein said embedded semiconductor region and said substrate semiconductor region have different material compositions and said embedded semiconductor region applies stress to said substrate semiconductor region.
18. The method of claim 13, further comprising forming at least one boundary where at least two first facets of said substrate semiconductor region adjoin at least two second facets of said at least one embedded semiconductor region, wherein adjoined facets across said boundary have the same crystallographic orientation, and said first facets and said second facets are adjoined by a ridge.
19. The method of claim 18, wherein a vertical cross-section perpendicular to said ridge is a polygon with at least three sides, wherein surfaces of said embedded semiconductor region containing said at least three sides of said polygon are crystallographic surfaces selected from the group consisting of {100}, {110}, {111}, {211}, {221}, {311}, {321}, {331}, and {332}.
20. The method of claim 19, wherein said semiconductor substrate is a (001) orientation silicon substrate and said surfaces of said embedded semiconductor region comprise at least one (001) surface and at least two {110} surfaces.
US11/693,792 2007-03-30 2007-03-30 Crystallographic recess etch for embedded semiconductor region Abandoned US20080237634A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/693,792 US20080237634A1 (en) 2007-03-30 2007-03-30 Crystallographic recess etch for embedded semiconductor region

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/693,792 US20080237634A1 (en) 2007-03-30 2007-03-30 Crystallographic recess etch for embedded semiconductor region

Publications (1)

Publication Number Publication Date
US20080237634A1 true US20080237634A1 (en) 2008-10-02

Family

ID=39792678

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/693,792 Abandoned US20080237634A1 (en) 2007-03-30 2007-03-30 Crystallographic recess etch for embedded semiconductor region

Country Status (1)

Country Link
US (1) US20080237634A1 (en)

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100090289A1 (en) * 2008-10-10 2010-04-15 Advanced Micro Devices, Inc. Semiconductor devices having faceted silicide contacts, and related fabrication methods
US20100193881A1 (en) * 2009-01-30 2010-08-05 Stephan Kronholz Reduction of thickness variations of a threshold semiconductor alloy by reducing patterning non-uniformities prior to depositing the semiconductor alloy
US20100219474A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Transistor comprising an embedded semiconductor alloy in drain and source regions extending under the gate electrode
US20100289094A1 (en) * 2009-05-15 2010-11-18 Carsten Reichel Enhancing deposition uniformity of a channel semiconductor alloy by an in situ etch process
US20110186938A1 (en) * 2010-02-04 2011-08-04 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
US20110294269A1 (en) * 2010-05-31 2011-12-01 Globalfoundries Inc. Transistor With Embedded Strain-Inducing Material Formed in Diamond-Shaped Cavities Based on a Pre-Amorphization
US20120025201A1 (en) * 2010-07-30 2012-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted Trapezoidal Recess for Epitaxial Growth
US20120097977A1 (en) * 2010-10-22 2012-04-26 Renesas Electronics Corporation Semiconductor device and a method for manufacturing a semiconductor device
CN102810481A (en) * 2011-06-02 2012-12-05 中芯国际集成电路制造(北京)有限公司 Manufacturing method of semiconductor device
US20120306027A1 (en) * 2011-05-30 2012-12-06 Globalfoundries Inc. Transistors with embedded strain-inducing material formed in cavities provided by an oxidizing etch process
CN102867749A (en) * 2011-07-06 2013-01-09 中芯国际集成电路制造(上海)有限公司 Method for forming MOS (metal oxide semiconductor) transistor
US20130045589A1 (en) * 2011-08-19 2013-02-21 Seokhoon Kim Semiconductor devices and methods of manufacturing the same
US20130069160A1 (en) * 2011-09-15 2013-03-21 International Business Machines Corporation Trench isolation structure
US20130084682A1 (en) * 2011-09-29 2013-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US8415250B2 (en) * 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20130119444A1 (en) * 2011-11-15 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
CN103219340A (en) * 2012-01-23 2013-07-24 台湾积体电路制造股份有限公司 Halbleiterstruktur und verfahren zu deren herstellung
US8507386B2 (en) 2010-09-13 2013-08-13 Texas Instruments Incorporated Lateral uniformity in silicon recess etch
US20130244389A1 (en) * 2011-01-05 2013-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
US20130252392A1 (en) * 2010-05-20 2013-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Performing Enhanced Cleaning in the Formation of MOS Devices
US20130313647A1 (en) * 2012-05-23 2013-11-28 International Business Machines Corporation Forming facet-less epitaxy with a cut mask
CN103594374A (en) * 2012-08-17 2014-02-19 中国科学院微电子研究所 Manufacturing method for semiconductor device
CN103594367A (en) * 2012-08-15 2014-02-19 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
US20140312430A1 (en) * 2011-03-23 2014-10-23 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US20140319581A1 (en) * 2010-11-30 2014-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. High Performance Strained Source-Drain Structure and Method of Fabricating the Same
US8900978B1 (en) * 2013-05-30 2014-12-02 Stmicroelectronics, Inc. Methods for making a semiconductor device with shaped source and drain recesses and related devices
US8946064B2 (en) 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
CN104681557A (en) * 2013-11-28 2015-06-03 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US9112031B2 (en) 2013-11-08 2015-08-18 International Business Machines Corporation Reduced resistance finFET device with late spacer self aligned contact
US20150318382A1 (en) * 2013-02-01 2015-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Forming Semiconductor Regions in Trenches
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9412843B2 (en) 2014-05-23 2016-08-09 International Business Machines Corporation Method for embedded diamond-shaped stress element
US9425287B2 (en) 2010-05-20 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US9673328B2 (en) 2010-05-28 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
US20170213892A1 (en) * 2013-06-28 2017-07-27 Intel Corporation NANOSTRUCTURES AND NANOFEATURES WITH Si (111) PLANES ON Si (100) WAFERS FOR III-N EPITAXY
US9842930B2 (en) * 2011-10-04 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US20180145132A1 (en) * 2016-11-21 2018-05-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US10573751B2 (en) 2012-01-23 2020-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
US10825738B2 (en) 2013-11-28 2020-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
US10861748B2 (en) 2013-11-28 2020-12-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050285203A1 (en) * 2004-06-24 2005-12-29 Fujitsu Limited Semiconductor device, method of manufacturing the same, and method of evaluating semiconductor device
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20070004123A1 (en) * 2005-06-30 2007-01-04 Bohr Mark T Transistor with improved tip profile and method of manufacture thereof
US20070249168A1 (en) * 2006-04-20 2007-10-25 Texas Instruments Incorporated Crystallographic preferential etch to define a recessed-region for epitaxial growth
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7560758B2 (en) * 2006-06-29 2009-07-14 International Business Machines Corporation MOSFETs comprising source/drain recesses with slanted sidewall surfaces, and methods for fabricating the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050285203A1 (en) * 2004-06-24 2005-12-29 Fujitsu Limited Semiconductor device, method of manufacturing the same, and method of evaluating semiconductor device
US20060138398A1 (en) * 2004-12-28 2006-06-29 Fujitsu Limited Semiconductor device and fabrication method thereof
US20070004123A1 (en) * 2005-06-30 2007-01-04 Bohr Mark T Transistor with improved tip profile and method of manufacture thereof
US20070249168A1 (en) * 2006-04-20 2007-10-25 Texas Instruments Incorporated Crystallographic preferential etch to define a recessed-region for epitaxial growth
US7560758B2 (en) * 2006-06-29 2009-07-14 International Business Machines Corporation MOSFETs comprising source/drain recesses with slanted sidewall surfaces, and methods for fabricating the same
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication

Cited By (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010051133A3 (en) * 2008-10-10 2010-10-07 Advanced Micro Devices, Inc. Semiconductor devices having faceted silicide contacts, and related fabrication methods
US20100090289A1 (en) * 2008-10-10 2010-04-15 Advanced Micro Devices, Inc. Semiconductor devices having faceted silicide contacts, and related fabrication methods
US7994014B2 (en) 2008-10-10 2011-08-09 Advanced Micro Devices, Inc. Semiconductor devices having faceted silicide contacts, and related fabrication methods
DE102009006886B4 (en) * 2009-01-30 2012-12-06 Advanced Micro Devices, Inc. Reducing thickness variations of a threshold adjusting semiconductor alloy by reducing the patterning non-uniformities before depositing the semiconductor alloy
US20100193881A1 (en) * 2009-01-30 2010-08-05 Stephan Kronholz Reduction of thickness variations of a threshold semiconductor alloy by reducing patterning non-uniformities prior to depositing the semiconductor alloy
DE102009006886A1 (en) * 2009-01-30 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Reducing thickness variations of a threshold adjusting semiconductor alloy by reducing the patterning non-uniformities before depositing the semiconductor alloy
US8361858B2 (en) 2009-01-30 2013-01-29 Advanced Micro Devices, Inc. Reduction of thickness variations of a threshold semiconductor alloy by reducing patterning non-uniformities prior to depositing the semiconductor alloy
US20100219474A1 (en) * 2009-02-27 2010-09-02 Stephan Kronholz Transistor comprising an embedded semiconductor alloy in drain and source regions extending under the gate electrode
US8460980B2 (en) * 2009-02-27 2013-06-11 Globalfoundries Inc. Transistor comprising an embedded semiconductor alloy in drain and source regions extending under the gate electrode
DE102009021489B4 (en) * 2009-05-15 2012-01-12 Globalfoundries Dresden Module One Llc & Co. Kg Increasing deposition uniformity for a semiconductor alloy provided for threshold adjustment in an active region
US8674416B2 (en) 2009-05-15 2014-03-18 Globalfoundries Inc. Semiconductor device with reduced threshold variability having a threshold adjusting semiconductor alloy in the device active region
US8324119B2 (en) 2009-05-15 2012-12-04 Globalfoundries Inc. Enhancing deposition uniformity of a channel semiconductor alloy by an in situ etch process
DE102009021489A1 (en) * 2009-05-15 2010-11-18 Globalfoundries Dresden Module One Llc & Co. Kg Increasing the deposition uniformity for a semiconductor alloy by an in-situ etching process
US20100289094A1 (en) * 2009-05-15 2010-11-18 Carsten Reichel Enhancing deposition uniformity of a channel semiconductor alloy by an in situ etch process
US20110186938A1 (en) * 2010-02-04 2011-08-04 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
US8604564B2 (en) 2010-02-04 2013-12-10 International Business Machine Corporation Semiconductor structures and methods of manufacturing the same
US9013008B2 (en) 2010-02-04 2015-04-21 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
US8278164B2 (en) 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
US9064688B2 (en) * 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9653574B2 (en) 2010-05-20 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US20130252392A1 (en) * 2010-05-20 2013-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Performing Enhanced Cleaning in the Formation of MOS Devices
US9425287B2 (en) 2010-05-20 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9673328B2 (en) 2010-05-28 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
US20110294269A1 (en) * 2010-05-31 2011-12-01 Globalfoundries Inc. Transistor With Embedded Strain-Inducing Material Formed in Diamond-Shaped Cavities Based on a Pre-Amorphization
US8664056B2 (en) * 2010-05-31 2014-03-04 Globalfoundries Inc. Transistor with embedded strain-inducing material formed in diamond-shaped cavities based on a pre-amorphization
US20120025201A1 (en) * 2010-07-30 2012-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted Trapezoidal Recess for Epitaxial Growth
US9583379B2 (en) 2010-07-30 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted trapezoidal recess for epitaxial growth
US9184050B2 (en) * 2010-07-30 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted trapezoidal recess for epitaxial growth
US8507386B2 (en) 2010-09-13 2013-08-13 Texas Instruments Incorporated Lateral uniformity in silicon recess etch
JP2012089784A (en) * 2010-10-22 2012-05-10 Renesas Electronics Corp Semiconductor device and method for manufacturing the same
US20120097977A1 (en) * 2010-10-22 2012-04-26 Renesas Electronics Corporation Semiconductor device and a method for manufacturing a semiconductor device
US9293537B2 (en) * 2010-11-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. High performance strained source-drain structure and method of fabricating the same
US20140319581A1 (en) * 2010-11-30 2014-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. High Performance Strained Source-Drain Structure and Method of Fabricating the Same
US20130244389A1 (en) * 2011-01-05 2013-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
US8946060B2 (en) * 2011-01-05 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing strained semiconductor devices with facets
US9640658B2 (en) 2011-03-23 2017-05-02 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US9136176B2 (en) * 2011-03-23 2015-09-15 Samsung Electronics Co., Ltd. Semiconductor devices including an epitaxial layer with a slanted surface
US20140312430A1 (en) * 2011-03-23 2014-10-23 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US9236475B2 (en) * 2011-03-23 2016-01-12 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US20130119483A1 (en) * 2011-04-29 2013-05-16 International Business Machines Corporation Silicide contacts having different shapes on regions of a semiconductor device
US8415250B2 (en) * 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8643122B2 (en) * 2011-04-29 2014-02-04 International Business Machines Corporation Silicide contacts having different shapes on regions of a semiconductor device
US8674458B2 (en) * 2011-05-30 2014-03-18 Globalfoundries Inc. Transistors with embedded strain-inducing material formed in cavities provided by an oxidizing etch process
US20120306027A1 (en) * 2011-05-30 2012-12-06 Globalfoundries Inc. Transistors with embedded strain-inducing material formed in cavities provided by an oxidizing etch process
CN102810481A (en) * 2011-06-02 2012-12-05 中芯国际集成电路制造(北京)有限公司 Manufacturing method of semiconductor device
US8946064B2 (en) 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
CN102867749A (en) * 2011-07-06 2013-01-09 中芯国际集成电路制造(上海)有限公司 Method for forming MOS (metal oxide semiconductor) transistor
US20130045589A1 (en) * 2011-08-19 2013-02-21 Seokhoon Kim Semiconductor devices and methods of manufacturing the same
US8883651B2 (en) * 2011-08-19 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
DE102012215365B4 (en) * 2011-09-15 2014-11-13 International Business Machines Corporation A method of forming a trench isolation structure and epitaxial source / drain regions
US20130069160A1 (en) * 2011-09-15 2013-03-21 International Business Machines Corporation Trench isolation structure
US8623713B2 (en) * 2011-09-15 2014-01-07 International Business Machines Corporation Trench isolation structure
US20130146985A1 (en) * 2011-09-15 2013-06-13 International Business Machines Corporation Trench isolation structure
US8704310B2 (en) * 2011-09-15 2014-04-22 International Business Machines Corporation Trench isolation structure
US8835267B2 (en) * 2011-09-29 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9728641B2 (en) 2011-09-29 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US9412868B2 (en) 2011-09-29 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US20130084682A1 (en) * 2011-09-29 2013-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US10854748B2 (en) * 2011-10-04 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first and second epitaxial materials
US9842930B2 (en) * 2011-10-04 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US20180108777A1 (en) * 2011-10-04 2018-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first and second epitaxial materials
US11257951B2 (en) 2011-10-04 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making semiconductor device having first and second epitaxial materials
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US20130119444A1 (en) * 2011-11-15 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US9917192B2 (en) 2012-01-23 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistors with line end extension
US10573751B2 (en) 2012-01-23 2020-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
US11721761B2 (en) 2012-01-23 2023-08-08 Mosaid Technologies Incorporated Structure and method for providing line end extensions for fin-type active regions
US11239365B2 (en) 2012-01-23 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
CN103219340A (en) * 2012-01-23 2013-07-24 台湾积体电路制造股份有限公司 Halbleiterstruktur und verfahren zu deren herstellung
US20130187237A1 (en) * 2012-01-23 2013-07-25 Taiwan Semiconductor Manufacturing Company, Ltd, Structure and method for transistor with line end extension
US20130313647A1 (en) * 2012-05-23 2013-11-28 International Business Machines Corporation Forming facet-less epitaxy with a cut mask
US8658486B2 (en) * 2012-05-23 2014-02-25 International Business Machines Corporation Forming facet-less epitaxy with a cut mask
CN103594367A (en) * 2012-08-15 2014-02-19 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
CN103594374A (en) * 2012-08-17 2014-02-19 中国科学院微电子研究所 Manufacturing method for semiconductor device
US9780174B2 (en) * 2013-02-01 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US20150318382A1 (en) * 2013-02-01 2015-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Forming Semiconductor Regions in Trenches
US8900978B1 (en) * 2013-05-30 2014-12-02 Stmicroelectronics, Inc. Methods for making a semiconductor device with shaped source and drain recesses and related devices
US9190517B2 (en) 2013-05-30 2015-11-17 Stmicroelectronics, Inc. Methods for making a semiconductor device with shaped source and drain recesses and related devices
US20170213892A1 (en) * 2013-06-28 2017-07-27 Intel Corporation NANOSTRUCTURES AND NANOFEATURES WITH Si (111) PLANES ON Si (100) WAFERS FOR III-N EPITAXY
US9112031B2 (en) 2013-11-08 2015-08-18 International Business Machines Corporation Reduced resistance finFET device with late spacer self aligned contact
US10861748B2 (en) 2013-11-28 2020-12-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement and method for manufacturing the same
US10825738B2 (en) 2013-11-28 2020-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
WO2015078104A1 (en) * 2013-11-28 2015-06-04 中国科学院微电子研究所 Semiconductor apparatus and manufacturing method thereof
US9780200B2 (en) 2013-11-28 2017-10-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangement for a FinFET and method for manufacturing the same
CN104681557A (en) * 2013-11-28 2015-06-03 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10340382B2 (en) 2014-01-24 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
DE102014019190B4 (en) 2014-01-24 2024-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD FOR PROVIDING A BODY STRUCTURE HAVING A GATE STRUCTURE
US9412843B2 (en) 2014-05-23 2016-08-09 International Business Machines Corporation Method for embedded diamond-shaped stress element
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US20180145132A1 (en) * 2016-11-21 2018-05-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
TWI750254B (en) * 2016-11-21 2021-12-21 日商瑞薩電子股份有限公司 Semiconductor device and method of manufacturing the same
US10340338B2 (en) * 2016-11-21 2019-07-02 Renesas Electronics Corporation Semiconductor device

Similar Documents

Publication Publication Date Title
US20080237634A1 (en) Crystallographic recess etch for embedded semiconductor region
US11251272B2 (en) Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9431243B2 (en) Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US10205022B2 (en) Method of making a semiconductor device using spacers for source/drain confinement
US9837415B2 (en) FinFET structures having silicon germanium and silicon fins with suppressed dopant diffusion
US10141406B2 (en) Tensile strained NFET and compressively strained PFET formed on strain relaxed buffer
US20120161105A1 (en) Uniaxially strained quantum well device and method of making same
US20080048217A1 (en) Semiconductor device and method of fabricating the same
US9947748B2 (en) Dielectric isolated SiGe fin on bulk substrate
US20100151645A1 (en) Semiconductor device and method of fabricating the same
US8865552B2 (en) Fin field effect transistor and fabrication method
US8431460B2 (en) Method for fabricating semiconductor device
US10600891B2 (en) Smoothing surface roughness of III-V semiconductor fins formed from silicon mandrels by regrowth
US10686033B2 (en) Fin damage reduction during punch through implantation of FinFET device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DYER, THOMAS W.;CHIDAMBARRAO, DURESETI;REEL/FRAME:019090/0972;SIGNING DATES FROM 20070327 TO 20070329

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION