US20080246093A1 - Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern - Google Patents

Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern Download PDF

Info

Publication number
US20080246093A1
US20080246093A1 US12/121,041 US12104108A US2008246093A1 US 20080246093 A1 US20080246093 A1 US 20080246093A1 US 12104108 A US12104108 A US 12104108A US 2008246093 A1 US2008246093 A1 US 2008246093A1
Authority
US
United States
Prior art keywords
spacer
pfet
nfet
silicide
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/121,041
Inventor
Haining S. Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/121,041 priority Critical patent/US20080246093A1/en
Publication of US20080246093A1 publication Critical patent/US20080246093A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Definitions

  • the present invention relates to the fabrication of semiconductor integrated circuits, and more specifically to a structure and method of making a semiconductor integrated circuit which is tolerant of mis-alignment of the metal contact pattern to the gate pattern.
  • a metal contact such as tungsten is used to connect the transistor gate, source/drain, and body to backend wiring.
  • a conventional method for forming a metal contact will be briefly explained.
  • FIGS. 10 and 11 illustrate stages in conventional fabrication of a semiconductor integrated circuit.
  • a conventional method of forming a metal contact in a semiconductor integrated circuit includes a step of forming a gate stack 950 of a positive field effect transistor (PFET) 901 and a gate stack 960 of a negative field effect transistor (NFET) 903 , on a substrate 900 which includes a silicon substrate 902 , a buried oxide (BOX) layer 904 and a semiconductor layer 906 . Then oxide spacers 972 , 982 are formed on side walls of the gate stacks 950 , 960 followed by formation of source drain (S/D) extensions 920 , 922 , 924 , 926 in a semiconductor layer 906 .
  • S/D source drain
  • nitride spacers 974 , 984 are formed on the oxide spacers 972 , 982 respectively. Subsequently, S/D regions 912 , 914 , 916 , 918 are formed. Further, using the nitride side walls 974 , 984 as masks, metal silicide regions 932 , 934 , 936 , 938 are formed on the S/D regions 912 , 914 , 916 , 918 , respectively. Next, a contact liner 988 , commonly Si 3 N 4 , is deposited over the substrate 900 , followed by deposition of an interlayer dielectric layer (IDL) 990 and planerization.
  • IDL interlayer dielectric layer
  • photolithographic and etching techniques are used to pattern the IDL 990 , forming contact openings 992 , 994 , 996 , 998 that expose the silicide on the S/D regions as illustrated in FIG. 11 .
  • the process typically proceeds by a first anisotropic etch process to form openings in the interlayer dielectric 990 stopping on the contact liner 988 , followed by a second anisotropic etch through the contact liner 988 , using the silicide 932 , 934 , 936 , 938 as an etching stop.
  • the pattern for the contact openings is inevitably slightly mis-aligned to the gate pattern.
  • at least a portion of a contact opening may be mis-aligned over the side walls 974 , 984 .
  • the etch process designed to etch away the contact liner 988 typically nitride, has no selectivity to the spacer 974 , 984 , which is also typically nitride. Therefore, at least a part of the spacers 974 , 978 may be etched through, exposing the underlying semiconductor layer 906 .
  • the silicide 932 , 934 , 936 , 938 on the S/D regions 912 , 914 , 916 , 918 are formed by using the side walls 974 , 984 , as masks, no silicide is deposited beneath the spacers 974 , 984 in the semiconductor layer 906 . Accordingly, the exposed portion of the substrate may be etched, causing problems such as a short 993 , 997 between a metal contact and the substrate, and causing unexpected parasitic capacitance.
  • the semiconductor layer 906 is exposed between the bottom of the spacers 974 , 984 and the edges 931 , 933 , 935 , 937 of the silicide 932 , 934 , 936 , 938 even though the spacers 974 , 984 are used as masks in forming silicide 932 , 934 , 936 , 938 , increasing the possibility of causing a short between a metal contact and the substrate.
  • a method of fabricating a field effect transistor includes steps of forming a gate stack on a top surface of a semiconductor substrate and a first spacer formed on a sidewall of the gate stack; forming, in or on the semiconductor substrate, a silicide adjacent to the first spacer; forming a second spacer covering the surface of the first spacer; forming a contact liner over at least the gate stack, the second spacer and the silicide; forming an interlayer dielectric over the contact liner; forming an opening to expose the contact liner over the silicide; and extending the opening through the contact liner to expose the silicide without exposing the substrate.
  • the second spacer further covers at least a portion of the silicide so that the semiconductor layer is not exposed even if a gap between the second spacer and the silicide exists.
  • FIGS. 1 through 7 illustrate stages in fabrication of a PFET and an NFET according to an embodiment of the invention.
  • FIG. 8 illustrates a stage in fabrication of a PFET and an NFET according to another embodiment of the invention.
  • FIG. 9 shows a flow of method for fabricating a semiconductor circuit according to an embodiment of the invention.
  • FIGS. 10 and 11 illustrate conventional stages in fabrication of a PFET and an NFET.
  • FIGS. 1 through 7 illustrate stages in processing to form a PFET 101 and an NFET 103 according to an embodiment of the invention.
  • a PFET 101 and an NFET 103 are formed on a substrate 100 .
  • the substrate 100 preferably includes a silicon substrate 102 , buried oxide (BOX) layer 104 , a semiconductor layer 106 and a trench isolation region 140 .
  • the substrate 100 may be a bulk semiconductor substrate such as silicon.
  • the invention is not limited to silicon substrates but other types of semiconductors such as III-V compound semiconductor materials, e.g. gallium arsenide (GaAs), may be used.
  • the PFET 101 and NFET 103 include gate stacks 150 , 160 , channel regions 108 , 110 , source drain extensions 120 , 122 , 124 , 126 , S/D regions 112 , 114 , 116 , 118 , silicide S/D regions (hereinafter “silicide”) 132 , 134 , 136 , 138 in the S/D regions respectively.
  • the silicide may include, for example, titanium (Ti), cobalt (Co), Nickel (Ni), tungsten (W) or platinum (Pt).
  • the gate stacks 150 , 160 may further include gate dielectric layers 152 , 162 on the channel regions 108 , 110 , and gate conductor portions 154 , 164 , such as polysilicon. Metal lower resistance portions 156 , 166 may also be included in some embodiment.
  • multiple spacers 172 , 174 , 182 , 184 are preferably formed. Alternatively, a single spacer may be deposited on the side walls of each gate stack 150 , 160 .
  • the spacers 174 , 184 preferably include silicon nitride (Si 3 N 4 ). The spacers 174 , 184 are used as masks in the formation of the silicide 132 , 134 , 136 , 138 in the S/D regions 112 , 114 , 116 , 118 .
  • the S/D regions 112 , 114 , 116 , 118 may be raised S/D regions, which may be formed by selective epitaxial growth.
  • the silicide 132 , 134 , 136 , 138 may be formed by a method such as chemical vapor deposition (CVD) of silicide, or metal sputtering followed by an anneal.
  • CVD chemical vapor deposition
  • layers of silicide are formed on the S/D regions 112 , 114 , 116 , 118 .
  • the layers of silicide preferably grow in contact with the outer surfaces of the spacers 174 , 184 so that semiconductor layer 106 is not exposed.
  • the silicide may be formed by metal sputtering which preferably includes the steps of (1) sputtering metal into the S/D regions 112 , 114 , 116 , 118 , using the spacers 174 , 184 as masks, (2) performing a first annealing at about 200 to 500° C., (3) removing non-reacted metal, and (4) performing a second annealing at about 400 to 750° C. Since the spacers 174 , 184 are used as masks, silicide 132 , 134 , 136 , 138 are preferably formed in contact with the bottom of the spacers 174 , 184 so that the semiconductor layer 106 is not exposed.
  • a gap between the silicide 132 , 134 , 136 , 138 and the corresponding spacers 174 , 184 may be formed. That is, the semiconductor layer 106 may exposed between the bottom portion of the spacers 174 , 184 and the edges 133 , 135 , 137 , 139 of the silicide 132 , 134 , 136 , 138 .
  • the dielectric layer 149 is formed over the top surface of the substrate 100 .
  • the dielectric material 149 is different than the mask spacers 174 , 184 ; for example if mask spacers 174 , 184 are nitride, the dielectric layer 149 is preferably oxide.
  • the formation of the layer 149 preferably includes (1) applying a precursor, such as tetra ethyl ortho silicate (TEOS), SiH 4 , SiCl 2 H 2 , over the substrate 100 , and (2) applying heat to grow the oxide layer 149 .
  • TEOS tetra ethyl ortho silicate
  • Heat in step (2) is preferably applied at a temperature so as not to oxidize the silicide 132 , 134 , 136 , 138 .
  • the oxide layer 149 is preferably be grown at a temperature in the range about 300° C. to 400° C.
  • the preferable temperature is about 700° C. or less when the silicide includes Co.
  • the thickness of the oxide layer 149 is preferably about 100 ⁇ to 400 ⁇ , most preferably about 160 ⁇ to 200 ⁇ .
  • the thickness of the oxide layer 149 is preferably thinner than the nitride spacers 174 , 184 , but sufficient thick so as to overlap the edges 133 , 135 , 137 , 139 of the silicide 132 , 134 , 136 , 138 so as to cover any gap between the edges 133 , 135 , 137 , 139 of the silicide and the nitride spacers 174 , 184 .
  • the spacers 174 , 184 , gate stacks 150 , 160 , silicide 132 , 134 , 136 , 138 are covered by the oxide layer 149 .
  • a layer 149 including silicon carbide (SiC) may be deposited.
  • the layer 149 may be formed by SiH4 and CH4 reaction in a CVD chamber.
  • Preferable reaction temperature is about 400° C.
  • Thickness of the formed SiC layer 149 is preferably about 500 to 1000 ⁇ .
  • the oxide layer 149 is etched back by an anisotropic etch, preferably reactive ion etching (RIE), to form spacers 142 , 144 .
  • the spacers 142 , 144 cover the surface of the spacers 174 , 184 respectively.
  • the spacers 142 , 144 overlap the inner edges of the silicide 132 , 134 , 136 , 138 at portions 133 , 135 , 137 , 139 respectively so that junctions between silicide 132 , 134 , 136 , 138 and spacers 174 , 184 are covered by the spacers 142 , 144 . Accordingly, even if a gap exists between the silicide and the spacers 174 , 184 , the oxide layers 142 , 144 cover the gap so that the semiconductor layer 106 is not exposed.
  • RIE reactive ion etching
  • a contact liner 188 is applied over the substrate 100 .
  • the contact liner preferably is a different material than the spacers 142 , 144 , and in the case of oxide spacers, 142 , 144 , preferably includes silicon nitride, for example Si 3 N 4 .
  • the thickness of the contact liner 188 is preferably about 300 to 1500 ⁇ .
  • an interlayer dielectric (ILD) 190 which may include a low-k dielectric material, a dielectric such as borophosphosilicate glass (BPSG) or high density plasma (HDP) oxide, is deposited and planerized.
  • the thickness of the ILD 190 is preferably about 3000 to 5000 ⁇ .
  • a two-step selective etch process is used to form the contact openings.
  • photolithographic and anisotropic etching techniques such as RIE, is used to pattern the interlayer dielectric 190 , to form contact openings 192 , 194 , 196 , 198 that expose the contact liner 188 .
  • the etching is preferably selective to the contact liner 188 so that the etching is stopped on the contact liner 188 .
  • One skilled in the art would be able to arrange conditions for the etching to achieve the desired selectivity.
  • FIG. 5 shows an example where the contact opening pattern is mis-aligned to the gate pattern.
  • the contact openings 194 , 198 are formed with unintended short distances d 1 , d 2 from the gate stacks 150 , 160 respectively.
  • a second etch step uses process conditions where the etching is selective to the spacers 142 , 144 and also selective to the silicide 132 , 134 , 136 , 138 , so that the contact liner 188 is etched back to the surfaces 191 , 193 , 195 , 197 of the silicide.
  • spacers 142 , 144 are not etched back by the second etch process, so that the substrate 100 , specifically the semiconductor layer 106 , is not exposed at the bottom of the contact openings 192 , 194 , 196 , 198 .
  • metal such as Ti, TiN, W
  • contacts 202 , 204 , 206 , 208 by for example sputtering or chemical vapor deposition (CVD), followed by chemical mechanical polishing (CMP).
  • CVD chemical vapor deposition
  • CMP chemical mechanical polishing
  • the final structure is illustrated in FIG. 7 .
  • the contacts 202 , 204 , 206 , 208 are in direct contact with the silicide 132 , 134 , 136 , 138 and are not in contact with the semiconductor layer 106 , in the case of mis-alignment.
  • FIG. 8 illustrates a PFET 301 and an NFET 303 according to another embodiment of the invention.
  • Spacers 374 adjacent the PFET 301 are preferably thicker than spacers 384 adjacent the NFET 303 . It is preferable to make the contact pitch constant for ease of connectivity with upper layers, and not too large to minimize the size of the resulting semiconductor integrated circuit. Therefore, PFET 301 tends to be more prone to mis-alignment of the metal contact to the gate pattern.
  • an additional spacer 340 such as an oxide layer or a SiC layer, according to the present invention is deposited over only a PFET 301 .
  • a second spacer 340 is formed only over spacers 374 on the side walls of a gate stack of the PFET 301 .
  • the spacer 374 preferably has about 750 ⁇ maximum thickness, and more preferably the thickness of the spacer 374 is about 100 to 300 ⁇ .
  • the spacer 340 may be formed by arranging the method as illustrated in FIGS. 1 through 7 to mask an NFET during the formation of the spacer 374 on a gate stack 350 of the PFET 301 .
  • the gate stack 350 of the PFET 301 , the gate stack 360 of the NFET 303 , silicide 332 , 334 , 336 , 338 and spacers 374 , 384 may be formed on the substrate 300 .
  • a contact liner 388 such as silicon nitride, may be formed to first cover only the NFET 303 , while masking the PFET region. The mask is removed from the PFET region.
  • the NFET region is masked and the outer spacer 340 may be formed only on the spacer 374 adjacent the PFET by means of RIE, for example, followed by a formation of a contact liner 388 only on the PFET 301 .
  • the mask over the NFET is removed, and the ILD and contact openings are formed as in FIGS. 4-7 described above.
  • FIG. 9 shows a flow of method for fabricating a semiconductor circuit according to an embodiment of the invention.
  • a gate stack on a top surface of a semiconductor substrate is formed, and then a first spacer is formed on a sidewall of the gate stack (Step 500 ).
  • the first spacer may include multiple spacers, where the outer portion of the first spacer is preferably silicon nitride.
  • a silicide self-aligned to the first spacer is deposited in/or on the semiconductor substrate (Step 502 ).
  • the contact liner is a different material than the second spacer.
  • the contact liner is preferably silicon nitride.
  • the contact liner is used as an etch stop layer in a subsequent first RIE, discussed below.
  • an interlayer dielectric such as a low-k material, BPSG or HDP oxide, over the contact liner is deposited (Step 508 ).
  • the ILD is preferably different than the contact liner.
  • a metal contact opening through the ILD is formed to expose the contact liner over the silicide (Step 510 ).
  • a first RIE of the ILD selective to the contact liner is preferably used.
  • the contact liner is used as an etch stop for the first RIE step.
  • the contact opening is extended through the contact liner to expose the silicide without exposing the substrate (Step 512 ).
  • the extension is preferably performed by a second RIE selective to the second spacer and the silicide. Since the first spacer is covered by the second spacer, the first spacer is not etched through to expose the semiconductor substrate when extending the opening. Therefore, a short between a contact and the semiconductor substrate is prevented.

Abstract

Disclosed is a method of fabricating a field effect transistor. In the method, a gate stack on a top surface of a semiconductor substrate is formed, and then a first spacer is formed on a sidewall of the gate stack. Next, a silicide self-aligned to the first spacer is deposited in/or on the semiconductor substrate. Subsequently a second spacer covering the surface of the first spacer, and a contact liner over at least the gate stack, the second spacer and the silicide, are formed. Then an interlayer dielectric over the contact liner is deposited. Next, a metal contact opening is formed to expose the contact liner over the silicide. Finally, the opening is extended through the contact liner to expose the silicide without exposing the substrate.

Description

    CROSS-REFERENCE TO RELATED PATENT APPLICATIONS
  • This Patent application is a Continuation patent application of U.S. patent application Ser. No. 11/328,609 filed on Jan. 10, 2006, which is a Divisional patent application of U.S. patent application Ser. No. 10/904,330 filed on Nov. 4, 2004, now U.S. Pat. No. 7,217,647.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to the fabrication of semiconductor integrated circuits, and more specifically to a structure and method of making a semiconductor integrated circuit which is tolerant of mis-alignment of the metal contact pattern to the gate pattern.
  • In a semiconductor integrated circuit, a metal contact such as tungsten is used to connect the transistor gate, source/drain, and body to backend wiring. A conventional method for forming a metal contact will be briefly explained.
  • FIGS. 10 and 11 illustrate stages in conventional fabrication of a semiconductor integrated circuit.
  • Referring to FIG. 10, a conventional method of forming a metal contact in a semiconductor integrated circuit includes a step of forming a gate stack 950 of a positive field effect transistor (PFET) 901 and a gate stack 960 of a negative field effect transistor (NFET) 903, on a substrate 900 which includes a silicon substrate 902, a buried oxide (BOX) layer 904 and a semiconductor layer 906. Then oxide spacers 972, 982 are formed on side walls of the gate stacks 950, 960 followed by formation of source drain (S/D) extensions 920, 922, 924, 926 in a semiconductor layer 906. Next, nitride spacers 974, 984 are formed on the oxide spacers 972, 982 respectively. Subsequently, S/ D regions 912, 914, 916, 918 are formed. Further, using the nitride side walls 974, 984 as masks, metal silicide regions 932, 934, 936, 938 are formed on the S/ D regions 912, 914, 916, 918, respectively. Next, a contact liner 988, commonly Si3N4, is deposited over the substrate 900, followed by deposition of an interlayer dielectric layer (IDL) 990 and planerization. Thereafter, photolithographic and etching techniques are used to pattern the IDL 990, forming contact openings 992, 994, 996, 998 that expose the silicide on the S/D regions as illustrated in FIG. 11. The process typically proceeds by a first anisotropic etch process to form openings in the interlayer dielectric 990 stopping on the contact liner 988, followed by a second anisotropic etch through the contact liner 988, using the silicide 932, 934, 936, 938 as an etching stop.
  • In the photolithography, the pattern for the contact openings is inevitably slightly mis-aligned to the gate pattern. Thus, at least a portion of a contact opening may be mis-aligned over the side walls 974, 984. However, the etch process designed to etch away the contact liner 988, typically nitride, has no selectivity to the spacer 974, 984, which is also typically nitride. Therefore, at least a part of the spacers 974, 978 may be etched through, exposing the underlying semiconductor layer 906. Since the silicide 932, 934, 936, 938 on the S/ D regions 912, 914, 916, 918 are formed by using the side walls 974, 984, as masks, no silicide is deposited beneath the spacers 974, 984 in the semiconductor layer 906. Accordingly, the exposed portion of the substrate may be etched, causing problems such as a short 993, 997 between a metal contact and the substrate, and causing unexpected parasitic capacitance.
  • Further occasionally the semiconductor layer 906 is exposed between the bottom of the spacers 974, 984 and the edges 931, 933, 935, 937 of the silicide 932, 934, 936, 938 even though the spacers 974, 984 are used as masks in forming silicide 932, 934, 936, 938, increasing the possibility of causing a short between a metal contact and the substrate.
  • Accordingly, there is a need for a structure and method of forming a metal contact that is tolerant of misalignment of the contact pattern to the gate pattern and avoids shorts between the contact and substrate.
  • SUMMARY OF THE INVENTION
  • According to an aspect of the invention, a method of fabricating a field effect transistor is provided. The method includes steps of forming a gate stack on a top surface of a semiconductor substrate and a first spacer formed on a sidewall of the gate stack; forming, in or on the semiconductor substrate, a silicide adjacent to the first spacer; forming a second spacer covering the surface of the first spacer; forming a contact liner over at least the gate stack, the second spacer and the silicide; forming an interlayer dielectric over the contact liner; forming an opening to expose the contact liner over the silicide; and extending the opening through the contact liner to expose the silicide without exposing the substrate.
  • In another aspect of the invention, the second spacer further covers at least a portion of the silicide so that the semiconductor layer is not exposed even if a gap between the second spacer and the silicide exists.
  • These, and other aspects of the present invention will be better appreciated and understood when considered in conjunction with the following description and the accompanying drawings, which are not necessarily drawn to scale.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 through 7 illustrate stages in fabrication of a PFET and an NFET according to an embodiment of the invention.
  • FIG. 8 illustrates a stage in fabrication of a PFET and an NFET according to another embodiment of the invention.
  • FIG. 9 shows a flow of method for fabricating a semiconductor circuit according to an embodiment of the invention.
  • FIGS. 10 and 11 illustrate conventional stages in fabrication of a PFET and an NFET.
  • DETAILED DESCRIPTION
  • FIGS. 1 through 7 illustrate stages in processing to form a PFET 101 and an NFET 103 according to an embodiment of the invention.
  • Firstly, as shown in FIG. 1, a PFET 101 and an NFET 103 are formed on a substrate 100. The substrate 100 preferably includes a silicon substrate 102, buried oxide (BOX) layer 104, a semiconductor layer 106 and a trench isolation region 140. Alternatively, the substrate 100 may be a bulk semiconductor substrate such as silicon. However, the invention is not limited to silicon substrates but other types of semiconductors such as III-V compound semiconductor materials, e.g. gallium arsenide (GaAs), may be used.
  • The PFET 101 and NFET 103 include gate stacks 150, 160, channel regions 108, 110, source drain extensions 120, 122, 124, 126, S/ D regions 112, 114, 116, 118, silicide S/D regions (hereinafter “silicide”) 132, 134, 136, 138 in the S/D regions respectively. The silicide may include, for example, titanium (Ti), cobalt (Co), Nickel (Ni), tungsten (W) or platinum (Pt). The gate stacks 150, 160 may further include gate dielectric layers 152, 162 on the channel regions 108, 110, and gate conductor portions 154, 164, such as polysilicon. Metal lower resistance portions 156, 166 may also be included in some embodiment.
  • Adjacent the side walls of the gate stacks 150, 160, multiple spacers 172, 174, 182, 184 are preferably formed. Alternatively, a single spacer may be deposited on the side walls of each gate stack 150, 160. The spacers 174, 184 preferably include silicon nitride (Si3N4). The spacers 174, 184 are used as masks in the formation of the silicide 132, 134, 136, 138 in the S/ D regions 112, 114, 116, 118.
  • The S/ D regions 112, 114, 116, 118 may be raised S/D regions, which may be formed by selective epitaxial growth.
  • The silicide 132, 134, 136, 138 may be formed by a method such as chemical vapor deposition (CVD) of silicide, or metal sputtering followed by an anneal.
  • For example, using CVD, layers of silicide are formed on the S/ D regions 112, 114, 116, 118. At the portions of the silicide adjacent to the gate stacks 150, 160, the layers of silicide preferably grow in contact with the outer surfaces of the spacers 174, 184 so that semiconductor layer 106 is not exposed.
  • Alternatively, the silicide may be formed by metal sputtering which preferably includes the steps of (1) sputtering metal into the S/ D regions 112, 114, 116, 118, using the spacers 174, 184 as masks, (2) performing a first annealing at about 200 to 500° C., (3) removing non-reacted metal, and (4) performing a second annealing at about 400 to 750° C. Since the spacers 174, 184 are used as masks, silicide 132, 134, 136, 138 are preferably formed in contact with the bottom of the spacers 174, 184 so that the semiconductor layer 106 is not exposed.
  • However, in both methods, a gap between the silicide 132, 134, 136, 138 and the corresponding spacers 174, 184 may be formed. That is, the semiconductor layer 106 may exposed between the bottom portion of the spacers 174, 184 and the edges 133, 135, 137, 139 of the silicide 132, 134, 136, 138.
  • Next, as shown in FIG. 2, another dielectric layer 149, is formed over the top surface of the substrate 100. The dielectric material 149 is different than the mask spacers 174, 184; for example if mask spacers 174, 184 are nitride, the dielectric layer 149 is preferably oxide. In the case of an oxide, the formation of the layer 149 preferably includes (1) applying a precursor, such as tetra ethyl ortho silicate (TEOS), SiH4, SiCl2H2, over the substrate 100, and (2) applying heat to grow the oxide layer 149.
  • Heat in step (2) is preferably applied at a temperature so as not to oxidize the silicide 132, 134, 136, 138. For example, when the silicide includes Ni, the oxide layer 149 is preferably be grown at a temperature in the range about 300° C. to 400° C. The preferable temperature is about 700° C. or less when the silicide includes Co. The thickness of the oxide layer 149 is preferably about 100 Å to 400 Å, most preferably about 160 Å to 200 Å.
  • The thickness of the oxide layer 149 is preferably thinner than the nitride spacers 174, 184, but sufficient thick so as to overlap the edges 133, 135, 137, 139 of the silicide 132, 134, 136, 138 so as to cover any gap between the edges 133, 135, 137, 139 of the silicide and the nitride spacers 174, 184.
  • Accordingly, the spacers 174, 184, gate stacks 150, 160, silicide 132, 134, 136, 138 are covered by the oxide layer 149.
  • Instead of an oxide layer, a layer 149 including silicon carbide (SiC) may be deposited. The layer 149 may be formed by SiH4 and CH4 reaction in a CVD chamber. Preferable reaction temperature is about 400° C. Thickness of the formed SiC layer 149 is preferably about 500 to 1000 Å.
  • Next, as shown in FIG. 3, the oxide layer 149 is etched back by an anisotropic etch, preferably reactive ion etching (RIE), to form spacers 142, 144. The spacers 142, 144 cover the surface of the spacers 174, 184 respectively. Simultaneously, the spacers 142, 144 overlap the inner edges of the silicide 132, 134, 136, 138 at portions 133, 135, 137, 139 respectively so that junctions between silicide 132, 134, 136, 138 and spacers 174, 184 are covered by the spacers 142, 144. Accordingly, even if a gap exists between the silicide and the spacers 174, 184, the oxide layers 142, 144 cover the gap so that the semiconductor layer 106 is not exposed.
  • Thereafter, as shown in FIG. 4, a contact liner 188 is applied over the substrate 100. The contact liner preferably is a different material than the spacers 142, 144, and in the case of oxide spacers, 142, 144, preferably includes silicon nitride, for example Si3N4. The thickness of the contact liner 188 is preferably about 300 to 1500 Å.
  • Then, an interlayer dielectric (ILD) 190, which may include a low-k dielectric material, a dielectric such as borophosphosilicate glass (BPSG) or high density plasma (HDP) oxide, is deposited and planerized. The thickness of the ILD 190 is preferably about 3000 to 5000 Å.
  • A two-step selective etch process is used to form the contact openings.
  • As shown in FIG. 5, photolithographic and anisotropic etching techniques, such as RIE, is used to pattern the interlayer dielectric 190, to form contact openings 192, 194, 196, 198 that expose the contact liner 188. In the first step, the etching is preferably selective to the contact liner 188 so that the etching is stopped on the contact liner 188. One skilled in the art would be able to arrange conditions for the etching to achieve the desired selectivity.
  • FIG. 5 shows an example where the contact opening pattern is mis-aligned to the gate pattern. Specifically, the contact openings 194, 198 are formed with unintended short distances d1, d2 from the gate stacks 150, 160 respectively.
  • Next, a second etch step uses process conditions where the etching is selective to the spacers 142, 144 and also selective to the silicide 132, 134, 136, 138, so that the contact liner 188 is etched back to the surfaces 191, 193, 195, 197 of the silicide. Thus, as shown in FIG. 6, spacers 142, 144, are not etched back by the second etch process, so that the substrate 100, specifically the semiconductor layer 106, is not exposed at the bottom of the contact openings 192, 194, 196, 198.
  • Finally, metal, such as Ti, TiN, W, is filled into the contact holes to form contacts 202, 204, 206, 208 by for example sputtering or chemical vapor deposition (CVD), followed by chemical mechanical polishing (CMP).
  • The final structure is illustrated in FIG. 7.
  • According to the invention, the contacts 202, 204, 206, 208 are in direct contact with the silicide 132, 134, 136, 138 and are not in contact with the semiconductor layer 106, in the case of mis-alignment.
  • FIG. 8 illustrates a PFET 301 and an NFET 303 according to another embodiment of the invention.
  • Spacers 374 adjacent the PFET 301 are preferably thicker than spacers 384 adjacent the NFET 303. It is preferable to make the contact pitch constant for ease of connectivity with upper layers, and not too large to minimize the size of the resulting semiconductor integrated circuit. Therefore, PFET 301 tends to be more prone to mis-alignment of the metal contact to the gate pattern.
  • Therefore, significant improvement in yield can be achieved even if an additional spacer 340, such as an oxide layer or a SiC layer, according to the present invention is deposited over only a PFET 301.
  • In the embodiment illustrated in FIG. 8, a second spacer 340, preferably including silicon oxide or SiC, is formed only over spacers 374 on the side walls of a gate stack of the PFET 301. For example, on a PFET 301, the spacer 374 preferably has about 750 Å maximum thickness, and more preferably the thickness of the spacer 374 is about 100 to 300 Å. It would be readily understood by a skilled person that the spacer 340 may be formed by arranging the method as illustrated in FIGS. 1 through 7 to mask an NFET during the formation of the spacer 374 on a gate stack 350 of the PFET 301. For instance, the gate stack 350 of the PFET 301, the gate stack 360 of the NFET 303, silicide 332, 334, 336, 338 and spacers 374, 384 may be formed on the substrate 300. Then, a contact liner 388, such as silicon nitride, may be formed to first cover only the NFET 303, while masking the PFET region. The mask is removed from the PFET region. Next, the NFET region is masked and the outer spacer 340 may be formed only on the spacer 374 adjacent the PFET by means of RIE, for example, followed by a formation of a contact liner 388 only on the PFET 301.
  • Subsequently, the mask over the NFET is removed, and the ILD and contact openings are formed as in FIGS. 4-7 described above.
  • FIG. 9 shows a flow of method for fabricating a semiconductor circuit according to an embodiment of the invention.
  • In the method, a gate stack on a top surface of a semiconductor substrate is formed, and then a first spacer is formed on a sidewall of the gate stack (Step 500).
  • The first spacer may include multiple spacers, where the outer portion of the first spacer is preferably silicon nitride. Next, a silicide self-aligned to the first spacer is deposited in/or on the semiconductor substrate (Step 502).
  • Subsequently a second spacer covering the surface of the first spacer (Step 504), and a contact liner formed over at least the gate stack, the second spacer and the silicide (Step 506). In accordance with the invention, the contact liner is a different material than the second spacer. For example, if the second spacer is silicon oxide, the contact liner is preferably silicon nitride. The contact liner is used as an etch stop layer in a subsequent first RIE, discussed below.
  • Then an interlayer dielectric, such as a low-k material, BPSG or HDP oxide, over the contact liner is deposited (Step 508). The ILD is preferably different than the contact liner.
  • Next, a metal contact opening through the ILD is formed to expose the contact liner over the silicide (Step 510). A first RIE of the ILD selective to the contact liner is preferably used. Here, the contact liner is used as an etch stop for the first RIE step. Finally, the contact opening is extended through the contact liner to expose the silicide without exposing the substrate (Step 512). The extension is preferably performed by a second RIE selective to the second spacer and the silicide. Since the first spacer is covered by the second spacer, the first spacer is not etched through to expose the semiconductor substrate when extending the opening. Therefore, a short between a contact and the semiconductor substrate is prevented.
  • While the invention has been described with reference to certain preferred embodiments thereof, those skilled in the art will understand the many modifications and enhancements which can be made without departing from the true scope and spirit of the invention, which is limited only by the appended claims.

Claims (8)

1. An integrated circuit comprising;
a PFET and an NFET formed on a semiconductor substrate;
the PFET comprising:
a PFET gate stack on a top surface of the semiconductor substrate;
a first PFET spacer formed on a sidewall of the PFET gate stack, said first PFET spacer having an outer edge opposite from said sidewall of said PFET gate stack;
a PFET source/drain region having an edge aligned to said outer edge of said first PFET spacer;
a second PFET spacer covering a surface of said first PFET spacer and said second PFET spacer having an outer edge opposite from said surface of said first PFET spacer; and
a PFET silicide, in or on the semiconductor substrate, having an edge aligned to said outer edge of said second PFET spacer;
the NFET comprising:
an NFET gate stack on a top surface of the semiconductor substrate;
a first NFET spacer formed on a sidewall of the NFET gate stack, said first NFET spacer having an outer edge opposite from said sidewall of said NFET gate stack;
a NFET source/drain region having an edge aligned to said outer edge of said first NFET spacer;
a second NFET spacer covering a surface of said first NFET spacer and said second NFET spacer having an outer edge opposite from said surface of said first NFET spacer; and
a NFET silicide, in or on the semiconductor substrate, having an edge aligned to said outer edge of said second NFET spacer;
wherein said second PFET spacer has a thickness greater than a corresponding thickness of said second NFET spacer.
2. The integrated circuit of claim 1, wherein said PFET further comprises a third PFET spacer covering the surface of said second PFET spacer and at least the edge of the PFET silicide aligned to said outer edge of said second PFET spacer so that the semiconductor substrate is not exposed between the second PFET spacer and the PFET silicide.
3. The integrated circuit of claim 2, further comprising a contact liner formed over said PFET and said NFET, said contact liner in contact with said third PFET spacer, said PFET silicide, said second NFET spacer and said NFET silicide.
4. The integrated circuit of claim 3, further comprising an interlayer dielectric formed in contact with an upper surface of said contact liner.
5. The integrated circuit of claim 4, wherein said interlayer dielectric consists of a material that may be etched selectively to said contact liner, and said contact liner consists of a material that may be etched selectively to said third PFET spacer.
6. The integrated circuit of claim 4, further comprising a PFET contact extending through said interlayer dielectric and said contact liner and in contact with said third PFET spacer and with said PFET silicide without being in contact with said semiconductor substrate.
7. The integrated circuit of claim 6, further comprising an NFET contact extending through said interlayer dielectric and said contact liner and in contact with said NFET silicide without being in contact with said second NFET spacer or with said semiconductor substrate.
8. The integrated circuit of claim 7, wherein said PFET and NFET contacts comprise a plurality of contacts having a constant pitch.
US12/121,041 2004-11-04 2008-05-15 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern Abandoned US20080246093A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/121,041 US20080246093A1 (en) 2004-11-04 2008-05-15 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/904,330 US7217647B2 (en) 2004-11-04 2004-11-04 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US11/328,609 US20060118829A1 (en) 2004-11-04 2006-01-10 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US12/121,041 US20080246093A1 (en) 2004-11-04 2008-05-15 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/328,609 Continuation US20060118829A1 (en) 2004-11-04 2006-01-10 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern

Publications (1)

Publication Number Publication Date
US20080246093A1 true US20080246093A1 (en) 2008-10-09

Family

ID=36316840

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/904,330 Expired - Fee Related US7217647B2 (en) 2004-11-04 2004-11-04 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US11/328,609 Abandoned US20060118829A1 (en) 2004-11-04 2006-01-10 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US12/121,041 Abandoned US20080246093A1 (en) 2004-11-04 2008-05-15 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/904,330 Expired - Fee Related US7217647B2 (en) 2004-11-04 2004-11-04 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US11/328,609 Abandoned US20060118829A1 (en) 2004-11-04 2006-01-10 Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern

Country Status (2)

Country Link
US (3) US7217647B2 (en)
CN (1) CN1779930A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI715674B (en) * 2015-12-15 2021-01-11 台灣積體電路製造股份有限公司 Fin-type field effect transistor device and manufacturing method thereof
US11309402B2 (en) 2020-03-05 2022-04-19 Sandisk Technologies Llc Semiconductor device containing tubular liner spacer for lateral confinement of self-aligned silicide portions and methods of forming the same

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100549014B1 (en) * 2004-07-21 2006-02-02 삼성전자주식회사 Semiconductor Devices Having A Spacer Pattern And Methods Of Forming The Same
US9202758B1 (en) * 2005-04-19 2015-12-01 Globalfoundries Inc. Method for manufacturing a contact for a semiconductor component and related structure
US20060267106A1 (en) * 2005-05-26 2006-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Novel semiconductor device with improved channel strain effect
US20070202677A1 (en) * 2006-02-27 2007-08-30 Micron Technology, Inc. Contact formation
US20080142879A1 (en) * 2006-12-14 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing differential spacers
US7745298B2 (en) * 2007-11-30 2010-06-29 Freescale Semiconductor, Inc. Method of forming a via
KR101376260B1 (en) * 2008-04-14 2014-03-20 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US8017027B2 (en) * 2008-09-02 2011-09-13 Hejian Technology (Suzhou) Co., Ltd. Semiconductor fabricating process
CN102074479B (en) * 2009-11-24 2012-08-29 中国科学院微电子研究所 Semiconductor device and production method thereof
CN101840920B (en) * 2009-12-15 2012-05-09 中国科学院微电子研究所 Semiconductor structure and forming method thereof
TWI609457B (en) * 2014-09-30 2017-12-21 聯華電子股份有限公司 Method of forming contact hole and semiconductor structure with contact plug
US9805973B2 (en) 2015-10-30 2017-10-31 International Business Machines Corporation Dual silicide liner flow for enabling low contact resistance

Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4949136A (en) * 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
US5290720A (en) * 1990-12-07 1994-03-01 At&T Bell Laboratories Transistor with inverse silicide T-gate structure
US5341028A (en) * 1990-10-09 1994-08-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing thereof
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5780348A (en) * 1997-07-14 1998-07-14 United Microelectronics Corporation Method of making a self-aligned silicide component
US5792684A (en) * 1997-04-21 1998-08-11 Taiwan Semiconductor Manufacturing Company Ltd Process for fabricating MOS memory devices, with a self-aligned contact structure, and MOS logic devices with salicide, both on a single semiconductor chip
US5953614A (en) * 1997-10-09 1999-09-14 Lsi Logic Corporation Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
US5990524A (en) * 1997-12-18 1999-11-23 Advanced Micro Devices, Inc. Silicon oxime spacer for preventing over-etching during local interconnect formation
US6063681A (en) * 1998-01-13 2000-05-16 Lg Semicon Co., Ltd. Silicide formation using two metalizations
US6171954B1 (en) * 1998-06-12 2001-01-09 United Microelectronics Corp. Method of manufacturing self-aligned contact
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6281062B1 (en) * 1992-10-13 2001-08-28 Intel Corporation MOS semiconductor device with self-aligned punchthrough stops and method of fabrication
US6306713B1 (en) * 2000-10-10 2001-10-23 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects for salicided gates using a secondary spacer
US6414375B1 (en) * 1998-08-20 2002-07-02 Fujitsu Limited Semiconductor device with metal silicide film on partial area of substrate surface and its manufacture method
US20020137295A1 (en) * 2000-02-07 2002-09-26 Taiwan Semiconductor Manufacturing Company Salicide field effect transistors with improved borderless contact structures and a method of fabrication
US20020149050A1 (en) * 1999-12-03 2002-10-17 Albert Fazio Integrated memory cell and method of fabrication
US6525381B1 (en) * 2000-03-31 2003-02-25 Advanced Micro Devices, Inc. Semiconductor-on-insulator body-source contact using shallow-doped source, and method
US20030038320A1 (en) * 2001-08-23 2003-02-27 Matsushita Electric Industrial Co., Ltd. Semicondutor device and manufacturing method thereof
US20030092285A1 (en) * 2001-11-15 2003-05-15 Tatsuya Hinoue Method for manufacturing semiconductor integrated circuit device
US20030181005A1 (en) * 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US6630721B1 (en) * 2000-05-16 2003-10-07 Advanced Micro Devices, Inc. Polysilicon sidewall with silicide formation to produce high performance MOSFETS
US6642119B1 (en) * 2002-08-08 2003-11-04 Advanced Micro Devices, Inc. Silicide MOSFET architecture and method of manufacture
US20030211717A1 (en) * 2001-07-12 2003-11-13 Samsung Electronics Co. , Ltd. Semiconductor device with a self-aligned contact and a method of manufacturing the same
US6661057B1 (en) * 1998-04-07 2003-12-09 Advanced Micro Devices Inc Tri-level segmented control transistor and fabrication method
US6770522B2 (en) * 2002-11-12 2004-08-03 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US20040203211A1 (en) * 2003-04-08 2004-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact for silicon-on-insulator devices
US20050040472A1 (en) * 2003-08-22 2005-02-24 Samsung Electronics Co., Ltd. Highly integrated semiconductor device with silicide layer that secures contact margin and method of manufacturing the same
US6864135B2 (en) * 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US6878597B2 (en) * 2002-03-26 2005-04-12 Samsung Electronics Co., Ltd. Methods of forming source/drain regions using multilayer side wall spacers and structures so formed
US20050190421A1 (en) * 2004-03-01 2005-09-01 Jian Chen Integrated circuit with multiple spacer insulating region widths
US20050260819A1 (en) * 2004-05-20 2005-11-24 International Business Machines Corporation Reduced dielectric constant spacer materials integration for high speed logic gates
US7002223B2 (en) * 2001-07-27 2006-02-21 Samsung Electronics Co., Ltd. Semiconductor device having elevated source/drain
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer

Patent Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4949136A (en) * 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
US5341028A (en) * 1990-10-09 1994-08-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and a method of manufacturing thereof
US5290720A (en) * 1990-12-07 1994-03-01 At&T Bell Laboratories Transistor with inverse silicide T-gate structure
US6281062B1 (en) * 1992-10-13 2001-08-28 Intel Corporation MOS semiconductor device with self-aligned punchthrough stops and method of fabrication
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5792684A (en) * 1997-04-21 1998-08-11 Taiwan Semiconductor Manufacturing Company Ltd Process for fabricating MOS memory devices, with a self-aligned contact structure, and MOS logic devices with salicide, both on a single semiconductor chip
US5780348A (en) * 1997-07-14 1998-07-14 United Microelectronics Corporation Method of making a self-aligned silicide component
US5953614A (en) * 1997-10-09 1999-09-14 Lsi Logic Corporation Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
US5990524A (en) * 1997-12-18 1999-11-23 Advanced Micro Devices, Inc. Silicon oxime spacer for preventing over-etching during local interconnect formation
US6063681A (en) * 1998-01-13 2000-05-16 Lg Semicon Co., Ltd. Silicide formation using two metalizations
US6661057B1 (en) * 1998-04-07 2003-12-09 Advanced Micro Devices Inc Tri-level segmented control transistor and fabrication method
US6171954B1 (en) * 1998-06-12 2001-01-09 United Microelectronics Corp. Method of manufacturing self-aligned contact
US6414375B1 (en) * 1998-08-20 2002-07-02 Fujitsu Limited Semiconductor device with metal silicide film on partial area of substrate surface and its manufacture method
US20020149050A1 (en) * 1999-12-03 2002-10-17 Albert Fazio Integrated memory cell and method of fabrication
US6518618B1 (en) * 1999-12-03 2003-02-11 Intel Corporation Integrated memory cell and method of fabrication
US6943071B2 (en) * 1999-12-03 2005-09-13 Intel Corporation Integrated memory cell and method of fabrication
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US20020137295A1 (en) * 2000-02-07 2002-09-26 Taiwan Semiconductor Manufacturing Company Salicide field effect transistors with improved borderless contact structures and a method of fabrication
US6525381B1 (en) * 2000-03-31 2003-02-25 Advanced Micro Devices, Inc. Semiconductor-on-insulator body-source contact using shallow-doped source, and method
US6630721B1 (en) * 2000-05-16 2003-10-07 Advanced Micro Devices, Inc. Polysilicon sidewall with silicide formation to produce high performance MOSFETS
US6306713B1 (en) * 2000-10-10 2001-10-23 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects for salicided gates using a secondary spacer
US20030211717A1 (en) * 2001-07-12 2003-11-13 Samsung Electronics Co. , Ltd. Semiconductor device with a self-aligned contact and a method of manufacturing the same
US7002223B2 (en) * 2001-07-27 2006-02-21 Samsung Electronics Co., Ltd. Semiconductor device having elevated source/drain
US20030038320A1 (en) * 2001-08-23 2003-02-27 Matsushita Electric Industrial Co., Ltd. Semicondutor device and manufacturing method thereof
US20030092285A1 (en) * 2001-11-15 2003-05-15 Tatsuya Hinoue Method for manufacturing semiconductor integrated circuit device
US20030181005A1 (en) * 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US6878597B2 (en) * 2002-03-26 2005-04-12 Samsung Electronics Co., Ltd. Methods of forming source/drain regions using multilayer side wall spacers and structures so formed
US6642119B1 (en) * 2002-08-08 2003-11-04 Advanced Micro Devices, Inc. Silicide MOSFET architecture and method of manufacture
US6864135B2 (en) * 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US6770522B2 (en) * 2002-11-12 2004-08-03 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US20040203211A1 (en) * 2003-04-08 2004-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact for silicon-on-insulator devices
US20050040472A1 (en) * 2003-08-22 2005-02-24 Samsung Electronics Co., Ltd. Highly integrated semiconductor device with silicide layer that secures contact margin and method of manufacturing the same
US20050190421A1 (en) * 2004-03-01 2005-09-01 Jian Chen Integrated circuit with multiple spacer insulating region widths
US20050260819A1 (en) * 2004-05-20 2005-11-24 International Business Machines Corporation Reduced dielectric constant spacer materials integration for high speed logic gates
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI715674B (en) * 2015-12-15 2021-01-11 台灣積體電路製造股份有限公司 Fin-type field effect transistor device and manufacturing method thereof
US11309402B2 (en) 2020-03-05 2022-04-19 Sandisk Technologies Llc Semiconductor device containing tubular liner spacer for lateral confinement of self-aligned silicide portions and methods of forming the same

Also Published As

Publication number Publication date
US7217647B2 (en) 2007-05-15
US20060099729A1 (en) 2006-05-11
US20060118829A1 (en) 2006-06-08
CN1779930A (en) 2006-05-31

Similar Documents

Publication Publication Date Title
US20080246093A1 (en) Structure and method of making a semiconductor integrated circuit tolerant of mis-alignment of a metal contact pattern
US10211095B2 (en) High performance middle of line interconnects
US6040606A (en) Integrated circuit structure with dual thickness cobalt silicide layers and method for its manufacture
US6136705A (en) Self-aligned dual thickness cobalt silicide layer formation process
US5658811A (en) Method of manufacturing a semiconductor device
US7985676B2 (en) Method of making a contact in a semiconductor device
US7701004B2 (en) Semiconductor device and method of manufacturing thereof
US8362569B2 (en) Semiconductor device and semiconductor device fabrication method
US10770361B2 (en) Controlling active fin height of FinFET device using etch protection layer to prevent recess of isolation layer during gate oxide removal
US6461960B2 (en) Method of manufacturing a semiconductor device
US6521540B1 (en) Method for making self-aligned contacts to source/drain without a hard mask layer
JPH0437067A (en) Electrode for semiconductor element, semiconductor device with the electrode and manufacture thereof
CN112309959A (en) Integrated circuit structure and manufacturing method thereof
US6847086B2 (en) Semiconductor device and method of forming the same
US6436746B1 (en) Transistor having an improved gate structure and method of construction
KR100735522B1 (en) Method for fabricating semiconductor device and semiconductor device by the same
US6593632B1 (en) Interconnect methodology employing a low dielectric constant etch stop layer
JP2004502295A (en) Method of forming silicide gate stack for use in etching for forming self-aligned contacts
US6458702B1 (en) Methods for making semiconductor chip having both self aligned silicide regions and non-self aligned silicide regions
US20030113973A1 (en) Method for fabricating local interconnects
US20050124105A1 (en) Semiconductor device and method of manufacturing the same
US20240040776A1 (en) Manufacturing method of semiconductor structure
US20230016445A1 (en) Semiconductor structure and forming method thereof
KR100669108B1 (en) Stacked semiconductor device and method of manufacturing the same
US7521302B2 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE