US20090032888A1 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
US20090032888A1
US20090032888A1 US12/251,959 US25195908A US2009032888A1 US 20090032888 A1 US20090032888 A1 US 20090032888A1 US 25195908 A US25195908 A US 25195908A US 2009032888 A1 US2009032888 A1 US 2009032888A1
Authority
US
United States
Prior art keywords
layer
etch
sidewall spacer
approximately
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/251,959
Inventor
William G. En
Thorsten Kammler
Eric N. Paton
Paul R. Besser
Simon Siu-Sing Chan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US12/251,959 priority Critical patent/US20090032888A1/en
Publication of US20090032888A1 publication Critical patent/US20090032888A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. AFFIRMATION OF PATENT ASSIGNMENT Assignors: ADVANCED MICRO DEVICES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape

Definitions

  • the present disclosure relates generally to semiconductor devices having sidewall structures.
  • FIGS. 1-9 illustrate cross-sectional views of a substrate location during various processing stages used to form a transistor in accordance with a specific embodiment of the present disclosure.
  • FIG. 10 illustrates a portion of a device formed using the methods described in accordance with a specific embodiment of the present disclosure.
  • location 10 is exposed to a solution containing HF, after anneal 40 followed by forming an epitaxial layer 42 at source/drain locations of the transistor being formed. After the epitaxial layer is formed dopants are implanted to form doped regions 46 that facilitate formation of deep source/drain regions of the transistor location.
  • the HF containing solution can include an aqueous solution of deionized water and hydrofluoric acid (HF or hydrogen fluoride in water) aqueous solution of approximately 30:1 (volumetric ratio) at 21 degrees Celsius, for a time period ranging from between 50-60 seconds.
  • the weight percentage of HF recommended for the HF aqueous solution is 49% in a balance of deionized water (H 2 O).
  • contaminates on the surface of a substrate are subjected to a cleaning process comprising applying a plasma to a surface of the active regions produce a reduction reaction with the contaminates in an upper portion of the surface of the active regions.
  • the plasma comprises H 2 . While the plasma is being applied to the upper portion of the exposed active regions, the resultant products or vapor byproducts of the reduction reaction are removed by the normal vacuum process within the chamber. Therefore, contaminates contained in the vapor byproducts and are vented away, leaving the upper portion of the surface of the active regions suitably clean for the ensuing epitaxial process.
  • the plasma process parameters comprise a gas flow of 450 sccm H2 and 300 sccm argon, at a chamber temperature of 400 degrees Celsius, with a high frequency (HF) power setting of 700 W, and a low frequency (LF) power setting of between approximately 50 to 100 W.
  • Chamber pressure is 1 Torr, and the spacing between the surface of the active region and the faceplate of the tool (not shown) should be 300 mils.
  • plasma process parameters comprise a gas flow ranging from between 100-800 sccm H 2 and from between 100 and 600 sccm argon. Chamber temperatures can range between 300 to 450 degrees Celsius, and HF power settings from between 400-900 W, with LF power settings varying from between 0-150 W.
  • etch chambers or the like.
  • Differences in chamber design, power settings, and species, e.g., H 2 with or H 2 without helium or nitrogen, will result in different thickness of the layer after anneal.
  • the layer after anneal will be between 20 and 50 Angstroms thick.
  • This plasma cleaning process also results in passivation of Si—H bonds in the layer after anneal. No wet cleaning dip with hydrofluoric (HF) acid prior to SEG is necessary.
  • HF hydrofluoric
  • the reduced temperature of this H 2 plasma cleaning treatment results in a reduction of the SEG process thermal budget of more than 100 degrees Celsius.
  • pre-SEG cleaning processes are conducted at approximately 900 degrees Celsius or greater.
  • the cleaning process occurs at less than approximately 800 degrees Celsius.
  • the cleaning process occurs at less than approximately 500 degrees Celsius or less.
  • the cleaning processes of the present disclosure could be conducted at less than approximately 700 degrees Celsius or less, or even at less than approximately 600 degrees Celsius or less.
  • An O 2 plasma production utilizes O 2 gas at a flow rate of 400 sccm, a pressure of 5 Torr, an HF of 300 W, an LF of 100 W, and a temperature of 400 degrees Celsius, with the time ranging from between about 10 to about 120 seconds.
  • the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus (not shown) should be 400 mils.
  • the plasma production utilizes O 2 gas at a flow rate of between 100 and 1000 sccm, a pressure ranging from between 2-10 Torr, an HF ranging between 200-500 W, an LF ranging between 50-200 W, a temperature ranging between 300-450 degrees Celsius, for a time ranging from between approximately 10 to approximately 120 seconds.
  • an overflow rinse utilizing deionized water is performed for a period ranging from approximately 120 to 600 seconds with a typical rinse being about 400 seconds.
  • the cleaning process of portion 100 results in etching away of the surface contamination/debris located on substrate 10 resulting from offset spacer formation and/or dopant implantation.
  • the upper semiconductor surface, i.e. silicon surface, of substrate 10 is also slightly etched, for example, from one to several mono layers of silicon, during the HF etch.
  • the SC-1 utilizes an aqueous solution of ammonium hydroxide:hydrogen peroxide:deionized water at a ratio of approximately 1:1-4:6-40, at a temperature of approximately 60 degrees Celsius for approximately 72 minutes, to etch approximately 100 Angstroms of silicon.
  • ammonium hydroxide NH 4 OH
  • ammonia solution typically contains between 12% and 44% ammonia before dilution
  • dilute ammonia or concentrated ammonia.
  • a first quick dry rinse is conducted for approximately 3 minutes.
  • the SC-2 utilizes a solution of hydrochloric acid:hydrogen peroxide:deionized water at an initial ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes.
  • a second quick dry rinse is then conducted.
  • hydrochloric acid HCl
  • hydrochloric acid are hydrogen chloride, anhydrous hydrogen chloride, aqueous hydrogen chloride, chlorohydric acid, spirit of salts, and muriatic acid.
  • the temperature range of the SC-1 is expected to be approximately 55 to 85 degrees Celsius, with the etch occurring in a shorter period of time at higher temperatures than at lower temperatures. It is expected that the SC-1 etching will be better controlled at temperatures in the range of 55-80 degrees Celsius and better still at temperatures in the range of 55-75 degrees Celsius. Generally, it is expected that the substrate will be exposed to the SC-1 etch process for longer that 60 minutes. When the oxidizer stops protecting the silicon surface, the ammonium hydroxide (NH 4 OH) starts to etch the silicon. Thus, a small amount of silicon can be etched in a controlled manner.
  • the SC-1 can be performed in a re-usable bath where the solution is re-circulated and heated to maintain the desired temperature.
  • a passivation layer formed by the H 2 O 2 prevents this attack by the NH 4 OH.
  • H 2 O 2 decomposes in the course to form O 2 and H 2 O.
  • the first quick dry rinse is conducted for approximately 3 minutes.
  • the subsequent SC-2 utilizes a solution of hydrochloric acid:hydrogen peroxide:deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes.
  • a quick dry rinse with deionized water, followed by an IPA dry process, is performed following the SC-2.
  • the IPA dry process uses a heated IPA vapor at approximately 82 degrees Celsius.
  • the IPA vapor is generated in a separate chamber with 100% N 2 bubbled through 100% IPA (heated to 82 degrees Celsius).
  • the IPA condenses on the wafer, and the solution drips off the bottom of the wafer.
  • the IPA vapor concentration is slowly diluted to 100% N 2 before the wafers are removed from the rinsing/drying tank.
  • the substrate will be further recessed (etched) as a result of the cleaning process.
  • an HF: H2O etch can be conducted at an aqueous solution ratio of 200:1 for about 65 seconds, which typically results in approximately 30 Angstroms of oxide removal.
  • the HF: H2O etch 8 is followed by a rinse with deionized water for approximately a 10 minute duration.
  • the deionized water rinse is followed by an IPA dry as described in the preceding paragraph.
  • the source/drain regions of the substrate are ready for ion implantation or selective epitaxial growth.
  • the SC-1 process comprises a pre-rinse with deionized water of approximately 30 seconds duration.
  • the pre-rinse is followed by a SC-1 solution at a ratio of approximately 1:1-4:6-40, which includes the subranges of 0.25:1:5, 0.5:1:5, 1:1:5, 1:1:6, 1:4:20, and 1:1:40, ammonium hydroxide: hydrogen peroxide: deionized water at a temperature of approximately 60 degrees Celsius for approximately 5 minutes.
  • a quick dump rinse (QDR) is then performed for approximately 3 minutes.
  • an SC-2 cleaning process is performed.
  • the SC-2 cleaning process includes utilizing an aqueous solution of hydrochloric acid:hydrogen peroxide:deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees Celsius for approximately 5 minutes.
  • a QDR is then performed, and portion 200 is ready for the third cleaning.
  • the weight percent composition of the hydrochloric acid: hydrogen peroxide: deionized water is 29% (weight percent) hydrochloric acid and 30% (weight percent) hydrogen peroxide in a balance of deionized water.
  • the above-described cleaning process has been found to facilitate formation of an epitaxial layer on a semiconductor surface, specifically silicon. Because various etch processes can etch N- and P-type regions at different rates, it can be useful to amorphize an upper-most surface of the source/drain regions prior to the above-described clean to reduce any preferential etch differences between substrate regions of differing dopant types.
  • the above-described clean process can etch the N-type silicon preferentially, as compared to the P-type silicon, resulting in a quality difference of the SEG between the N and P regions after SEG processing.
  • Etch rate differences between N- and P-type regions can allow for contaminates to remain in the lesser-etched region.
  • an etch process that does not etch P-type regions at the same rate as N-type regions can result in P-regions maintaining embedded carbon that is incorporated from previous process steps. Without appropriate etching of silicon in the P-type regions during the clean, the carbon will remain, and the SEG will grow inconsistently.
  • Amorphizing the source/drain regions can reduce etch differences associated with the above-described cleaning process as well as other processes that are used to etch doped substrate regions, thereby improving the quality of both the N and P regions.
  • N- and P-type extensions formed in the source/drain regions are amorphized by being implanted with the Xe, at a dose of 2E14 and energy of 10 keV, to create an amorphous depth of 100 A.
  • a spacer structure having an undercut can be used to reduce or inhibit facet formation during a selective epitaxial growth process.
  • Such a process can allow for greater lateral uniformity of junction or silicide features during implantation or silicidation processes, and can be accomplished by using a spacer formed with a bi-layer of materials, e.g., a thin liner, such as portion 29 of FIG. 1 , of one material underlying another layer of material from which the ‘main’ spacer is formed.
  • the thin liner and other material layer are selected such that the two materials are selectively etchable with respect to the other, for example, a thin oxide liner and a nitride layer.
  • oxygen can be added to the gas mixture flowing into the plasma reactor during etch.
  • the addition of oxygen during the etching process is an amount between 2 percent and 15 percent by volume.
  • the plasma reactor may be of any type which provides ion bombardment, e.g., capacitively-coupled parallel-plate reactor, or inductively coupled plasma with wafer (RF) bias.
  • the wall temperature of the plasma reactor during etching is between 20 degrees C. and 60 degrees C., while the wafer platen (chuck) temperature is between 50 degrees C. and 80 degrees C.
  • the gas mixture has a total gas flow during etching of between 75 sccm and 150 sccm for 200 mm wafers.
  • the total gas flow would require an increase for 300 mm wafers, in order to compensate for the increase in the plasma reactor chamber volume.
  • the chamber pressure is in the range of 50-150 mtorr for 200 mm wafers using capacitively coupled plasma reactor systems. It should be noted that the chamber pressure necessarily depends upon the size of the chamber, as well as the manufacturer of the plasma reactor equipment.
  • the examples presented herein reference Applied Material's MERIE (magnetically enhanced reactive ion etch) systems.
  • the amount of oxygen added is dependant upon the particular etch chemistry chosen.
  • An exemplary etch chemistry could be CF4, HBr, and He—O2, with gas flow ratios, by volume, of CF4:HBr:He—O2 of approximately 10:(2-6):(1-6).
  • the ratio of He—O2 used is 70/30 percent by volume, however, other appropriate ratios would work as well.
  • addition of oxygen during the etching process is an amount between 2% and 15% by volume. The addition of oxygen does not greatly alter the resultant spacer 16 profile, although small alterations are possible. This could, however, be compensated for by changing (i.e., increasing or decreasing) the thickness of nitride layer.
  • the addition of oxygen during the etching process does not require adjustments of other etch process parameters.
  • the addition of oxygen enhances the etch selectivity of the nitride layer 14 to the liner oxide layer 15 .
  • the etch selectivity for silicon nitride is between 1:2 and 1:3.

Abstract

A sidewall spacer structure is formed adjacent to a gate structure whereby a material forming an outer surface of the sidewall spacer structure contains nitrogen. Subsequent to its formation the sidewall spacer structure is annealed to harden the sidewall spacer structure from a subsequent cleaning process. An epitaxial layer is formed subsequent to the cleaning process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application is a divisional of U.S. patent application Ser. No. 11/209,871 (Attorney Docket number 1458-H1949) entitled “METHOD OF FORMING A SEMICONDUCTOR DEVICE,” filed on Aug. 23, 2005, which is a continuation-in-part of U.S. patent application Ser. No. 10/969,774 (now abandoned) entitled “DEVICE COMPRISING AN EPITAXIAL LAYER AND METHOD THEREOF”, filed on Oct. 20, 2004.
  • FIELD OF THE DISCLOSURE
  • The present disclosure relates generally to semiconductor devices having sidewall structures.
  • DESCRIPTION OF THE RELATED ART
  • As critical dimensions of semiconductor-based transistors become smaller the effects of surface contamination on various processing stages can become more pronounced. For example, increased junction leakage can occur subsequent to silicidation of an epitaxial layer when the epitaxial layer is disposed upon a rough surface as a result of surface contamination. Therefore, a method of manufacturing overcoming problems such as this would be useful.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • It will be appreciated that for simplicity and clarity of illustration, elements illustrated in the Figures have not necessarily been drawn to scale. For example, the dimensions of some of the elements are exaggerated relative to other elements for clarity.
  • FIGS. 1-9 illustrate cross-sectional views of a substrate location during various processing stages used to form a transistor in accordance with a specific embodiment of the present disclosure; and
  • FIG. 10 illustrates a portion of a device formed using the methods described in accordance with a specific embodiment of the present disclosure.
  • The use of the same reference symbols in different drawings indicates similar or identical items.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT(S)
  • In accordance with a specific embodiment of the present disclosure a sidewall spacer structure is formed adjacent to a gate structure whereby a material forming an outer surface of the sidewall spacer structure contains nitrogen. Subsequent to its formation the sidewall spacer structure is annealed to harden the sidewall spacer structure from a subsequent cleaning process. Improved epitaxial regions are realized as a result of hardening the sidewall spacer structure prior to epitaxial formation. The present disclosure will be better understood with respect to FIGS. 1-8.
  • FIGS. 1 through 9 illustrate, in cross section, a location 10 of a workpiece where a transistor of a semiconductor device is being manufactured according to specific embodiments of the present disclosure. At the manufacturing stage illustrated in FIG. 1, deposition, photolithography, and etch processes have been conducted on location 10 such that a conductive gate structure 14 of the transistor being manufactured has been formed overlying a gate dielectric layer 12 that overlies a substrate 5. Substrate 5 is typically a semiconductor substrate that is a mono-crystalline silicon substrate, a gallium arsenide substrate, a silicon-on-insulator substrate, a silicon-on-sapphire substrate, or the like. The gate dielectric layer 12 is typically an oxide layer physically separating the conductive gate structure 14 from the underlying substrate 5 where a channel location of the transistor being formed resides. The gate dielectric will typically have a thickness in the range of 0.5 to 5 Angstroms.
  • The conductive gate structure 14 will typically contain polysilicon, though it may contain other materials and multiple layers. Conductive gate structure 14 is illustrated in FIG. 1 to have a left sidewall and a right sidewall substantially parallel to each other, and perpendicular to a planer interface between the gate oxide layer 12 and the substrate 5. A length of the conductive gate structure 14 is the distance between its left and right sidewalls as illustrated in FIG. 1 and will typically be in the range of 10 to 500 nm.
  • FIGS. 2-7 illustrate a specific embodiment of forming a sidewall spacer structure having two spacers adjacent to conductive gate structure 14. FIG. 2 illustrates location 10 subsequent to forming liner 16 overlying conductive gate structures 14 and a layer 18 overlying layer 16 from which a sidewall offset spacer will be formed. Layer 16 is thinner than layer 18, and is referred to as a liner, or liner layer. Layer 16 is typically a dielectric material such as an oxide, a nitride (i.e., Silicon oxynitride), or polysilicon that protects conductive gate structure 14 and substrate 5 from subsequent processing and need not be present depending upon subsequent processing steps. The material of layer 18 is typically chosen to be selectively etchable relative to the material of layer 16, and is used to create a sidewall spacer referred to as a sidewall offset spacer. In one embodiment, when layer 16 is an oxide, layer 18 will be a nitride, such as a silicon oxynitride; alternately, if liner 16 is a nitride, layer 18 will be an oxide. Materials forming layers 16 and 18 selected such that an etch chemistry that is used to etch layer 18 will etch layer 18 at a faster rate than layer 16.
  • FIG. 3 illustrates location 10 subsequent to etching of layer 18 thereby forming a sidewall offset spacer 19 that is adjacent to conductive gate structure 14. An anisotropic etch is typically used to remove portions of layer 18 not forming sidewall offset spacer 19. The anisotropic etch of layer 18, as illustrated in the embodiment of FIG. 3, results in sidewall offset spacer 19 having a “D” shape, so called because a width of spacer 19 narrows in a non-linear manner at locations further from gate dielectric 12 to provide a profile similar to the top half of the capital letter “D”. The etch process that forms sidewall offset spacer 19 illustrated in FIG. 3 selectively etches layer 18 relative to layer 16, thereby leaving layer 16. However, in an alternate embodiment the etch that forms sidewall offset spacer 19 can also remove portions of layer 16, though typically it is desirable to leave layer 16 to protect active silicon regions from exposure to the etch that removes layer 18.
  • FIG. 4 illustrates location 10 subsequent to etching portions of layer 16, thereby exposing a top portion of conductive gate structure 14 and active silicon at source/drain locations of the transistor being formed, thus leaving sidewall liner 17, which is that portion of layer 16 abutting offset spacer 19 to form a sidewall spacer structure. Conductive gate structure 14, gate dielectric 13, offset spacer 19, and liner 17 are all part of a gate structure at the transistor location. A dopant has been implanted, either prior or subsequent to removal of layer 16, to form a doped region 20 that facilitates formation of a source/drain (S/D) extension region. Doped region 20 will have either an N-type or P-type conductivity depending upon whether an NMOS or PMOS transistor is being formed.
  • FIG. 5 illustrates location 10 subsequent to disposing a layer 30 overlying the single gate structure of FIG. 4 and a layer 32 overlying layer 30. Layer 30 is typically a liner comprising a dielectric material, such as an oxide or a nitride that protects the previously formed gate structure from subsequent processing, and need not be present depending upon subsequent processing. Layer 32 is typically formed from a material that is selectively etchable relative liner 30, such as an oxide or a nitride, and will be etched to create a second sidewall spacer. In accordance with a specific embodiment of the present disclosure, liner 30 is an oxide and layer 32 is a material containing nitrogen. For example, layer 32 can be a silicon nitride, such as silicon oxynitride.
  • FIG. 6 illustrates location 10 subsequent to etching of layer 32 to form a sidewall spacer 33 that contains Nitrogen. Sidewall spacer 19 is between sidewall spacer 33 and conductive gate 14. An anisotropic etch is typically used to remove portions of layer 32 not forming sidewall spacer 33, thereby forming a sidewall spacer 33 with a “D” shape. A subsequent etch is performed to remove portions of liner 30 to expose a top surface of conductive gate structure 14 and a surface of substrate 5 as illustrated in FIG. 7. This subsequent etch leaves a portion 31 of layer 30 as part of a sidewall spacer structure that includes spacer 33.
  • FIG. 8 illustrates a sidewall spacer structure that includes both sidewall spacers 19 and 33 being exposed to an anneal 40 to harden the nitrogen containing spacer 33 from subsequent cleaning processes. In one embodiment, the anneal 40 is a rapid thermal anneal (RTA) that spikes at a maximum temperature without holding the temperature for a substantial amount of time. For example, the RTA typically will reach a temperature in the range of 400-1200° C. in less than approximately 40 seconds. In one embodiment, an anneal temperature of 850-1000° C. and a time of 1-10 seconds. Other temperature ranges for the RTA include 950-1050° C. While anneal 40 has been found to be advantageous, in other embodiments it can be omitted.
  • In one embodiment, location 10 is exposed to a solution containing HF, after anneal 40 followed by forming an epitaxial layer 42 at source/drain locations of the transistor being formed. After the epitaxial layer is formed dopants are implanted to form doped regions 46 that facilitate formation of deep source/drain regions of the transistor location. The HF containing solution can include an aqueous solution of deionized water and hydrofluoric acid (HF or hydrogen fluoride in water) aqueous solution of approximately 30:1 (volumetric ratio) at 21 degrees Celsius, for a time period ranging from between 50-60 seconds. The weight percentage of HF recommended for the HF aqueous solution is 49% in a balance of deionized water (H2O). Bulk HF aqueous solution can be purchased from various chemical suppliers in the HF weight percent range of 10% to 49%. In semiconductor fabrication facilities, this aqueous HF aqueous solution is typically diluted in the range 10:1 to 200:1. A 10:1 HF is 1 part aqueous HF (at 49% weight percent) and 10 parts H2O. The thickness of the epitaxial layer depends upon device requirements, but typically has a thickness in the range of 30-300 nm, and more typically in the range of 30-100 nm. Dopants implanted to form regions 46 will include P-Type or N-Type dopants based upon whether a NMOS or PMOS transistor is being formed.
  • In an alternate embodiment location 10 is implanted with dopants at source/drain locations of the transistor location prior to the anneal 40, and the location 10 is exposed to a solution containing HF subsequent to anneal 40 and prior to formation of an epitaxial layer. FIG. 9 illustrates location 10 after formation of the epitaxial layer 42 at source/drain active regions of transistor being formed (i.e., abutting the source/drain region). Note that in the particular embodiment an epitaxial layer 44 is also formed overlying conductive gate structure 14. In a typical embodiment, epitaxial layers 42 and 44 are exposed to a silicidation process to form silicide layers. It will be appreciated that the locations of the epitaxial layers 42 are defined by the outer surfaces of the sidewall gate structure to which they abut as well as by structures, i.e., isolation regions, not illustrated in the figures.
  • FIG. 9 further illustrates semiconductor substrate 5 comprising a semiconductor-on-insulator (SOI) substrate, where layer 6 represents a semiconductor layer, such as silicon, overlying an insulator region layer 7, such as an oxide, and layer 7 overlying layer 8, which is a support substrate, which can also be a semiconductor material, such as silicon.
  • FIG. 10 illustrates location 11 after completion of device processing. Specifically, FIG. 11 includes dielectric layers 71, 72 and 73. Contacts and vias, such as 61 and 50, are formed through the layers 71 and 72 to contact structures at lower levels. Dielectric layer 73 is an upper most protective layer of the completed device, and is commonly referred to as a passivation layer. A first metal layer includes metal trace 62; a second metal layer includes conductive trace 51.
  • The method described herein provides for a flexible implementation. Although the disclosure has been described using certain specific examples, it will be apparent to those skilled in the art that the invention is not limited to these few examples. Fore example, various types of deposition and etch techniques are currently available which could be suitable for use in employing the method as taught herein. Note also, that although an embodiment of the present invention has been shown and described in detail herein, along with certain variants thereof, many other varied embodiments that incorporate the teachings of the invention may be easily constructed by those skilled in the art.
  • For example, it will be appreciated that any number of substrate preclean steps can occur before the formation of any epitaxial layer. For example, U.S. patent application Ser. No. 10/791,346, which is hereby incorporated in its entirety by reference, discloses several substrate preclean techniques appropriate for cleaning a substrate prior to forming an epitaxial layer.
  • In one example, contaminates on the surface of a substrate are subjected to a cleaning process comprising applying a plasma to a surface of the active regions produce a reduction reaction with the contaminates in an upper portion of the surface of the active regions. In an embodiment, the plasma comprises H2. While the plasma is being applied to the upper portion of the exposed active regions, the resultant products or vapor byproducts of the reduction reaction are removed by the normal vacuum process within the chamber. Therefore, contaminates contained in the vapor byproducts and are vented away, leaving the upper portion of the surface of the active regions suitably clean for the ensuing epitaxial process. In one embodiment, the plasma process parameters comprise a gas flow of 450 sccm H2 and 300 sccm argon, at a chamber temperature of 400 degrees Celsius, with a high frequency (HF) power setting of 700 W, and a low frequency (LF) power setting of between approximately 50 to 100 W. Chamber pressure is 1 Torr, and the spacing between the surface of the active region and the faceplate of the tool (not shown) should be 300 mils. In other embodiments, plasma process parameters comprise a gas flow ranging from between 100-800 sccm H2 and from between 100 and 600 sccm argon. Chamber temperatures can range between 300 to 450 degrees Celsius, and HF power settings from between 400-900 W, with LF power settings varying from between 0-150 W. Chamber pressures can range from between 1 mT-5 Torr, with spacing between the surface of the active region and the faceplate of the tool varying from between 200 to 400 mils. Exposure times for the various embodiments utilizing plasma range from between approximately 10 seconds up to approximately 120 seconds.
  • Various tool types are suitable for this cleaning, for example, CVD (Chemical Vapor Deposition) equipment, HDP (High Density Plasma) tools, etch chambers, or the like. Differences in chamber design, power settings, and species, e.g., H2 with or H2 without helium or nitrogen, will result in different thickness of the layer after anneal. Typically the layer after anneal will be between 20 and 50 Angstroms thick. This plasma cleaning process also results in passivation of Si—H bonds in the layer after anneal. No wet cleaning dip with hydrofluoric (HF) acid prior to SEG is necessary.
  • In addition to no longer requiring an HF dip prior to SEG, the reduced temperature of this H2 plasma cleaning treatment results in a reduction of the SEG process thermal budget of more than 100 degrees Celsius. Typically pre-SEG cleaning processes are conducted at approximately 900 degrees Celsius or greater. In an embodiment of the present disclosure, the cleaning process occurs at less than approximately 800 degrees Celsius. In another embodiment, the cleaning process occurs at less than approximately 500 degrees Celsius or less. In addition, the cleaning processes of the present disclosure could be conducted at less than approximately 700 degrees Celsius or less, or even at less than approximately 600 degrees Celsius or less.
  • In another embodiment, location including includes a gate structure and active regions is subjected to a cleaning process utilizing a low-power dry etch to selectively remove an upper atomic layer of material from the active regions. The thickness of the upper atomic layer of material to be removed ranges from between 20 to about 50 Angstroms. In one embodiment, the dry etch process is an anisotropic dry etch utilizing a carbon-free gas as an etchant gas. In another embodiment, the anisotropic dry etch utilizes an oxygen- and carbon-free gas as an etchant gas. The etchant gas can comprise HBr, NF3, SF6, gaseous fluorine-interhalogenics such as ClF3, or any gas containing fluorine, suitable to disassociate F-radicals, which does not contain oxygen and carbon. Prior to undergoing the anisotropic dry etch process, location 200 is subjected to a standard wet etch chemistry process utilizing a dilute HF solution (100:1) at room temperature, e.g., 20 to 26 degrees Celsius, for a time period ranging from 50 to 200 seconds. Following the HF clean, a low-power dry etch utilizing a temperature of approximately 400 degrees Celsius, RF power of approximately 375 W, pressure of approximately 150 mTorr, and a gas flow rate ranging from 50 to 100 sccm, is conducted. In other embodiments, the low-power dry etch utilizes a temperature ranging from between 300-500 degrees Celsius, with RF power ranging from between 200-700 W, a pressure ranging between 0-1 Torr, and a gas flow rate ranging from between 10-300 sccm, for a time ranging between 10 to 60 seconds.
  • This low-power dry etch removes carbon and oxygen contamination, and provides a very clean surface for SEG. The low temperature HF clean followed by the low-power dry etch does not require a high temperature bake. This results in a reduction of thermal budget for SEG of more than 100 degrees Celsius.
  • In another embodiment, a cleaning process is used that forms an oxidation layer of between 20 to 50 Angstroms on an upper surface of the active regions using a plasma to produce the oxidation layer on doped active regions. In an embodiment, the plasma is an O2 plasma. In another embodiment, the plasma is an O3 plasma.
  • An O2 plasma production utilizes O2 gas at a flow rate of 400 sccm, a pressure of 5 Torr, an HF of 300 W, an LF of 100 W, and a temperature of 400 degrees Celsius, with the time ranging from between about 10 to about 120 seconds. The spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus (not shown) should be 400 mils. In other embodiments, the plasma production utilizes O2 gas at a flow rate of between 100 and 1000 sccm, a pressure ranging from between 2-10 Torr, an HF ranging between 200-500 W, an LF ranging between 50-200 W, a temperature ranging between 300-450 degrees Celsius, for a time ranging from between approximately 10 to approximately 120 seconds. In an embodiment, the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus ranges from between 200 and 600 mils. The tool type used to generate the plasma could be CVD equipment, HDP tools, or etch chambers. In an embodiment where the plasma is O3, plasma production utilizes O3 gas at a flow rate of 300 sccm, a pressure of 5 Torr, an HF of 300 W, an LF of 100 W, and a temperature of 400 degrees Celsius for a time period ranging from between 10 to 120 seconds. The spacing between the surface of the active regions and the face plate of the vapor deposition apparatus (not shown) should be 400 mils. In other embodiments, plasma production utilizes O3 gas at a flow rate of between 50 and 600 sccm, a pressure ranging from between 2-10 Torr, an HF ranging between 200-500 W, an LF ranging between 50-200 W, and a temperature ranging from between 300-450 degrees Celsius for a time period ranging from between about 10 to about 120 seconds. In an embodiment, the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus ranges from between 200 and 600 mils. As was the case with the O2 plasma, the tool type used to generate the plasma could be HDP tools, CVD equipment, or etch chambers.
  • Forming the oxidation layer facilitates trapping or fixing contamination in the oxide layer overlying the upper layer of the doped active regions for subsequent removal using a wet chemistry process. The wet etch chemistry process utilizes a dilute HF acid solution of 100:1 at room temperature, e.g. 20 to 26 degrees Celsius, for a time ranging from 50 to 200 seconds. Differences in chamber design, power settings and species employed, e.g., O2 or O3, results in differing thickness of the oxidation layer, hence the wide range in times for the HF dip. The use of an O2 or O3 plasma to create a contamination-trapping oxidation layer for removal by a room temperature HF dip results in a reduction of the thermal input for location 300.
  • Another possible pre-clean, different from the one described above, prior to formation of an SEG that facilitates a reduced temperature H2 bake is performed following formation of any desired spacers, which can comprise one or more nitride or oxide layers and prior to SEG formation. This pre-clean and comprises a first pre-rinse with deionized water, followed by an oxide etch utilizing an aqueous solution of deionized water and hydrofluoric acid (HF or hydrogen fluoride in water) aqueous solution of approximately 30:1 (volumetric ratio) at 21 degrees Celsius, for a time period ranging from between 50-60 seconds. The weight percentage of HF recommended for the HF aqueous solution is 49% in a balance of deionized water (H2O). Bulk HF aqueous solution can be purchased from various chemical suppliers in the HF weight percent range of 10% to 49%. In semiconductor fabrication facilities, this aqueous HF aqueous solution is typically diluted in the range 10:1 to 200:1. A 10:1 HF is 1 part aqueous HF (at 49% weight percent) and 10 parts H2O. It will be appreciated that the etch rate of the HF aqueous solution is substantially linear with respect to both the concentration of the HF aqueous solution and the etch time. Therefore, various combinations of HF concentrations and etch times can be used to accomplish the oxide etch. Additionally, the temperature may vary.
  • After the HF etch, an overflow rinse utilizing deionized water is performed for a period ranging from approximately 120 to 600 seconds with a typical rinse being about 400 seconds. The cleaning process of portion 100 results in etching away of the surface contamination/debris located on substrate 10 resulting from offset spacer formation and/or dopant implantation. The upper semiconductor surface, i.e. silicon surface, of substrate 10 is also slightly etched, for example, from one to several mono layers of silicon, during the HF etch.
  • It should be noted that the amount of material removed during the HF etch is dependent upon the type of material being removed. For example, when native oxide is present, the HF etch will remove approximately 20 to 30 Angstroms of oxide. If a deposited oxide layer is present in addition to a native oxide, an over-etch of approximately 30% is generally desirable. For example, if removal of 100 Angstroms of a chemical vapor deposition (CVD) oxide is desired, the HF etch could be employed to remove approximately 120 to 130 Angstroms oxide removal. This latter example would be applicable in applications where a liner oxide of approximately 100 Angstroms thickness is employed between a conductive gate 25 and a nitride spacer.
  • The next steps in the cleaning process comprise a second pre-rinse with deionized water of approximately 30 seconds duration precedes the performance of a Standard Clean-1 (SC-1), a quick dry rinse (QDR), and a Standard Clean-2 (SC-2). The SC-1 and SC-2 components are followed by a second QDR, and an HF: H2O etch, a third rinse, and an isopropyl alcohol (IPA) dry. The amount of material removed by the SC-1 and SC-2 components are implemented such that they etch from approximately one monolayer of silicon to approximately 10 to 100 Angstroms of silicon.
  • In an embodiment, the SC-1 utilizes an aqueous solution of ammonium hydroxide:hydrogen peroxide:deionized water at a ratio of approximately 1:1-4:6-40, at a temperature of approximately 60 degrees Celsius for approximately 72 minutes, to etch approximately 100 Angstroms of silicon. Synonyms for ammonium hydroxide (NH4OH) include ammonia solution (typically contains between 12% and 44% ammonia before dilution), dilute ammonia, or concentrated ammonia. A first quick dry rinse is conducted for approximately 3 minutes. In an embodiment, the SC-2 utilizes a solution of hydrochloric acid:hydrogen peroxide:deionized water at an initial ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes. A second quick dry rinse is then conducted. Synonyms for hydrochloric acid (HCl) are hydrogen chloride, anhydrous hydrogen chloride, aqueous hydrogen chloride, chlorohydric acid, spirit of salts, and muriatic acid.
  • In a particular embodiment, the SC-1 utilizes a solution of ammonium hydroxide:hydrogen peroxide:deionized water at a ratio of approximately 1:4:20 at a temperature ranging of approximately 60 degrees Celsius for approximately 72 minutes. The SC-1 is the step in the clean sequence that etches the silicon. This occurs because the H2O2 (the oxidizer) becomes depleted in the solution with increasing time and increasing temperature. The methods of the present disclosure allow the initial concentration of hydrogen peroxide to be depleted to facilitate etching of the upper-most semiconductor portion. Depletion of the H2O2 is greatly enhanced when the solution temperature rises above 80 degrees Celsius, which can lead to an etch that is difficult to control if not carefully monitored. The temperature range of the SC-1 is expected to be approximately 55 to 85 degrees Celsius, with the etch occurring in a shorter period of time at higher temperatures than at lower temperatures. It is expected that the SC-1 etching will be better controlled at temperatures in the range of 55-80 degrees Celsius and better still at temperatures in the range of 55-75 degrees Celsius. Generally, it is expected that the substrate will be exposed to the SC-1 etch process for longer that 60 minutes. When the oxidizer stops protecting the silicon surface, the ammonium hydroxide (NH4OH) starts to etch the silicon. Thus, a small amount of silicon can be etched in a controlled manner. The SC-1 can be performed in a re-usable bath where the solution is re-circulated and heated to maintain the desired temperature.
  • The mechanism of silicon and SiO2 etching by a NH4OH/H2O2 solution occurs when the solution is allowed to be depleted of H2O2. An alkaline solution, such as NH4OH4 in our example, will attack silicon by water molecules, according to the reaction:

  • Si+2H2O+2OH→Si(OH)2(O)2+2H2
  • A passivation layer formed by the H2O2 prevents this attack by the NH4OH. H2O2 decomposes in the course to form O2 and H2O.

  • H2O2−→H2O+½O2
  • When the concentration of H2O2 is below 3×10−3M, then silicon will begin to etch, because of the absence of the inhibition layer.
  • As indicated in the above equations, heat is given off as the H2O2 is depleted. If a bath is used that is not recharged with fresh solution all H2O2 will be depleted, thereby no longer releasing heat. Therefore, the temperature can be monitored on the low end to indicate when the solution should be refreshed, while the temperature on the high end is monitored to prevent unusually rapid decomposition of the H2O2, which can lead to a process that is difficult to control.
  • The first quick dry rinse is conducted for approximately 3 minutes. The subsequent SC-2 utilizes a solution of hydrochloric acid:hydrogen peroxide:deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes. A quick dry rinse with deionized water, followed by an IPA dry process, is performed following the SC-2.
  • The IPA dry process uses a heated IPA vapor at approximately 82 degrees Celsius. The IPA vapor is generated in a separate chamber with 100% N2 bubbled through 100% IPA (heated to 82 degrees Celsius). The IPA condenses on the wafer, and the solution drips off the bottom of the wafer. The IPA vapor concentration is slowly diluted to 100% N2 before the wafers are removed from the rinsing/drying tank.
  • Subsequent to the SC-1 and SC-2 processes, the substrate will be further recessed (etched) as a result of the cleaning process. Next, an HF: H2O etch can be conducted at an aqueous solution ratio of 200:1 for about 65 seconds, which typically results in approximately 30 Angstroms of oxide removal. The HF: H2O etch 8 is followed by a rinse with deionized water for approximately a 10 minute duration. The deionized water rinse is followed by an IPA dry as described in the preceding paragraph. At this time, the source/drain regions of the substrate are ready for ion implantation or selective epitaxial growth.
  • In a particular embodiment, the SC-1 process comprises a pre-rinse with deionized water of approximately 30 seconds duration. The pre-rinse is followed by a SC-1 solution at a ratio of approximately 1:1-4:6-40, which includes the subranges of 0.25:1:5, 0.5:1:5, 1:1:5, 1:1:6, 1:4:20, and 1:1:40, ammonium hydroxide: hydrogen peroxide: deionized water at a temperature of approximately 60 degrees Celsius for approximately 5 minutes. A quick dump rinse (QDR) is then performed for approximately 3 minutes.
  • Following the SC-1 cleaning process, an SC-2 cleaning process is performed. In an embodiment, the SC-2 cleaning process includes utilizing an aqueous solution of hydrochloric acid:hydrogen peroxide:deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees Celsius for approximately 5 minutes. A QDR is then performed, and portion 200 is ready for the third cleaning. The weight percent composition of the hydrochloric acid: hydrogen peroxide: deionized water is 29% (weight percent) hydrochloric acid and 30% (weight percent) hydrogen peroxide in a balance of deionized water.
  • After the SC-1 and SC-2, a third cleaning process comprising an approximate 30 second pre-rinse, an oxide etch, an overflow rinse and an IP dry is performed. The oxide etch is accomplished utilizing a solution of deionized water and hydrofluoric acid at a ratio of approximately 200:1 for a time period ranging from between 450-650 seconds. Following the HF etch, an overflow rinse is performed for approximately 10 minutes. A final isopropyl alcohol (IPA) dry is then performed. Approximately 120-140 Angstroms of the surface of substrate 20 is removed in this process. Portion 200 is ready to undergo selective epitaxial growth.
  • The above-described cleaning process has been found to facilitate formation of an epitaxial layer on a semiconductor surface, specifically silicon. Because various etch processes can etch N- and P-type regions at different rates, it can be useful to amorphize an upper-most surface of the source/drain regions prior to the above-described clean to reduce any preferential etch differences between substrate regions of differing dopant types.
  • For example, the above-described clean process can etch the N-type silicon preferentially, as compared to the P-type silicon, resulting in a quality difference of the SEG between the N and P regions after SEG processing. Etch rate differences between N- and P-type regions can allow for contaminates to remain in the lesser-etched region. For example, an etch process that does not etch P-type regions at the same rate as N-type regions can result in P-regions maintaining embedded carbon that is incorporated from previous process steps. Without appropriate etching of silicon in the P-type regions during the clean, the carbon will remain, and the SEG will grow inconsistently. A high bake temperature of 900° C. can be used to overcome this growth issue on P areas, however, as stated previously, high bake temperatures can be detrimental to the device in that it causes diffusion and deactivation of the dopants. Amorphizing the source/drain regions can reduce etch differences associated with the above-described cleaning process as well as other processes that are used to etch doped substrate regions, thereby improving the quality of both the N and P regions.
  • It has been observed that the selective etching may be P-type over N-type, or N-type over P-type depending on the solution temperature, flow rate of the aqueous ammonia, concentration of the aqueous ammonia, agitation, or illumination of light. By amorphizing the silicon in this manner to a pre-defined depth, it has been observed that unbiased etching to the depth of the amorphized silicon can be achieved.
  • In one embodiment, N- and P-type extensions formed in the source/drain regions are amorphized by being implanted with the Xe, at a dose of 2E14 and energy of 10 keV, to create an amorphous depth of 100 A.
  • In accordance with another embodiment, a spacer structure having an undercut can be used to reduce or inhibit facet formation during a selective epitaxial growth process. Such a process can allow for greater lateral uniformity of junction or silicide features during implantation or silicidation processes, and can be accomplished by using a spacer formed with a bi-layer of materials, e.g., a thin liner, such as portion 29 of FIG. 1, of one material underlying another layer of material from which the ‘main’ spacer is formed. The thin liner and other material layer are selected such that the two materials are selectively etchable with respect to the other, for example, a thin oxide liner and a nitride layer. By etching the underlying portion of the spacer, an undercut can be formed that reduces facets during epitaxial formation.
  • In addition, a number of etch chemistries can be used to form nitride spacers. These etch chemistries are known in the art, and include the use of gas mixtures such as NF3/HBr, CF4/HBr, SF6/HBr, or any combination of these gases. In an embodiment, helium or argon can be included in the gas mixture to dilute the etch chemistry.
  • To improve the selectivity of the nitride etch over oxide, oxygen (O2) can be added to the gas mixture flowing into the plasma reactor during etch. In an embodiment, the addition of oxygen during the etching process is an amount between 2 percent and 15 percent by volume. The plasma reactor may be of any type which provides ion bombardment, e.g., capacitively-coupled parallel-plate reactor, or inductively coupled plasma with wafer (RF) bias. The wall temperature of the plasma reactor during etching is between 20 degrees C. and 60 degrees C., while the wafer platen (chuck) temperature is between 50 degrees C. and 80 degrees C. The gas mixture has a total gas flow during etching of between 75 sccm and 150 sccm for 200 mm wafers. The total gas flow would require an increase for 300 mm wafers, in order to compensate for the increase in the plasma reactor chamber volume. The chamber pressure is in the range of 50-150 mtorr for 200 mm wafers using capacitively coupled plasma reactor systems. It should be noted that the chamber pressure necessarily depends upon the size of the chamber, as well as the manufacturer of the plasma reactor equipment. The examples presented herein reference Applied Material's MERIE (magnetically enhanced reactive ion etch) systems.
  • The amount of oxygen added is dependant upon the particular etch chemistry chosen. An exemplary etch chemistry could be CF4, HBr, and He—O2, with gas flow ratios, by volume, of CF4:HBr:He—O2 of approximately 10:(2-6):(1-6). The ratio of He—O2 used is 70/30 percent by volume, however, other appropriate ratios would work as well. In an embodiment, addition of oxygen during the etching process is an amount between 2% and 15% by volume. The addition of oxygen does not greatly alter the resultant spacer 16 profile, although small alterations are possible. This could, however, be compensated for by changing (i.e., increasing or decreasing) the thickness of nitride layer. Hence the addition of oxygen during the etching process does not require adjustments of other etch process parameters. The addition of oxygen enhances the etch selectivity of the nitride layer 14 to the liner oxide layer 15. In an embodiment, the etch selectivity for silicon nitride is between 1:2 and 1:3.
  • Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, the benefits, advantages, solutions to problems, and any element(s) that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as a critical, required, or essential feature or element of any or all the claims. Accordingly, the present invention is not intended to be limited to the specific form set forth herein, but on the contrary, it is intended to cover such alternatives, modifications, and equivalents, as can be reasonably included within the spirit and scope of the invention.

Claims (14)

1. A device comprising:
a conductive gate of a transistor overlying a semiconductor substrate;
a sidewall spacer structure abutting the conductive gate, the sidewall spacer structure comprising a first sidewall spacer and a second sidewall spacer between the first sidewall spacer and the conductive gate;
a source/drain extension region underlying the second sidewall spacer;
a deep source/drain region underlying the first sidewall spacer; and
an epitaxial layer abutting the outer surface of the sidewall spacer structure.
2. The device of claim 1 wherein the first sidewall spacer comprises a first offset spacer and a first liner layer, the first liner layer underlying and abutting the first offset spacer, and wherein the second sidewall spacer comprises a second offset spacer between the first liner layer and the conductive gate.
3. The device of claim 2 wherein the first liner layer contains an oxide selectively etchable from the first offset layer.
4. The device of claim 3 wherein the first offset spacer contains nitrogen.
5. The device of claim 3 wherein the first liner layer of the first sidewall spacer contains a silicon oxynitride.
6. The device of claim 5 further comprising an epitaxial layer overlying the conductive gate structure.
7. The device of claim 5 further comprises a silicide at the epitaxial layer.
8. The device of claim 2, wherein the second sidewall spacer further comprises a second liner layer between the second offset spacer and the conductive gate.
9. The device of claim 1, wherein the material forming an outer surface of the sidewall spacer contains nitrogen.
10. The device of claim 9 wherein the material forming the outer surface contains a silicon oxynitride.
11. The device of claim 10 further comprising an epitaxial layer overlying the conductive gate structure.
12. The device of claim 10 further comprises a silicide at the epitaxial layer.
13. The device of claim 1 further comprises a silicide at the epitaxial layer.
14. The device of claim 1 further comprising an epitaxial layer overlying the conductive gate structure.
US12/251,959 2004-10-20 2008-10-15 Semiconductor device Abandoned US20090032888A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/251,959 US20090032888A1 (en) 2004-10-20 2008-10-15 Semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US96977404A 2004-10-20 2004-10-20
US11/209,871 US7456062B1 (en) 2004-10-20 2005-08-23 Method of forming a semiconductor device
US12/251,959 US20090032888A1 (en) 2004-10-20 2008-10-15 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/209,871 Division US7456062B1 (en) 2004-10-20 2005-08-23 Method of forming a semiconductor device

Publications (1)

Publication Number Publication Date
US20090032888A1 true US20090032888A1 (en) 2009-02-05

Family

ID=40029455

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/209,871 Active 2025-08-18 US7456062B1 (en) 2004-10-20 2005-08-23 Method of forming a semiconductor device
US12/251,959 Abandoned US20090032888A1 (en) 2004-10-20 2008-10-15 Semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/209,871 Active 2025-08-18 US7456062B1 (en) 2004-10-20 2005-08-23 Method of forming a semiconductor device

Country Status (1)

Country Link
US (2) US7456062B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090218636A1 (en) * 2008-02-29 2009-09-03 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
KR20130107588A (en) * 2012-03-22 2013-10-02 삼성전자주식회사 Method of forming a mos transistor
CN103378101A (en) * 2012-04-20 2013-10-30 瑞萨电子株式会社 Semiconductor device and method of manufacturing the same

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7585754B2 (en) * 2008-01-10 2009-09-08 Winbond Electronics Corp. Method of forming bonding pad opening
DE102009035438B4 (en) * 2009-07-31 2013-02-07 Globalfoundries Dresden Module One Llc & Co. Kg Use of high-k dielectrics as very selective etch stop materials in semiconductor devices, as well as semiconductor devices
KR101815527B1 (en) * 2010-10-07 2018-01-05 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR101878311B1 (en) * 2011-12-30 2018-07-17 삼성전자주식회사 Method of forming semiconductor device using high-K layer for spacer etch stop and related device
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9991363B1 (en) * 2017-07-24 2018-06-05 Globalfoundries Inc. Contact etch stop layer with sacrificial polysilicon layer
JP7034834B2 (en) * 2018-05-30 2022-03-14 ルネサスエレクトロニクス株式会社 Semiconductor devices and their manufacturing methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228730B1 (en) * 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6346732B1 (en) * 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
US7157761B2 (en) * 2000-08-16 2007-01-02 Micron Technology, Inc. Capacitor with noble metal pattern
US7241700B1 (en) * 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US7402485B1 (en) * 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4606866A (en) 1983-07-01 1986-08-19 Baxter Travenol Laboratories, Inc. Wettable devices operational with aqueous liquid and method therefor
US4933295A (en) 1987-05-08 1990-06-12 Raytheon Company Method of forming a bipolar transistor having closely spaced device regions
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4868617A (en) * 1988-04-25 1989-09-19 Elite Semiconductor & Sytems International, Inc. Gate controllable lightly doped drain mosfet devices
DE69126149T2 (en) 1990-01-22 1998-01-02 Sony Corp Dry etching process
DE4017440C2 (en) 1990-05-30 1994-02-10 Fraunhofer Ges Forschung Method for measuring the layer thickness and the refractive index of a thin layer on a substrate and device for carrying out the method
US5091763A (en) 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
US5200352A (en) 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
US5298454A (en) 1992-10-30 1994-03-29 At&T Bell Laboratories Method for making self-electro-optical device and devices made thereby
US5399521A (en) 1993-10-08 1995-03-21 Texas Instruments Incorporated Method of semiconductor layer growth by MBE
KR100306527B1 (en) 1994-06-15 2002-06-26 구사마 사부로 Manufacturing method of thin film semiconductor device, thin film semiconductor device
JPH08139416A (en) 1994-11-14 1996-05-31 Sony Corp Obtaining method of critical film thickness of compound semiconductor layer and manufacture of optical semiconductor device which uses the method
US5926701A (en) 1994-12-21 1999-07-20 Sony Electronics, Inc. Thin film transistor fabrication technique
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6159422A (en) 1994-12-29 2000-12-12 Graves' Trust Group Methods and apparatus for the treatment of hazardous biological waste materials
US5646073A (en) 1995-01-18 1997-07-08 Lsi Logic Corporation Process for selective deposition of polysilicon over single crystal silicon substrate and resulting product
US5773328A (en) 1995-02-28 1998-06-30 Sgs-Thomson Microelectronics, Inc. Method of making a fully-dielectric-isolated fet
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5956590A (en) 1995-05-25 1999-09-21 United Microelectronics Corp. Process of forming a field effect transistor without spacer mask edge defects
JP3146962B2 (en) 1995-12-14 2001-03-19 日本電気株式会社 Semiconductor storage device and method of manufacturing the same
KR100214468B1 (en) 1995-12-29 1999-08-02 구본준 Method for fabricating cmos
US5854136A (en) 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US5677214A (en) 1996-09-05 1997-10-14 Sharp Microelectronics Technology, Inc. Raised source/drain MOS transistor with covered epitaxial notches and fabrication method
US6387803B2 (en) 1997-01-29 2002-05-14 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JPH10223771A (en) 1997-02-12 1998-08-21 Yamaha Corp Semiconductor device and fabrication thereof
JPH10242139A (en) 1997-02-27 1998-09-11 Nec Corp Manufacture of semiconductor device
US6777759B1 (en) 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6160299A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Shallow-implant elevated source/drain doping from a sidewall dopant source
US5949126A (en) 1997-12-17 1999-09-07 Advanced Micro Devices, Inc. Trench isolation structure employing protective sidewall spacers upon exposed surfaces of the isolation trench
US6214049B1 (en) 1999-01-14 2001-04-10 Comfort Biomedical, Inc. Method and apparatus for augmentating osteointegration of prosthetic implant devices
WO1999030632A1 (en) 1997-12-18 1999-06-24 Comfort Biomedical, Inc. Bone augmentation for prosthetic implants and the like
US6228746B1 (en) 1997-12-18 2001-05-08 Advanced Micro Devices, Inc. Methodology for achieving dual field oxide thicknesses
US5902125A (en) 1997-12-29 1999-05-11 Texas Instruments--Acer Incorporated Method to form stacked-Si gate pMOSFETs with elevated and extended S/D junction
US6346505B1 (en) 1998-01-16 2002-02-12 Kurita Water Industries, Ltd. Cleaning solution for electromaterials and method for using same
US6133093A (en) 1998-01-30 2000-10-17 Motorola, Inc. Method for forming an integrated circuit
US6245684B1 (en) 1998-03-13 2001-06-12 Applied Materials, Inc. Method of obtaining a rounded top trench corner for semiconductor trench etch applications
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US7014788B1 (en) 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
US6124610A (en) 1998-06-26 2000-09-26 Advanced Micro Devices, Inc. Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
JP3104689B2 (en) 1998-09-28 2000-10-30 日本電気株式会社 Method for forming silicide layer and method for manufacturing semiconductor device
GB9822690D0 (en) 1998-10-19 1998-12-09 Secr Defence Layer processing
US6277736B1 (en) 1998-12-08 2001-08-21 United Microelectronics, Corp. Method for forming gate
US6187645B1 (en) 1999-01-19 2001-02-13 United Microelectronics Corp. Method for manufacturing semiconductor device capable of preventing gate-to-drain capacitance and eliminating birds beak formation
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6165906A (en) 1999-01-26 2000-12-26 Advanced Micro Devices, Inc. Semiconductor topography employing a shallow trench isolation structure with an improved trench edge
US6313017B1 (en) 1999-01-26 2001-11-06 University Of Vermont And State Agricultural College Plasma enhanced CVD process for rapidly growing semiconductor films
US6190981B1 (en) 1999-02-03 2001-02-20 United Microelectronics Corp. Method for fabricating metal oxide semiconductor
US6218711B1 (en) 1999-02-19 2001-04-17 Advanced Micro Devices, Inc. Raised source/drain process by selective sige epitaxy
KR100338766B1 (en) 1999-05-20 2002-05-30 윤종용 Method of Elevated Salicide Source/Drain Region Using method of Forming T-Shape Isolation Layer and Semiconductor Device using thereof
US6472283B1 (en) 1999-09-24 2002-10-29 Advanced Micro Devices, Inc. MOS transistor processing utilizing UV-nitride removable spacer and HF etch
US6255152B1 (en) * 1999-10-01 2001-07-03 United Microelectronics Corp. Method of fabricating CMOS using Si-B layer to form source/drain extension junction
US6440851B1 (en) 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US6251764B1 (en) 1999-11-15 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form an L-shaped silicon nitride sidewall spacer
US6294432B1 (en) 1999-12-20 2001-09-25 United Microelectronics Corp. Super halo implant combined with offset spacer process
US6165857A (en) * 1999-12-21 2000-12-26 United Micoelectronics Corp. Method for forming a transistor with selective epitaxial growth film
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6277700B1 (en) 2000-01-11 2001-08-21 Chartered Semiconductor Manufacturing Ltd. High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness
US6303447B1 (en) 2000-02-11 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
US6346468B1 (en) 2000-02-11 2002-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming an L-shaped spacer using a disposable polysilicon spacer
US6432318B1 (en) 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6348387B1 (en) 2000-07-10 2002-02-19 Advanced Micro Devices, Inc. Field effect transistor with electrically induced drain and source extensions
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6679946B1 (en) 2000-09-27 2004-01-20 Optical Communication Products, Inc. Method and apparatus for controlling substrate temperature and layer thickness during film formation
US6451693B1 (en) 2000-10-05 2002-09-17 Advanced Micro Device, Inc. Double silicide formation in polysicon gate without silicide in source/drain extensions
US6595370B2 (en) 2000-11-29 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing contamination in a wafer transfer chamber
JP2002220660A (en) 2001-01-26 2002-08-09 Seiko Epson Corp Sputtering apparatus
US20020104846A1 (en) 2001-02-02 2002-08-08 Richard Rosenfeld Porcelain container with plastic lid
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6380043B1 (en) 2001-02-12 2002-04-30 Advanced Micro Devices, Inc. Low temperature process to form elevated drain and source of a field effect transistor having high-K gate dielectric
US6444578B1 (en) 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
JP2002280550A (en) 2001-03-22 2002-09-27 Mitsubishi Electric Corp Method for manufacturing semiconductor device and semiconductor device
US6465324B2 (en) 2001-03-23 2002-10-15 Honeywell International Inc. Recessed silicon oxidation for devices such as a CMOS SOI ICs
US6475893B2 (en) 2001-03-30 2002-11-05 International Business Machines Corporation Method for improved fabrication of salicide structures
US20020171107A1 (en) * 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
JP2003069029A (en) 2001-08-27 2003-03-07 Matsushita Electric Ind Co Ltd Semiconductor device and manufacturing method thereof
US6784506B2 (en) 2001-08-28 2004-08-31 Advanced Micro Devices, Inc. Silicide process using high K-dielectrics
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6436841B1 (en) 2001-09-10 2002-08-20 Taiwan Semiconductor Manufacturing Company Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
US6677233B2 (en) 2002-01-02 2004-01-13 Intel Corporation Material deposition from a liquefied gas solution
AU2003247513A1 (en) 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6713350B2 (en) 2002-08-07 2004-03-30 Micron Technology, Inc. Method to remove an oxide seam along gate stack edge, when nitride space formation begins with an oxide liner surrounding gate stack
JP2004095639A (en) 2002-08-29 2004-03-25 Fujitsu Ltd Semiconductor device and its manufacturing method
US6797593B2 (en) 2002-09-13 2004-09-28 Texas Instruments Incorporated Methods and apparatus for improved mosfet drain extension activation
JP2004140196A (en) 2002-10-17 2004-05-13 Nec Electronics Corp Manufacturing method of semiconductor device and substrate washing equipment
JP4008860B2 (en) 2003-07-11 2007-11-14 株式会社東芝 Manufacturing method of semiconductor device
KR100574948B1 (en) 2003-08-23 2006-04-28 삼성전자주식회사 Semiconductor memory device decreased parasitic capacitance and method for manufacturing the same
US6908822B2 (en) 2003-09-15 2005-06-21 Freescale Semiconductor, Inc. Semiconductor device having an insulating layer and method for forming
KR100506823B1 (en) 2003-11-24 2005-08-10 삼성전자주식회사 Method of manufacturing a semiconductor device
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
DE10355575B4 (en) * 2003-11-28 2010-01-07 Advanced Micro Devices, Inc., Sunnyvale A method of making sidewall spacers for a circuit element by increasing etch selectivity
US7235848B2 (en) 2003-12-09 2007-06-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with spacer trapping structure
US7018891B2 (en) 2003-12-16 2006-03-28 International Business Machines Corporation Ultra-thin Si channel CMOS with improved series resistance
US7037793B2 (en) 2004-02-09 2006-05-02 United Microelectronics Corp. Method of forming a transistor using selective epitaxial growth
US20050212015A1 (en) * 2004-03-25 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate semiconductor device and manufacturing method
US7220650B2 (en) 2004-04-09 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacer for semiconductor device and fabrication method thereof
US6979622B1 (en) 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation
US7190036B2 (en) 2004-12-03 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor mobility improvement by adjusting stress in shallow trench isolation
US20060154421A1 (en) * 2005-01-12 2006-07-13 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having notched gate MOSFET

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228730B1 (en) * 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6346732B1 (en) * 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
US7157761B2 (en) * 2000-08-16 2007-01-02 Micron Technology, Inc. Capacitor with noble metal pattern
US7241700B1 (en) * 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US7402485B1 (en) * 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090218636A1 (en) * 2008-02-29 2009-09-03 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
US7867835B2 (en) * 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
KR20130107588A (en) * 2012-03-22 2013-10-02 삼성전자주식회사 Method of forming a mos transistor
CN103378101A (en) * 2012-04-20 2013-10-30 瑞萨电子株式会社 Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
US7456062B1 (en) 2008-11-25

Similar Documents

Publication Publication Date Title
US7456062B1 (en) Method of forming a semiconductor device
US20060252191A1 (en) Methodology for deposition of doped SEG for raised source/drain regions
US6811448B1 (en) Pre-cleaning for silicidation in an SMOS process
US8252194B2 (en) Methods of removing silicon oxide
US7923319B2 (en) Method for manufacturing a semiconductor integrated circuit device circuit device
CN111799173B (en) Method for manufacturing semiconductor element and plasma processing apparatus
US8518786B2 (en) Process for forming a metal oxide semiconductor devices
KR20180085807A (en) Cleaning method
US20090236664A1 (en) Integration scheme for constrained seg growth on poly during raised s/d processing
US9997352B2 (en) Polysilicon residue removal in nanosheet MOSFETs
US9780000B2 (en) Method for forming spacers for a transitor gate
US20060281271A1 (en) Method of forming a semiconductor device having an epitaxial layer and device thereof
US7910996B2 (en) Semiconductor device and method of manufacturing a semiconductor device
US7402485B1 (en) Method of forming a semiconductor device
US7241700B1 (en) Methods for post offset spacer clean for improved selective epitaxy silicon growth
KR100680946B1 (en) Method for forming contact plug of semiconductor device
CN104465486B (en) The forming method of semiconductor devices
US20050252525A1 (en) Method of cleaning a semiconductor substrate and cleaning recipes
US20110001197A1 (en) Method for manufacturing semiconductor device and semiconductor device
KR100593752B1 (en) Method of fabricating semiconductor device including silicon nitride layer free of impurities
KR100560952B1 (en) Method of treatment a surface of a wafer
US11699620B2 (en) Shallow trench isolation structures having uniform step heights
US20230298944A1 (en) Shallow trench isolation structures having uniform step heights
KR100525912B1 (en) Method of manufacturing a semiconductor device
JP3866167B2 (en) Manufacturing method of MIS type semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630

Owner name: GLOBALFOUNDRIES INC.,CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION