US20090039514A1 - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
US20090039514A1
US20090039514A1 US12/187,699 US18769908A US2009039514A1 US 20090039514 A1 US20090039514 A1 US 20090039514A1 US 18769908 A US18769908 A US 18769908A US 2009039514 A1 US2009039514 A1 US 2009039514A1
Authority
US
United States
Prior art keywords
layer
insulating film
semiconductor
semiconductor device
wirings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/187,699
Inventor
Hiroyasu Jobetto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Casio Computer Co Ltd
Original Assignee
Casio Computer Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2007206067A external-priority patent/JP2009043858A/en
Priority claimed from JP2007250952A external-priority patent/JP5042762B2/en
Application filed by Casio Computer Co Ltd filed Critical Casio Computer Co Ltd
Assigned to CASIO COMPUTER CO., LTD. reassignment CASIO COMPUTER CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JOBETTO, HIROYASU
Publication of US20090039514A1 publication Critical patent/US20090039514A1/en
Priority to US12/849,529 priority Critical patent/US8268674B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/24225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/24227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82009Pre-treatment of the connector or the bonding area
    • H01L2224/8203Reshaping, e.g. forming vias
    • H01L2224/82035Reshaping, e.g. forming vias by heating means
    • H01L2224/82039Reshaping, e.g. forming vias by heating means using a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/82009Pre-treatment of the connector or the bonding area
    • H01L2224/8203Reshaping, e.g. forming vias
    • H01L2224/82047Reshaping, e.g. forming vias by mechanical means, e.g. severing, pressing, stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92142Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92144Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/425Plated through-holes or plated via connections characterised by the sequence of steps for plating the through-holes or via connections in relation to the conductive pattern
    • H05K3/426Plated through-holes or plated via connections characterised by the sequence of steps for plating the through-holes or via connections in relation to the conductive pattern initial plating of through-holes in substrates without metal
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/425Plated through-holes or plated via connections characterised by the sequence of steps for plating the through-holes or via connections in relation to the conductive pattern
    • H05K3/427Plated through-holes or plated via connections characterised by the sequence of steps for plating the through-holes or via connections in relation to the conductive pattern initial plating of through-holes in metal-clad substrates
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4602Manufacturing multilayer circuits characterized by a special circuit board as base or central core whereon additional circuit layers are built or additional circuit boards are laminated

Definitions

  • the present invention relates to a semiconductor device and a method for manufacturing the same, and particularly to a semiconductor device with a built-in semiconductor constituent and a method for manufacturing the same.
  • a chip size package As a conventional semiconductor device, there is known a chip size package (CSP) as disclosed in Jpn. Pat. Appln. KOKAI Publication No. 2000-223518, for example.
  • the semiconductor device disclosed in this document can be obtained by providing a plurality of columnar electrodes for external connection under a silicon substrate and sealing clearances between the columnar electrodes under this condition.
  • the semiconductor device since a semiconductor package of the same size as that of a silicon substrate is obtained, the semiconductor device is miniaturized, and the packaging density can be increased.
  • this kind of conventional semiconductor device has (Fan-in) structure in which electrodes for external connection are provided in a flat area region of a semiconductor constituent. Consequently, in the case where the number of arrangements of electrodes for external connection increases and the arrangement pitch becomes smaller than a predetermined size, for example, about 0.5 ⁇ m, this kind of semiconductor device cannot be applied.
  • a device adopts a Fan-out configuration in which a semiconductor constituent called a CSP is mounted on a base plate that has a plane size larger than the relevant semiconductor constituent, and the semiconductor constituent mounted on this base plate is covered with a sealing film to be hermetically sealed, and a nearly whole area that corresponds to one surface of the base plate is used for an area in which to arrange electrodes for external connection of the semiconductor constituent.
  • a sufficiently large area can be secured to arrange electrodes for external connection, and therefore, even when electrodes for external connection are extremely large, the size and the pitch of each electrode for external connection can be successfully secured.
  • An object of the present invention is to provide a semiconductor device capable of having a low-profile design in a semiconductor device whose arrangement area of electrodes for external connection is larger than the plane surface size of the semiconductor constituent, and a method for manufacturing the same.
  • a method for manufacturing a semiconductor device comprising: forming a lower-layer insulating film on a base plate; firmly fixing, on the lower-layer insulating film, a plurality of semiconductor constituents having a semiconductor substrate and a plurality of electrodes for external connection provided under the semiconductor substrate; forming an insulation layer on the lower-layer insulating film in the periphery of the semiconductor constituent and forming an upper-layer insulating film on the semiconductor constituent and the insulation layer.
  • the method then removes the base plate; forms lower-layer wirings connected with the electrodes for external connection of the semiconductor constituent under the lower-Layer insulating film and forming upper-layer wirings on the upper-layer insulating film.
  • a plurality of semiconductor devices are obtained by cutting the lower-layer insulating film, the insulation layer, and the upper-layer insulating film between the semiconductor constituents.
  • the area in which to arrange the electrodes for external connection can be made larger than the plane size of the semiconductor constituent. Further, since no base plate is provided, the semiconductor device can be low-profiled.
  • FIG. 1 is a cross-sectional view of a semiconductor device as a first embodiment of the present invention
  • FIG. 2 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 1 ;
  • FIG. 3 is a cross-sectional view of a step following FIG. 2 ;
  • FIG. 4 is a cross-sectional view of a step following FIG. 3 ;
  • FIG. 5 is a cross-sectional view of a step following FIG. 4 ;
  • FIG. 6 is a cross-sectional view of a step following FIG. 5 ;
  • FIG. 7 is a cross-sectional view of a step following FIG. 6 ;
  • FIG. 8 is a cross-sectional view of a step following FIG. 7 :
  • FIG. 9 is a cross--sectional view of a step following FIG. 8 ;
  • FIG. 10 is a cross-cross-sectional view shown to explain a predetermined step in another example of the method for manufacturing the semiconductor device shown in FIG. 1 ;
  • FIG. 11 is a cross-sectional view of a semiconductor device as a second embodiment of the present invention.
  • FIG. 12 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 11 ;
  • FIG. 13 is a cross-sectional view of a step following FIG. 12 ;
  • FIG. 14 is a cross-sectional view of a step following FIG. 13 ;
  • FIG. 15 is a cross-sectional view of a step following FIG. 14 ;
  • FIG. 16 is a cross-sectional view of a step following FIG. 15 ;
  • FIG. 17 is a cross-sectional view of a step following FIG. 16 ;
  • FIG. 18 is a cross-sectional view of a step following FIG. 17 ;
  • FIG. 19 is a cross-sectional view of a semiconductor device as a third embodiment of the present invention.
  • FIG. 20 is a cross-sectional view of a semiconductor device as a fourth embodiment of the present invention.
  • FIG. 21 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 20 ;
  • FIG. 22 is a cross-sectional view of a semiconductor device as a fifth embodiment of the present invention.
  • FIG. 23 is a cross-sectional view of a semiconductor device as a sixth embodiment of the present invention.
  • FIG. 24 is a cross-sectional view of a semiconductor device as a seventh embodiment of the present invention.
  • FIG. 25 is a cross-sectional view of a semiconductor device as an eighth embodiment of the present invention.
  • PIG. 26 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 25 ;
  • FIG. 27 is a cross-sectional view of a semiconductor device as a ninth embodiment of the present invention.
  • FIG. 28 is a cross-sectional view for explaining a method for manufacturing the semiconductor device shown in FIG. 27 .
  • FIG. 1 shows a cross-sectional view of a semiconductor device as a first embodiment of the present invention.
  • This semiconductor device includes a lower-layer insulating film 1 of a plane square shape formed by epoxy resin, polyimide resin, glass fabric substrate epoxy resin, and the like.
  • a semiconductor constituent 2 is mounted via an adhesive layer 3 composed of epoxy resin, etc.
  • the plane size of the lower layer insulating film 1 is larger than the plane size of the semiconductor constituent 2 .
  • the semiconductor constituent 2 is equipped with a silicon substrate (semiconductor substrate) 4 of a plane square shape.
  • a silicon substrate semiconductor substrate
  • an integrated circuit (not illustrated) of a predetermined function is equipped, and in peripheral portions of the lower surface, a plurality of connection pads 5 made of aluminum-based metal or the like are electrically connected to the integrated circuit.
  • An insulating film 6 composed of silicon oxide or the like is provided on the lower surface of the connection pads 5 excluding the center portion of the connection pads 5 and on the lower surface of the silicon substrate 4 , and a center portion of the connection pads 5 is exposed via an opening 7 provided in the insulating film 6 .
  • a passivation film 8 composed of polyimide resin, and the like is provided on the lower surface of the insulating film 6 .
  • An opening or through hole 9 is provided in the passivation film 8 at the portion corresponding to the opening 7 of the insulating film 6 .
  • a plurality of wirings 10 are provided on the lower surface of the passivation film 8 .
  • Each wiring 10 has a two-layer structure of a metallic underlayer 11 made of copper provided on the lower surface of the passivation film 8 and a metallic upperlayer 12 made of copper provided on the lower surface of the metallic underlayer 11 .
  • One end of each of the wirings 10 is electrically connected to the connection pad 5 via the opening 7 of the insulating film 6 and opening 9 of the passivation film 8 .
  • a columnar electrode (electrode for external connection) 13 made of copper is provided on the other end of each of the wirings 10 .
  • a sealing film 14 made of epoxy resin, or the like is provided on the lower surfaces of the passivation film 8 and the wirings 10 in such a manner that the lower surface thereof is flush with the lower surfaces of the columnar electrodes 13 .
  • the semiconductor constituent 2 of this kind of configuration is mounted on the upper surface center portion of the lower layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 and the sealing film 14 to the upper surface center portion of the lower layer insulating film 1 via the adhesive layer 3 made of epoxy resin or the like.
  • a plurality of openings or through holes 21 are formed in the underlayer insulating film 1 and the adhesive layer 3 in the portions corresponding to the lower surface center portions of the columnar electrodes 13 of the semiconductor constituent 2 .
  • a plurality of lower layer wirings 22 are provided on the lower surface of the lower layer insulating film 1 .
  • Each of the lower layer wirings 22 has a two-layer structure of a metallic underlayer 23 made of copper provided on the lower surface of the insulating film 1 and an metallic upperlayer 24 made of copper provided on the lower surface of the metallic underlayer 23 .
  • One end portion of each of the lower layer wirings 22 is connected to the columnar electrode 13 of the semiconductor constituent 2 via the openings of the lower layer insulating film 1 and the adhesive layer 3 .
  • a lower layer overcoat film 25 made of solder resist or the like is provided on the lower surfaces of the lower layer wirings 22 and the lower layer insulating film 1 .
  • a plurality of openings 26 are formed in the lower layer overcoat film 25 in the portions that correspond to the other end portions or the connection pad portions of the lower layer wirings 22 .
  • a plurality of solder balls 27 are provided by being connected to the connection pad portions of the lower layer wirings 22 .
  • An insulation layer 31 is provided on the upper surface of the lower layer insulating film 1 around the periphery of the adhesive layer 3 and the semiconductor constituent 2 .
  • the insulation layer 31 is composed of epoxy resin, polyimide resin, glass fabric substrate epoxy resin, or the like.
  • an upper layer insulating film 32 made of the same material as that of the lower layer insulating film 1 is provided.
  • a plurality of upper-layer wirings 33 are provided on the upper surface of the upper layer insulating film 32 .
  • Each upper-layer wiring 33 is made of a two-layer structure of a metallic underlayer 34 made of copper provided on the upper surface of the upper-layer insulating film 32 and a metallic upperlayer 35 made of copper provided to the upper surface of the metallic underlayer 34 .
  • An upper-layer overcoat film 36 made of solder resist or the like is provided on the upper surface of the upper-layer wirings 33 and the upper-layer insulating film 32 .
  • a plurality of openings 37 are formed in the upper-layer overcoat film 36 in the portions that correspond to the connection pads of the upper-layer wirings 33 .
  • the lower layer wirings 22 and the upper layer wirings 33 are electrically connected via cylindrical vertical conducting units 42 provided on inner wall surfaces of through holes 41 provided at predetermined locations of the lower-layer insulating film 1 , insulation layer 31 , and upper-layer insulating film 32 .
  • Each of the vertical conducting units 42 has a two-layer structure of a metallic underlayer 43 made of copper directly provided on the inner wall surface of the through hole 41 and a metallic upperlayer 44 made of copper provided on the inner surface of the metallic underlayer 43 .
  • a packing material 45 made of solder resist or the like is filled in the center throughhole of the vertical conducting unit 42 .
  • a base plate 51 made of copper foil is prepared, on the upper surface of which the lower layer insulating film 1 composed of epoxy resin, polyimide resin, glass fabric substrate epoxy resin, or the like is formed.
  • the size of the base plate 51 prepared should be the size that can form a plurality of completed semiconductor devices shown in FIG. 1 .
  • thermosetting resin made of epoxy resin or the like of the lower-layer insulating film 1 has already been hardened.
  • the semiconductor constituents 2 are prepared.
  • the semiconductor constituents 2 can be obtained by singulation by dicing after forming integrated circuits (not illustrated), connection pads 5 made of aluminum-based metal or the like, insulating film 6 made of silicon oxide or the like, passivation film 8 made of polyimide resin or the like, wirings 10 (metallic underlayers 11 made of copper and metallic upperlayers 12 made of copper), columnar electrodes 13 made of copper, and sealing film 14 made of epoxy resin, etc. under the silicon substrate 4 in a wafer state.
  • each of the semiconductor constituents 2 is mounted on the semiconductor constituent mounting area on the upper surface of the lower-layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 of the semiconductor constituent 2 and the sealing film 14 via the adhesive layer 3 made of epoxy resin or the like.
  • paste-form adhesive called NCP (Non-Conductive Paste) is supplied in advance by the use of a print process, dispenser or the like, or sheet-form adhesive called NCF (Non-Conductive Film) is supplied in advance, and the semiconductor constituent 2 is firmly fixed to the lower-layer insulating film 1 by heat-crimping.
  • a lattice-shaped insulation layer forming sheet 31 a is sucked by a vacuum suction unit or the like, moved by an XY stage, positioned, and fixed. Fixing is conducted by a method of using, for example, a pin or the like to pierce through the insulation layer forming sheet 31 a into the lower-layer insulating film 1 at the edge of the sheet 31 a.
  • the insulation layer forming sheet 31 a is formed by impregnating thermosetting resin made of epoxy resin or the like into the substrate formed by glass fabric or the like, making a sheet form by bringing the thermosetting resin into the semi-hardened state, and a plurality of square-shaped openings 52 are formed by punching or the like.
  • the size of each of the openings 52 In the sheet 31 a is slightly larger than the size of the corresponding semiconductor constituent 2 . Consequently, a clearance 53 is formed between each square frame portion of the insulation layer forming sheet 31 a and the semiconductor constituent 2 .
  • a sub-base plate 54 made of copper foil is disposed, on the lower surface of which an upper layer insulating film forming layer 32 a has been formed.
  • the upper-layer insulating film forming layer 32 a is made of the same material as that of the lower-layer insulating film 1 , and of the material, the thermosetting resin made of epoxy resin or the like is brought into the semi-hardened state.
  • the insulation layer forming sheet 31 a and upper-layer insulating film forming layer 32 a are hot-pressed from top and bottom.
  • the sheet 31 a is compressed and the thermosetting resin of the sheet 31 a and the layer 32 a are fluidized and filled into the clearance 53 shown in FIG. 3 .
  • it is solidified by cooling, and the insulation layer 31 is formed on the upper surface of the lower-layer insulating film 1 in the periphery of the semiconductor constituent 2 including the adhesive layer 3 , and at the same time, the upper layer insulating film 32 is formed on the upper surfaces of the semiconductor constituents 2 and the insulation layer 31 .
  • thermosetting resin of the lower-layer insulating film 1 is hardened in advance and the film 1 is therefore scarcely deformed by heat or pressure.
  • the sub-base plate 54 it is possible to prevent thermosetting resin constituting the upper-layer insulating film forming layer 32 a from unnecessarily adhering to the lower surface of the hot-pressing plate 55 on the upper side. As a result, the hot-pressing plate 55 can be reused as it is.
  • the openings 21 are formed by laser processing, which irradiates the lower-layer insulating film 1 and the adhesive layer 3 in the portions corresponding to the lower-surface center portions of the columnar electrodes 13 of the semiconductor constituent 2 with laser beam.
  • the throughholes 41 are formed by the use of a mechanical drill in predetermined places of the lower-layer insulating film 1 , insulation layer 31 , and upper-layer insulating film 32 .
  • metallic underlayers 23 , 34 , and 43 are formed by copper electroless plating.
  • the metallic underlayers 23 , 34 , and 43 are integral with one another so that they are electrically connected. Then, by performing copper electrolytic plating with the metallic underlayers 23 , 34 , and 43 used as plating current paths, metallic upperlayers 24 , 35 , and 44 are formed on the surfaces of metallic underlayers 23 , 34 , and 43 .
  • the lower-layer wirings 22 of a two-layer structure composed of the laminated metallic underlayers 23 , 24 are formed on the lower surface of the lower-layer insulating film 1 .
  • upper-layer wirings 33 of a two-layer structure composed of the laminated underlayers 34 , 35 are formed on the upper surface of the upper-layer insulating film 32 .
  • a vertical conducting unit 42 of a two-layer structure composed of the metallic underlayer 43 and the metallic upperlayer 44 is formed on the inner wall surface of throughhole 41 .
  • a lower-layer overcoat film 25 made of solder resist or the like is formed on the lower surface of the lower-layer insulating film 1 and the lower-layer wirings 22 by screen print process, spin-coat method, or the like.
  • the upper-layer overcoat film 36 made of solder resist or the like is formed by screen print process, spin-coat method, or the like. Under this condition, the inside of the vertical conducting unit 42 is filled with the packing material 45 made of solder resist or the like.
  • the openings 26 are formed in the lower-layer overcoat film 25 at the portions corresponding to the connection pads of the lower-layer wirings 22 , by laser processing for irradiating the film with laser beam.
  • the openings 37 are formed by laser processing for irradiating the film with laser beam.
  • solder bail (solder layer) 27 is provided to be connected with the connection pad of the lower-layer wiring 22 . Then, between semiconductor constituents 2 adjacent to each other, cutting the lower-layer overcoat film 25 , lower-layer insulating film 1 , insulation layer 31 , upper-layer insulating film 32 and the upper-layer overcoat film 36 can produce a plurality of semi-conductor devices shown in FIG. 1 .
  • the lower-layer wirings 22 are provided to be connected with the columnar electrodes 13 of the semiconductor constituent 2 under the lower-layer insulating film 1 provided under and around the semiconductor constituent 2 . Therefore, the area for arranging solder balls (electrodes for external connection) 27 can be made larger than the plane size of the semiconductor constituent 2 and furthermore, since no base plate 51 is equipped, the semiconductor devices can be low-profiled.
  • the base plate 51 may be formed of aluminum and other metals.
  • the condition as shown in FIG. 10 may be achieved. That is, on the lower surface of the metallic underlayer 23 and the upper surface of the metallic underlayer 34 , plated resist films 57 and 58 are respectively formed by patterning. In such event, an opening 59 is formed in the plated resist film 57 at the portion corresponding to the metallic upperlayer 24 forming area including throughhole 41 . In addition, an opening 60 is formed in the plated resist film 58 at the portion corresponding to the metallic upperlayer 35 forming area including throughhole 41 .
  • the metallic upperlayer 24 is formed on the lower surface of the metallic underlayer 23 in the openings 59 of the plated resist film 57 , and the metallic upperlayer 35 is formed on the upper surface of the metallic underlayer 34 inside the openings 60 of the plated resist film 58 , and furthermore, the metallic upperlayer 44 is formed on the inner surface of the metallic underlayer 43 inside the throughholes 41 .
  • the plated resist films 57 and 58 are removed, and then the unwanted portions of the metallic underlayers 23 and 34 are etched away and removed with the metallic upperlayers 24 and 35 used as a mask. Then, as shown in FIG. 8 , the metallic underlayer 23 remains only on the metallic upperlayer 24 , and the metallic underlayer 34 remains only under the metallic upperlayer 35 .
  • the vertical conducting unit 42 of a two-layer structure composed of the metallic underlayer 43 and metallic upperlayer 44 is formed on the inner wall surface of throughhole 41 .
  • FIG. 11 is a cross-sectional view of a semiconductor device as the second embodiment of the present invention.
  • the lower-layer wirings 22 each of which is formed into a three-layer structure of a first metallic underlayer (lower-layer metallic underlayer) 23 a made of copper, a second metallic underlayer (another lower-layer metallic underlayer) 23 b made of copper, and the metallic upperlayer (lower-layer metallic upperlayer) 24 made of copper
  • the upper-layer wirings 33 each of which is made into three-layer structure of a first metallic underlayer (upper-layer metallic underlayer) 34 a made of copper, a second metallic underlayer (other upper-layer metallic underlayer) 34 b made of copper, and the metallic upperlayer (upper-layer metallic upperlayer) 35 made of copper.
  • the openings 21 are formed in the first metallic underlayers 23 a, lower-layer insulating film 1 , and adhesive layer 3 in the portions that correspond to the lower-
  • a substrate or unit is prepared, in which on an upper surface of the base plate 51 made of copper foil, a protection metallic layer (lower-layer protection metallic layer) 61 made of electroless nickel plating, a first metallic underlayer 23 a made of electroless copper plating and a lower-layer insulating film 1 made of epoxy resin, polyimide resin, glass fabric substrate epoxy resin, or the like are formed.
  • the planar size of this prepared substrate is the size that can form a plurality of completed semiconductor devices shown in FIG. 11 .
  • thermosetting resin made of epoxy resin or the like in the lower-layer insulating film 1 has already been hardened.
  • the upper surface of the first metallic underlayer 23 a is roughened by performing surface roughening treatment in advance to increase the adhesion to the lower-layer insulating film 1 composed of the material including resin formed on the upper surface. This is the point which greatly differs from the case of the first embodiment described above.
  • the surface roughening treatment a method for immersing the upper surface of the first metallic underlayer 23 a in a suitable etchant is mentioned.
  • the surface roughness by the surface roughening treatment can be adjusted by the etchant material.
  • the surface roughening treatment shall not be restricted to this method but may be carried out by dry-etching and other methods.
  • the semiconductor constituents 2 are mounted on the semiconductor constituent mounting areas of the upper surface of the lower-layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 and sealing film 14 of the semiconductor constituent 2 via the adhesive layer 3 made of epoxy resin or the like.
  • the paste-like adhesive called NCP (Non-Conductive Paste) or sheet-like adhesive called NCF (Non-Conductive Film) is supplied in advance and the semiconductor constituent 2 is firmly fixed to the lower-layer insulating film 1 by hot crimping.
  • the lattice-shape insulation layer forming sheet 31 a is positioned and fixed with pin or the like. Also in this case, the insulation layer forming sheet 31 a is formed by immersing a thermosetting resin composed of epoxy resin or the like in a substrate composed of glass fabric or the like, bringing the thermosetting resin into a semi-hardened state and making it into a sheet form, and a plurality of square-shaped openings 52 are formed by punching or the like.
  • the planar size of the opening 52 of the insulation layer forming sheet 31 a is made to be slightly larger than the size of the semiconductor constituent 2 located therein.
  • the thickness of the sheet 31 a is set to be slightly thicker than the semiconductor constituent 2 . Consequently, the clearance 53 is formed between the inside of the opening 52 in the sheet 31 a and the semiconductor constituent 2 .
  • the sub-base plate 54 made of copper foil is disposed, on the bottom surface of which a protection metal layer (upper-layer protection metal layer) 62 made of electroless nickel plating, a first metallic underlayer 34 a made of electroless copper plating and the upper-layer insulating film forming layer 32 a have been formed.
  • the upper-layer insulating film forming layer 32 a is made of the same material as that of the lower-layer insulating film 1 , of which the thermosetting resin made of epoxy resin or the like is brought to the semi-hardened state.
  • the lower surface of the first metallic underlayer 34 a is roughened by performing surface roughening treatment in advance in order to improve adhesion to the upper-layer insulating film 32 composed of the material containing resin formed on the relevant lower surface. This is the point that greatly differs from the case of the first embodiment.
  • thermosetting resin in the insulation layer forming sheet 31 a and the upper-layer insulating film forming layer 32 a is fluidized, filled in the clearance 53 shown in FIG. 13 and a clearance between the upper surface on the semiconductor constituent 2 and the lower surface of the film forming sheet 32 a, and solidified by subsequent cooling.
  • the Insulation layer 31 is formed and at the same time, on the upper surfaces of the semiconductor constituent 2 and the insulation layer 31 , the upper-layer insulating film 32 is formed.
  • the protection metal layers 61 and 62 made of nickel are for protecting the first metallic underlayers 23 a and 34 a made of copper in the same manner from being etched when the base plate 51 and the sub-base plate 54 , both made of copper, are removed by the copper etchant.
  • the protection metal layers 61 and 62 are removed by an Ni etchant after removing the base plate 51 and the sub-base plate 54 , but also in such event, the first metallic underlayers 23 a and 34 a made of copper are not etched. Under this condition, the insulation layer 31 , upper-layer insulating film 32 , and lower-layer insulating film 1 which hermetically seal the semiconductor constituent 2 have been hardened. Therefore, even if the base plate 51 , protection metal layer 61 , sub-base plate 54 , and protection metal layer 62 are removed, strength can be sufficiently secured.
  • the openings or holes 21 are formed by laser processing, which irradiates the first metallic underlayer 23 a, the lower-layer insulating film 1 and the adhesive layers 3 in the portions corresponding to the lower-surface center portions of the columnar electrodes 13 of the semiconductor constituents 2 with laser beam.
  • through holes 41 are formed by the use of a mechanical drill in predetermined places of the first metallic underlayer 23 a, the lower-layer insulating film 1 , insulation layer 31 , upper-layer insulating film 32 , and the first metallic underlayer 34 a.
  • metallic underlayers 23 b, 34 b, and 43 are integrally formed by copper electroless plating. Then, by performing copper electrolytic plating with the metallic underlayers 23 b, 34 b, and 43 used as plating current paths, metallic upperlayers 24 , 35 , and 44 are respectively formed on the surfaces of the metallic underlayers 23 b, 34 b, and 43 .
  • a vertical conducting unit 42 of a two-layer structure composed of the metallic underlayer 43 and the metallic upperlayer 44 is formed. Thereafter, undergoing the same process as in the case of the first embodiment can produce a plurality of semiconductor devices shown in
  • FIG. 19 is a cross-sectional view of a semiconductor device as the third embodiment of this invention. What differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the lower-layer wiring and the upper-layer wiring are made into multilayer (two-layer) wiring structure. That is, one end part of a first lower wiring 22 A provided on the lower surface of a first lower-layer insulating film 1 A is electrically connected to columnar electrode 13 of the semiconductor constituent 2 via an opening 21 A provided in the first lower-layer insulating film 1 A and the adhesive layer 3 . On the lower surface of the first lower-layer insulating film 1 A including the first lower layer wiring 22 A, a second lower-layer insulating film 1 B made of the same material as that of the first lower-layer insulating film 1 A is provided.
  • One end part of a second lower-layer wiring 22 B provided on the lower surface of the second lower-layer insulating film 1 B is connected to the connection pad of the first lower-layer wiring 22 A via the opening 21 B provided on the second lower-layer insulating film 1 B.
  • the lower-layer overcoat film 25 is provided on the lower surface of the second lower-layer insulating film 1 B and the second lower-layer wirings 22 B.
  • solder ball 27 is provided inside and in the lower part of the opening 26 of the lower-layer overcoat film 25 to be electrically connected with the connection pad of the second lower-layer wiring 22 B.
  • a plurality of first upper-layer wirings 33 A provided on the upper surface of a first upper-layer insulating film 32 A are electrically connected to the corresponding first lower-layer wirings 22 A via the vertical conducting units 42 .
  • a second upper-layer insulating film 32 B made of the same material as that of the first upper-layer insulating film 32 A is provided.
  • each of a plurality of second upper-layer wirings 33 B provided on the upper surface of the second upper-layer insulating film 32 B is connected to the connection pad of the first upper-layer wiring 33 A via an opening 71 provided in the second upper-layer insulating film 32 B.
  • an upper-layer overcoat film 36 is provided on the upper surfaces of the second upper-layer insulating film 32 B and the second upper-layer wirings 33 B.
  • the openings 37 are provided in the upper-layer overcoat film 36 in the portions corresponding to connection pads of the second upper-layer wirings 33 B.
  • each of the lower-layer wirings and the upper-layer wirings may have three-layer or more multi-layer wiring structure.
  • FIG. 20 is a cross-sectional view of a semiconductor device as the fourth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the second lower-layer wiring 22 B is provided on the upper surface of the lower-layer insulating film 1 in the periphery of the semiconductor constituent 2 and the second upper-layer wiring 33 B is provided on the lower surface of the upper-layer insulating film 32 .
  • the first lower-layer wiring 22 A provided on the lower surface of the lower-layer insulating film 1 is connected to the connection pad of the second lower-layer wiring 22 B via an opening 72 formed in the lower-layer insulating film 1 .
  • the first upper-layer wiring 33 A provided on the upper surface of the upper-layer insulating film 32 is connected to the connection pad of the second upper-layer wiring 33 B via an opening 73 formed in the upper-layer insulating film 32 .
  • the upper surface of the silicon substrate 4 of the semiconductor constituent 2 is bonded to the lower-surface center portion of the upper-layer insulating film 32 and the second upper-layer wirings 33 B via an adhesive layer 74 .
  • the second lower-layer wirings 22 B each of a two-layer structure composed of a metallic underlayer made of electroless copper plating and a metallic upperlayer made of electrolytic copper plating are formed.
  • Thermosetting resin made of epoxy resin or the like in the upper-layer insulating film 32 formed on the lower surface of the sub-base plate 54 has already been hardened.
  • the second upper-layer wirings 33 B each of a two-layer structure composed of the metallic underlayer made of electroless copper plating and the metallic upperlayer made of electrolytic copper plating are formed.
  • the semiconductor constituents 2 are mounted on the semiconductor constituent mounting areas on the upper surface of the lower-layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 of the semiconductor constituents 2 and the sealing films 14 by the adhesive layers 3 . Then, on the upper surface of the lower-layer insulating film 1 and the second lower-layer wirings 22 B in the peripherys of the semiconductor constituents 2 and the adhesive layers 3 , the lattice-shaped insulation layer forming sheet 31 a is fixed.
  • a liquid-form binding material 74 a made of epoxy resin or the like is applied on the upper surface of the insulation layer forming sheet 31 a.
  • the sub-base plate 54 is disposed, on the lower surface of which the upper-layer insulating film 32 and the second upper-layer wiring 33 B have been formed.
  • the resultant unit is hot-pressed from top and bottom and thereafter undergoing the same step as in the case of the first embodiment, and a plurality of semiconductor devices shown in FIG. 20 are obtained.
  • the semiconductor devices obtained in this way as compared to the semiconductor devices shown in FIG. 19 , even when the lower-layer wiring and the upper-layer wiring are made into two-layer wiring structure, since the lower-layer insulating film and the upper-layer insulating film are made into a single layer, the semiconductor device can be low-profiled by just that much.
  • the adhesive layer 74 may be omitted if fluidized thermosetting resin in the insulation layer forming sheet 31 a satisfactorily gets into the upper surface of the silicon substrate 4 of the semiconductor constituent 2 .
  • FIG. 22 is a cross-sectional view of a semiconductor device as the fifth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the semiconductor constituent 2 has no sealing film 14 . Consequently, in this case, lower surfaces of the wirings 10 , columnar electrodes 13 , and passivation film 8 of the semiconductor constituent 2 are directly bonded to the upper-surface center portion of the lower-layer insulating film 1 via the adhesive layer 3 . One end part of the lower-layer wiring 22 is connected to the columnar electrode 13 of the semiconductor constituent 2 via the opening 21 of the lower-layer insulating film 1 and the adhesive layer 3 .
  • FIG. 23 is a cross-sectional view of a semiconductor device as the sixth embodiment of the present invention. What differs from the semiconductor device shown in FIG. 22 in this semiconductor device is the point that the semiconductor constituent 2 is not equipped with columnar electrodes 13 . Consequently, in this case, the lower surface of the passivation film 8 and the wirings 10 of the semiconductor constituent 2 are bonded to the upper surface center portion of the lower-layer insulating film 1 via the adhesive layer 3 .
  • One end part of the lower-layer wiring 22 is connected to connection the of the wiring (electrode for external connection) 10 of the semiconductor constituent 2 via the opening 21 of the lower-layer insulating film 1 and the adhesive layer 3 .
  • FIG. 24 is a cross-sectional view of a semiconductor device as the seventh embodiment of the present invention. What differs from the semiconductor device shown in FIG. 23 in this semiconductor device is the point that on the lower surfaces of the passivation film 8 and the wirings 10 of the semiconductor constituent 2 , a passivation film 86 for static protection made of the insulating material such as polyimide resin or epoxy resin is provided. Consequently, in this case, the lower surface of the antistatic passivation film 86 of the semiconductor constituent 2 is bonded to the upper surface center portion of the lower-layer insulating film 1 via the adhesive layer 3 .
  • One end part of the lower-layer wiring 22 is connected to the connection pad of the wiring 10 of the semiconductor constituent 2 via the opening 21 of the Lower-layer insulating film 1 , adhesive layer 3 , and passivation film 86 .
  • the passivation film 86 which has no opening 21 protects the integrated circuit formed under the silicon substrate 4 from static electricity since the passivation film itself is formed under the silicon substrate 4 in the wafer state until the semiconductor constituent 2 is mounted onto the lower-layer insulating film 1 .
  • FIG. 25 is a cross-sectional view of a semiconductor device as the eighth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the semiconductor device has no vertical conducting unit 42 .
  • a circuit substrate 81 of a square frame shape and double-sided wiring structure is disposed, and top and bottom wirings are allowed to conduct via a conductive layer provided on this circuit substrate.
  • the circuit substrate 81 is equipped with a square-frame-shape substrate 82 made of glass-fabric substrate epoxy resin or the like.
  • a plurality of second lower-layer wirings (intermediate wirings) 22 C made of copper foil are provided on the lower surface of the substrate 82
  • a plurality of second upper-layer wirings (intermediate wirings) 33 C made of copper foil are provided on the upper surface thereof.
  • the second lower-layer wiring 220 and the second upper-layer wiring 33 C are connected via a vertical conducting unit 83 made of conductive paste or the like provided on the inside of the substrate 82 .
  • the circuit substrate 81 having a central rectangular opening is disposed with intervals provided around the semiconductor constituent 2 in a state merged into the upper side of the insulation layer 31 , and the insulation layer 31 is provided between the circuit substrate 81 and the lower-layer insulating film 1 and between the circuit substrate 81 and the semiconductor constituent 2 .
  • the upper-layer insulating film 32 is provided on the upper surfaces of the semiconductor constituent 2 , circuit substrate 81 and insulation layer 31 .
  • the first lower-layer wiring 22 A provided to the lower surface of the lower-layer insulating film 1 is electrically connected to the connection pad of the second lower-layer wiring 22 C via an opening 84 formed in the lower-layer insulating film 1 and the insulation layer 31 .
  • the first upper-layer wiring 33 A provided on the upper surface of the upper-layer insulating film 32 is connected to the connection pad of the second upper layer wiring 33 C via an opening 85 formed in the upper-layer insulating film 32 .
  • the initial step in one example of the method for manufacturing this semiconductor device of FIG. 25 will be described.
  • the semiconductor constituents 2 are mounted on the semiconductor constituent mounting areas of the upper surface of the lower-layer insulating film 1 .
  • the lattice-shape insulation layer forming sheet 31 a is disposed on the upper surface of the lower-layer insulating film 1 in the peripheries of the adhesive layers 3 and the semiconductor constituents 2 .
  • the lattice-shape circuit substrate 81 is disposed on the upper surface of the insulation layer forming sheet 31 a. Then, on the upper surface of the circuit substrate 81 , the sub-base plate 54 is disposed, on the lower surface of which the upper-layer insulating film forming layers 32 a have been formed. Next, using a pair of hot-pressing plates, the resultant unit is hot-pressed from top and bottom, and thereafter, undergoing the same process as the case of the first embodiment (however, except the vertical conducting unit 41 forming process or the circuit substrate 81 is cut in the cutting process) can produce a plurality of semiconductor devices shown in FIG. 25 .
  • FIG. 27 is a cross-sectional view of a semiconductor device as the ninth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 21 in this semiconductor device is the point that the circuit substrate 81 is embedded in the center portion of the insulation layer 31 and the number of insulation layers of the whole semiconductor device is symmetric with respect to the through-thickness center of the circuit substrate 81 .
  • the insulation layer 31 is composed of a lower-side insulation layer 31 A and an upper-side insulation layer 31 B of the same thickness, and the circuit substrate 81 has their through-thickness centers allowed to coincide with the boundary surface between the lower-side insulation layer 31 A and the upper-side insulation layer 31 B.
  • the upper surface of the silicon substrate 4 of the semiconductor constituent 2 is bonded to the upper-layer insulating film 32 by the adhesive layer 74 a.
  • the lattice-shape circuit substrate 81 is disposed on the lower-side insulation layer forming sheet 31 A.
  • the lattice-shape upper-side insulation layer forming sheet 31 B is disposed on the upper surface of the circuit substrate 81 and a liquid-form adhesive material 74 a made of epoxy resin or the like is applied on the upper surface of the silicon substrate 4 of the semiconductor constituent 2 by the use of a dispenser or the like.
  • the sub-base plate 54 made of copper foil is disposed, on the lower surface of which the upper-layer insulating film 32 is formed; then, the condition of FIG.
  • the lower-side insulation layer forming sheet 31 Aa, upper-side insulation layer forming sheet 31 B and adhesive materials 74 a are hot-pressed from top and bottom of the resultant unit.
  • the insulation layer 31 formed by two insulation layer forming sheets hut the insulation layer 31 can be formed not by two sheets only but by laminating a plurality of insulation layer forming sheets.
  • the circuit substrate 81 is positioned with the through-thickness center allowed to coincide with the through-thickness center of the insulation layer 31 .
  • the whole semiconductor device not only has the same number of insulation layers with respect to the through-thickness center of the circuit substrate 81 but also has the identical thickness of each corresponding insulation layer.
  • the structure in which the circuit substrate 81 is embedded in the insulation layer 31 shown in the eighth and ninth embodiments is also applicable to the second to seventh embodiments.
  • the semiconductor device of the present invention and the method for manufacturing the same can be variously modified and applied in accordance with their purposes.

Abstract

A semiconductor device includes a semiconductor constituent provided with a semiconductor substrate and a plurality of electrodes for external connection provided under the semiconductor substrate. A lower-layer insulating film is provided under and around the semiconductor constituent. A plurality of lower-layer wirings are electrically connected to the electrodes for external connection of the semiconductor constituent, and provided under the lower-layer insulating film. An insulation layer is provided on the lower-layer insulating film in the periphery of the semiconductor constituent. An upper-layer insulating film is provided on the semiconductor constituent and the Insulation layer. A plurality of upper-layer wirings are provided on the upper-layer insulating film. A base plate on which the semiconductor constituent and the insulation layer are mounted is removed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from prior Japanese Patent Applications No. 2007-206067, filed Aug. 8, 2007; and No. 2007-250952, filed Sep. 27, 2007, the entire contents of both of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor device and a method for manufacturing the same, and particularly to a semiconductor device with a built-in semiconductor constituent and a method for manufacturing the same.
  • 2. Description of the Related Art
  • As a conventional semiconductor device, there is known a chip size package (CSP) as disclosed in Jpn. Pat. Appln. KOKAI Publication No. 2000-223518, for example. The semiconductor device disclosed in this document can be obtained by providing a plurality of columnar electrodes for external connection under a silicon substrate and sealing clearances between the columnar electrodes under this condition. In this kind of the CSP, since a semiconductor package of the same size as that of a silicon substrate is obtained, the semiconductor device is miniaturized, and the packaging density can be increased. However, this kind of conventional semiconductor device has (Fan-in) structure in which electrodes for external connection are provided in a flat area region of a semiconductor constituent. Consequently, in the case where the number of arrangements of electrodes for external connection increases and the arrangement pitch becomes smaller than a predetermined size, for example, about 0.5 μm, this kind of semiconductor device cannot be applied.
  • Therefore, a device according to Jpn. Pat. Appln. KOKAT Publication No. 2005-216935 adopts a Fan-out configuration in which a semiconductor constituent called a CSP is mounted on a base plate that has a plane size larger than the relevant semiconductor constituent, and the semiconductor constituent mounted on this base plate is covered with a sealing film to be hermetically sealed, and a nearly whole area that corresponds to one surface of the base plate is used for an area in which to arrange electrodes for external connection of the semiconductor constituent. Under this kind of configuration, a sufficiently large area can be secured to arrange electrodes for external connection, and therefore, even when electrodes for external connection are extremely large, the size and the pitch of each electrode for external connection can be successfully secured.
  • However, in the above-mentioned conventional semiconductor device, a base plate to mount the semiconductor constituent is required, and this base place that causes the whole device to be thick has posed a serious problem.
  • BRIEF SUMMARY OF THE INVENTION
  • An object of the present invention is to provide a semiconductor device capable of having a low-profile design in a semiconductor device whose arrangement area of electrodes for external connection is larger than the plane surface size of the semiconductor constituent, and a method for manufacturing the same.
  • According to another aspect of the present invention, there is provided a method for manufacturing a semiconductor device, comprising: forming a lower-layer insulating film on a base plate; firmly fixing, on the lower-layer insulating film, a plurality of semiconductor constituents having a semiconductor substrate and a plurality of electrodes for external connection provided under the semiconductor substrate; forming an insulation layer on the lower-layer insulating film in the periphery of the semiconductor constituent and forming an upper-layer insulating film on the semiconductor constituent and the insulation layer. The method then removes the base plate; forms lower-layer wirings connected with the electrodes for external connection of the semiconductor constituent under the lower-Layer insulating film and forming upper-layer wirings on the upper-layer insulating film. Thereafter, a plurality of semiconductor devices are obtained by cutting the lower-layer insulating film, the insulation layer, and the upper-layer insulating film between the semiconductor constituents.
  • According to the invention, the area in which to arrange the electrodes for external connection can be made larger than the plane size of the semiconductor constituent. Further, since no base plate is provided, the semiconductor device can be low-profiled.
  • Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate embodiments of the invention, and together with the general description given above and the detailed description of the embodiments given below, serve to explain the principles of the invention.
  • FIG. 1 is a cross-sectional view of a semiconductor device as a first embodiment of the present invention;
  • FIG. 2 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 1;
  • FIG. 3 is a cross-sectional view of a step following FIG. 2;
  • FIG. 4 is a cross-sectional view of a step following FIG. 3;
  • FIG. 5 is a cross-sectional view of a step following FIG. 4;
  • FIG. 6 is a cross-sectional view of a step following FIG. 5;
  • FIG. 7 is a cross-sectional view of a step following FIG. 6;
  • FIG. 8 is a cross-sectional view of a step following FIG. 7:
  • FIG. 9 is a cross--sectional view of a step following FIG. 8;
  • FIG. 10 is a cross-cross-sectional view shown to explain a predetermined step in another example of the method for manufacturing the semiconductor device shown in FIG. 1;
  • FIG. 11 is a cross-sectional view of a semiconductor device as a second embodiment of the present invention;
  • FIG. 12 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 11;
  • FIG. 13 is a cross-sectional view of a step following FIG. 12;
  • FIG. 14 is a cross-sectional view of a step following FIG. 13;
  • FIG. 15 is a cross-sectional view of a step following FIG. 14;
  • FIG. 16 is a cross-sectional view of a step following FIG. 15;
  • FIG. 17 is a cross-sectional view of a step following FIG. 16;
  • FIG. 18 is a cross-sectional view of a step following FIG. 17;
  • FIG. 19 is a cross-sectional view of a semiconductor device as a third embodiment of the present invention;
  • FIG. 20 is a cross-sectional view of a semiconductor device as a fourth embodiment of the present invention;
  • FIG. 21 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 20;
  • FIG. 22 is a cross-sectional view of a semiconductor device as a fifth embodiment of the present invention;
  • FIG. 23 is a cross-sectional view of a semiconductor device as a sixth embodiment of the present invention;
  • FIG. 24 is a cross-sectional view of a semiconductor device as a seventh embodiment of the present invention;
  • FIG. 25 is a cross-sectional view of a semiconductor device as an eighth embodiment of the present invention;
  • PIG. 26 is a cross-sectional view of an initial step in one example of a method for manufacturing the semiconductor device shown in FIG. 25;
  • FIG. 27 is a cross-sectional view of a semiconductor device as a ninth embodiment of the present invention; and
  • FIG. 28 is a cross-sectional view for explaining a method for manufacturing the semiconductor device shown in FIG. 27.
  • DETAILED DESCRIPTION OF THE INVENTION First Embodiment
  • FIG. 1 shows a cross-sectional view of a semiconductor device as a first embodiment of the present invention. This semiconductor device includes a lower-layer insulating film 1 of a plane square shape formed by epoxy resin, polyimide resin, glass fabric substrate epoxy resin, and the like. In a center portion of the upper surface of the lower-layer insulating film 1, a semiconductor constituent 2 is mounted via an adhesive layer 3 composed of epoxy resin, etc. In such event, the plane size of the lower layer insulating film 1 is larger than the plane size of the semiconductor constituent 2.
  • The semiconductor constituent 2 is equipped with a silicon substrate (semiconductor substrate) 4 of a plane square shape. On the bottom surface 4a of the silicon substrate 4, an integrated circuit (not illustrated) of a predetermined function is equipped, and in peripheral portions of the lower surface, a plurality of connection pads 5 made of aluminum-based metal or the like are electrically connected to the integrated circuit. An insulating film 6 composed of silicon oxide or the like is provided on the lower surface of the connection pads 5 excluding the center portion of the connection pads 5 and on the lower surface of the silicon substrate 4, and a center portion of the connection pads 5 is exposed via an opening 7 provided in the insulating film 6.
  • A passivation film 8 composed of polyimide resin, and the like is provided on the lower surface of the insulating film 6. An opening or through hole 9 is provided in the passivation film 8 at the portion corresponding to the opening 7 of the insulating film 6. A plurality of wirings 10 are provided on the lower surface of the passivation film 8. Each wiring 10 has a two-layer structure of a metallic underlayer 11 made of copper provided on the lower surface of the passivation film 8 and a metallic upperlayer 12 made of copper provided on the lower surface of the metallic underlayer 11. One end of each of the wirings 10 is electrically connected to the connection pad 5 via the opening 7 of the insulating film 6 and opening 9 of the passivation film 8.
  • On the other end of each of the wirings 10, a columnar electrode (electrode for external connection) 13 made of copper is provided. On the lower surfaces of the passivation film 8 and the wirings 10, a sealing film 14 made of epoxy resin, or the like is provided in such a manner that the lower surface thereof is flush with the lower surfaces of the columnar electrodes 13. The semiconductor constituent 2 of this kind of configuration is mounted on the upper surface center portion of the lower layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 and the sealing film 14 to the upper surface center portion of the lower layer insulating film 1 via the adhesive layer 3 made of epoxy resin or the like.
  • A plurality of openings or through holes 21 are formed in the underlayer insulating film 1 and the adhesive layer 3 in the portions corresponding to the lower surface center portions of the columnar electrodes 13 of the semiconductor constituent 2. A plurality of lower layer wirings 22 are provided on the lower surface of the lower layer insulating film 1. Each of the lower layer wirings 22 has a two-layer structure of a metallic underlayer 23 made of copper provided on the lower surface of the insulating film 1 and an metallic upperlayer 24 made of copper provided on the lower surface of the metallic underlayer 23. One end portion of each of the lower layer wirings 22 is connected to the columnar electrode 13 of the semiconductor constituent 2 via the openings of the lower layer insulating film 1 and the adhesive layer 3.
  • A lower layer overcoat film 25 made of solder resist or the like is provided on the lower surfaces of the lower layer wirings 22 and the lower layer insulating film 1. A plurality of openings 26 are formed in the lower layer overcoat film 25 in the portions that correspond to the other end portions or the connection pad portions of the lower layer wirings 22. To the inside and the lower side of the openings 26 of the lower layer overcoat film 25, a plurality of solder balls 27 are provided by being connected to the connection pad portions of the lower layer wirings 22.
  • An insulation layer 31 is provided on the upper surface of the lower layer insulating film 1 around the periphery of the adhesive layer 3 and the semiconductor constituent 2. The insulation layer 31 is composed of epoxy resin, polyimide resin, glass fabric substrate epoxy resin, or the like. On the upper surface of the semiconductor constituent 2 and the insulation layer 31, an upper layer insulating film 32 made of the same material as that of the lower layer insulating film 1 is provided.
  • A plurality of upper-layer wirings 33 are provided on the upper surface of the upper layer insulating film 32. Each upper-layer wiring 33 is made of a two-layer structure of a metallic underlayer 34 made of copper provided on the upper surface of the upper-layer insulating film 32 and a metallic upperlayer 35 made of copper provided to the upper surface of the metallic underlayer 34. An upper-layer overcoat film 36 made of solder resist or the like is provided on the upper surface of the upper-layer wirings 33 and the upper-layer insulating film 32. A plurality of openings 37 are formed in the upper-layer overcoat film 36 in the portions that correspond to the connection pads of the upper-layer wirings 33.
  • The lower layer wirings 22 and the upper layer wirings 33 are electrically connected via cylindrical vertical conducting units 42 provided on inner wall surfaces of through holes 41 provided at predetermined locations of the lower-layer insulating film 1, insulation layer 31, and upper-layer insulating film 32. Each of the vertical conducting units 42 has a two-layer structure of a metallic underlayer 43 made of copper directly provided on the inner wall surface of the through hole 41 and a metallic upperlayer 44 made of copper provided on the inner surface of the metallic underlayer 43. A packing material 45 made of solder resist or the like is filled in the center throughhole of the vertical conducting unit 42.
  • Next discussion will be made on one example of the method for manufacturing this semiconductor device. First of all, as shown in FIG. 2, a base plate 51 made of copper foil is prepared, on the upper surface of which the lower layer insulating film 1 composed of epoxy resin, polyimide resin, glass fabric substrate epoxy resin, or the like is formed. In such event, the size of the base plate 51 prepared should be the size that can form a plurality of completed semiconductor devices shown in FIG. 1. In addition, thermosetting resin made of epoxy resin or the like of the lower-layer insulating film 1 has already been hardened.
  • In addition, the semiconductor constituents 2 are prepared. The semiconductor constituents 2 can be obtained by singulation by dicing after forming integrated circuits (not illustrated), connection pads 5 made of aluminum-based metal or the like, insulating film 6 made of silicon oxide or the like, passivation film 8 made of polyimide resin or the like, wirings 10 (metallic underlayers 11 made of copper and metallic upperlayers 12 made of copper), columnar electrodes 13 made of copper, and sealing film 14 made of epoxy resin, etc. under the silicon substrate 4 in a wafer state.
  • Then, each of the semiconductor constituents 2 is mounted on the semiconductor constituent mounting area on the upper surface of the lower-layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 of the semiconductor constituent 2 and the sealing film 14 via the adhesive layer 3 made of epoxy resin or the like. In such event, to the semiconductor constituent mounting area of the upper surface of the lower-layer insulating film 1, paste-form adhesive called NCP (Non-Conductive Paste) is supplied in advance by the use of a print process, dispenser or the like, or sheet-form adhesive called NCF (Non-Conductive Film) is supplied in advance, and the semiconductor constituent 2 is firmly fixed to the lower-layer insulating film 1 by heat-crimping.
  • Next, as shown in FIG. 3, to the upper surface of the lower-layer insulating film 1 in the periphery of the semiconductor constituents 2 and the adhesive layers 3, a lattice-shaped insulation layer forming sheet 31 a is sucked by a vacuum suction unit or the like, moved by an XY stage, positioned, and fixed. Fixing is conducted by a method of using, for example, a pin or the like to pierce through the insulation layer forming sheet 31 a into the lower-layer insulating film 1 at the edge of the sheet 31 a. The insulation layer forming sheet 31 a is formed by impregnating thermosetting resin made of epoxy resin or the like into the substrate formed by glass fabric or the like, making a sheet form by bringing the thermosetting resin into the semi-hardened state, and a plurality of square-shaped openings 52 are formed by punching or the like. The size of each of the openings 52 In the sheet 31 a is slightly larger than the size of the corresponding semiconductor constituent 2. Consequently, a clearance 53 is formed between each square frame portion of the insulation layer forming sheet 31 a and the semiconductor constituent 2.
  • Then, on the upper surface of the insulation layer forming sheet 31 a, a sub-base plate 54 made of copper foil is disposed, on the lower surface of which an upper layer insulating film forming layer 32 a has been formed. The upper-layer insulating film forming layer 32 a is made of the same material as that of the lower-layer insulating film 1, and of the material, the thermosetting resin made of epoxy resin or the like is brought into the semi-hardened state.
  • Next, as shown in FIG. 4, using a pair of hot pressing plates 55 and 56, the insulation layer forming sheet 31 a and upper-layer insulating film forming layer 32 a are hot-pressed from top and bottom. By this hot-pressing, the sheet 31 a is compressed and the thermosetting resin of the sheet 31 a and the layer 32 a are fluidized and filled into the clearance 53 shown in FIG. 3. Then, it is solidified by cooling, and the insulation layer 31 is formed on the upper surface of the lower-layer insulating film 1 in the periphery of the semiconductor constituent 2 including the adhesive layer 3, and at the same time, the upper layer insulating film 32 is formed on the upper surfaces of the semiconductor constituents 2 and the insulation layer 31.
  • In such event, the thermosetting resin of the lower-layer insulating film 1 is hardened in advance and the film 1 is therefore scarcely deformed by heat or pressure. In addition, by the sub-base plate 54, it is possible to prevent thermosetting resin constituting the upper-layer insulating film forming layer 32 a from unnecessarily adhering to the lower surface of the hot-pressing plate 55 on the upper side. As a result, the hot-pressing plate 55 can be reused as it is.
  • Then, removing the base plate 51 and the sub-base plate 54 by the use of an etchant exposes the lower surface of the lower-layer insulating film 1 as shown in FIG. 5 and at the same time, exposes the upper surface of the upper-layer insulating film 32. Under this condition, even if the base plate 51 and the sub-base plate 54 are removed, strength can be sufficiently secured by the presence of the lower-layer insulating film 1, insulation layer 31 and upper-layer insulating film 32.
  • Then, as shown in FIG. 6, the openings 21 are formed by laser processing, which irradiates the lower-layer insulating film 1 and the adhesive layer 3 in the portions corresponding to the lower-surface center portions of the columnar electrodes 13 of the semiconductor constituent 2 with laser beam. In addition, the throughholes 41 are formed by the use of a mechanical drill in predetermined places of the lower-layer insulating film 1, insulation layer 31, and upper-layer insulating film 32.
  • As shown in FIG. 7, on the lower surfaces of the columnar electrodes 13 of the semiconductor constituents 2 exposed via the openings 21 of the lower-layer insulating film 1 and adhesive layer 3 and the whole lower surface of the lower-layer insulating film 1, as well as on the whole upper surface of the upper-layer insulating film 32 and the inner wall surfaces of throughholess 41, metallic underlayers 23, 34, and 43 are formed by copper electroless plating. The metallic underlayers 23, 34, and 43 are integral with one another so that they are electrically connected. Then, by performing copper electrolytic plating with the metallic underlayers 23, 34, and 43 used as plating current paths, metallic upperlayers 24, 35, and 44 are formed on the surfaces of metallic underlayers 23, 34, and 43.
  • Next, patterning the metallic upperlayers or inner layers 24 and 35 and metallic underlayers or outer layers 23 and 34 by photolithography results in the condition shown in FIG. 8. That is, the lower-layer wirings 22 of a two-layer structure composed of the laminated metallic underlayers 23, 24 are formed on the lower surface of the lower-layer insulating film 1. In addition, upper-layer wirings 33 of a two-layer structure composed of the laminated underlayers 34, 35 are formed on the upper surface of the upper-layer insulating film 32. Furthermore, a vertical conducting unit 42 of a two-layer structure composed of the metallic underlayer 43 and the metallic upperlayer 44 is formed on the inner wall surface of throughhole 41.
  • Next, as shown in FIG. 9, a lower-layer overcoat film 25 made of solder resist or the like is formed on the lower surface of the lower-layer insulating film 1 and the lower-layer wirings 22 by screen print process, spin-coat method, or the like. In addition, on the upper surface of the upper-layer insulating film 32 and the upper-layer wirings 33, the upper-layer overcoat film 36 made of solder resist or the like is formed by screen print process, spin-coat method, or the like. Under this condition, the inside of the vertical conducting unit 42 is filled with the packing material 45 made of solder resist or the like.
  • Next, the openings 26 are formed in the lower-layer overcoat film 25 at the portions corresponding to the connection pads of the lower-layer wirings 22, by laser processing for irradiating the film with laser beam. In addition, on the upper-layer overcoat film 36 at the portions corresponding to the connection pads of the upper-layer wirings 33, the openings 37 are formed by laser processing for irradiating the film with laser beam.
  • Next, inside and on the lower side of each of the openings 26 of the lower-layer overcoat film 25, the solder bail (solder layer) 27 is provided to be connected with the connection pad of the lower-layer wiring 22. Then, between semiconductor constituents 2 adjacent to each other, cutting the lower-layer overcoat film 25, lower-layer insulating film 1, insulation layer 31, upper-layer insulating film 32 and the upper-layer overcoat film 36 can produce a plurality of semi-conductor devices shown in FIG. 1.
  • In the semiconductor device obtained in this way, the lower-layer wirings 22 are provided to be connected with the columnar electrodes 13 of the semiconductor constituent 2 under the lower-layer insulating film 1 provided under and around the semiconductor constituent 2. Therefore, the area for arranging solder balls (electrodes for external connection) 27 can be made larger than the plane size of the semiconductor constituent 2 and furthermore, since no base plate 51 is equipped, the semiconductor devices can be low-profiled. Note that, the base plate 51 may be formed of aluminum and other metals.
  • Modified Example of the First Embodiment
  • Note that, in the step shown in FIG. 7, after forming the metallic underlayers 23, 34, and 43, the condition as shown in FIG. 10 may be achieved. That is, on the lower surface of the metallic underlayer 23 and the upper surface of the metallic underlayer 34, plated resist films 57 and 58 are respectively formed by patterning. In such event, an opening 59 is formed in the plated resist film 57 at the portion corresponding to the metallic upperlayer 24 forming area including throughhole 41. In addition, an opening 60 is formed in the plated resist film 58 at the portion corresponding to the metallic upperlayer 35 forming area including throughhole 41.
  • Next, by performing copper electrolytic plating with the metallic underlayers 23, 34, and 43 used as plating current paths, the metallic upperlayer 24 is formed on the lower surface of the metallic underlayer 23 in the openings 59 of the plated resist film 57, and the metallic upperlayer 35 is formed on the upper surface of the metallic underlayer 34 inside the openings 60 of the plated resist film 58, and furthermore, the metallic upperlayer 44 is formed on the inner surface of the metallic underlayer 43 inside the throughholes 41.
  • Next, the plated resist films 57 and 58 are removed, and then the unwanted portions of the metallic underlayers 23 and 34 are etched away and removed with the metallic upperlayers 24 and 35 used as a mask. Then, as shown in FIG. 8, the metallic underlayer 23 remains only on the metallic upperlayer 24, and the metallic underlayer 34 remains only under the metallic upperlayer 35. In addition, under this condition, the vertical conducting unit 42 of a two-layer structure composed of the metallic underlayer 43 and metallic upperlayer 44 is formed on the inner wall surface of throughhole 41.
  • Second Embodiment
  • FIG. 11 is a cross-sectional view of a semiconductor device as the second embodiment of the present invention. In this semiconductor device, what differs from the semiconductor device shown in FIG. 1 are the lower-layer wirings 22, each of which is formed into a three-layer structure of a first metallic underlayer (lower-layer metallic underlayer) 23 a made of copper, a second metallic underlayer (another lower-layer metallic underlayer) 23 b made of copper, and the metallic upperlayer (lower-layer metallic upperlayer) 24 made of copper, and the upper-layer wirings 33, each of which is made into three-layer structure of a first metallic underlayer (upper-layer metallic underlayer) 34 a made of copper, a second metallic underlayer (other upper-layer metallic underlayer) 34 b made of copper, and the metallic upperlayer (upper-layer metallic upperlayer) 35 made of copper. In such event, the openings 21 are formed in the first metallic underlayers 23 a, lower-layer insulating film 1, and adhesive layer 3 in the portions that correspond to the lower-surface center portions of the columnar electrodes 13 of the semiconductor constituent 2.
  • Now, one example of a method for manufacturing this semiconductor device will be described. First of all, as shown in FIG. 12, a substrate or unit is prepared, in which on an upper surface of the base plate 51 made of copper foil, a protection metallic layer (lower-layer protection metallic layer) 61 made of electroless nickel plating, a first metallic underlayer 23 a made of electroless copper plating and a lower-layer insulating film 1 made of epoxy resin, polyimide resin, glass fabric substrate epoxy resin, or the like are formed.
  • Also in such event, the planar size of this prepared substrate is the size that can form a plurality of completed semiconductor devices shown in FIG. 11. In addition, thermosetting resin made of epoxy resin or the like in the lower-layer insulating film 1 has already been hardened. In this event, the upper surface of the first metallic underlayer 23 a is roughened by performing surface roughening treatment in advance to increase the adhesion to the lower-layer insulating film 1 composed of the material including resin formed on the upper surface. This is the point which greatly differs from the case of the first embodiment described above. Now, as one example of the surface roughening treatment, a method for immersing the upper surface of the first metallic underlayer 23 a in a suitable etchant is mentioned. The surface roughness by the surface roughening treatment can be adjusted by the etchant material. However, the surface roughening treatment shall not be restricted to this method but may be carried out by dry-etching and other methods.
  • Next, the semiconductor constituents 2 are mounted on the semiconductor constituent mounting areas of the upper surface of the lower-layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 and sealing film 14 of the semiconductor constituent 2 via the adhesive layer 3 made of epoxy resin or the like. In such event, using the print method, dispenser or the like, the paste-like adhesive called NCP (Non-Conductive Paste) or sheet-like adhesive called NCF (Non-Conductive Film) is supplied in advance and the semiconductor constituent 2 is firmly fixed to the lower-layer insulating film 1 by hot crimping.
  • Next, as shown in FIG. 13, to the upper surface of the lower-layer insulating film 1 in the periphery of the adhesive layers 3 and the semiconductor constituents 2, the lattice-shape insulation layer forming sheet 31 a is positioned and fixed with pin or the like. Also in this case, the insulation layer forming sheet 31 a is formed by immersing a thermosetting resin composed of epoxy resin or the like in a substrate composed of glass fabric or the like, bringing the thermosetting resin into a semi-hardened state and making it into a sheet form, and a plurality of square-shaped openings 52 are formed by punching or the like. The planar size of the opening 52 of the insulation layer forming sheet 31 a is made to be slightly larger than the size of the semiconductor constituent 2 located therein. The thickness of the sheet 31 a is set to be slightly thicker than the semiconductor constituent 2. Consequently, the clearance 53 is formed between the inside of the opening 52 in the sheet 31 a and the semiconductor constituent 2.
  • Next, on the upper surface of the insulation layer forming sheet 31 a, the sub-base plate 54 made of copper foil is disposed, on the bottom surface of which a protection metal layer (upper-layer protection metal layer) 62 made of electroless nickel plating, a first metallic underlayer 34 a made of electroless copper plating and the upper-layer insulating film forming layer 32 a have been formed. Also in such event, the upper-layer insulating film forming layer 32 a is made of the same material as that of the lower-layer insulating film 1, of which the thermosetting resin made of epoxy resin or the like is brought to the semi-hardened state. Now, the lower surface of the first metallic underlayer 34 a is roughened by performing surface roughening treatment in advance in order to improve adhesion to the upper-layer insulating film 32 composed of the material containing resin formed on the relevant lower surface. This is the point that greatly differs from the case of the first embodiment.
  • Next, as shown in FIG. 14, using a pair of hot pressing plates 55 and 56, the insulation layer forming sheet 31 a and the upper-layer insulating film forming layer 32 a are hot-pressed from top and bottom. By this hot pressing, thermosetting resin in the insulation layer forming sheet 31 a and the upper-layer insulating film forming layer 32 a is fluidized, filled in the clearance 53 shown in FIG. 13 and a clearance between the upper surface on the semiconductor constituent 2 and the lower surface of the film forming sheet 32 a, and solidified by subsequent cooling. Thus, on the lower-layer insulating film 1 in the periphery of the semiconductor constituent 2 and the adhesive layer 3, the Insulation layer 31 is formed and at the same time, on the upper surfaces of the semiconductor constituent 2 and the insulation layer 31, the upper-layer insulating film 32 is formed.
  • Next, continuously removing the base plate 51, and protection metal layer 61, as well as sub-base plate 54, and protection metal layer 62 by the use of an etchant exposes the lower surface of the first metallic underlayer 23 a, and at the same time, exposes the upper surface of the first metallic underlayer 34 a, as shown in FIG. 15. In such event, the protection metal layers 61 and 62 made of nickel are for protecting the first metallic underlayers 23 a and 34 a made of copper in the same manner from being etched when the base plate 51 and the sub-base plate 54, both made of copper, are removed by the copper etchant. The protection metal layers 61 and 62 are removed by an Ni etchant after removing the base plate 51 and the sub-base plate 54, but also in such event, the first metallic underlayers 23 a and 34 a made of copper are not etched. Under this condition, the insulation layer 31, upper-layer insulating film 32, and lower-layer insulating film 1 which hermetically seal the semiconductor constituent 2 have been hardened. Therefore, even if the base plate 51, protection metal layer 61, sub-base plate 54, and protection metal layer 62 are removed, strength can be sufficiently secured.
  • Next, as shown in FIG. 16, the openings or holes 21 are formed by laser processing, which irradiates the first metallic underlayer 23 a, the lower-layer insulating film 1 and the adhesive layers 3 in the portions corresponding to the lower-surface center portions of the columnar electrodes 13 of the semiconductor constituents 2 with laser beam. In addition, through holes 41 are formed by the use of a mechanical drill in predetermined places of the first metallic underlayer 23 a, the lower-layer insulating film 1, insulation layer 31, upper-layer insulating film 32, and the first metallic underlayer 34 a.
  • As shown in FIG. 17, on the whole lower surface of the first metallic underlayer 23 a and the lower surfaces of the columnar electrodes 13 of the semiconductor constituent 2 exposed via the openings 21, the whole upper surface of the first metallic underlayer 34 a, and the inner wall surface of the through holes 41, metallic underlayers 23 b, 34 b, and 43 are integrally formed by copper electroless plating. Then, by performing copper electrolytic plating with the metallic underlayers 23 b, 34 b, and 43 used as plating current paths, metallic upperlayers 24, 35, and 44 are respectively formed on the surfaces of the metallic underlayers 23 b, 34 b, and 43.
  • Next, patterning the metallic upperlayers 24 and 35 and the first and second metallic underlayers 23 a, 34 a, 23 b, and 34 b by photolithography results in the condition shown in FIG. 18. That is, on the lower surface of the lower-layer insulating film 1, the lower-layer wirings 22 of three-layer structure each composed of the first and second metallic underlayers 23 a and 23 b and the metallic upperlayer 24 are formed. In addition, on the upper surface of the upper-layer insulating film 32, upper-layer wirings 33 of three-layer structure each composed of the first and second metallic underlayers 34 a and 34 b and the metallic upperlayer 35 are formed. Furthermore, on the inner wall surface of each of the through holes 41, a vertical conducting unit 42 of a two-layer structure composed of the metallic underlayer 43 and the metallic upperlayer 44 is formed. Thereafter, undergoing the same process as in the case of the first embodiment can produce a plurality of semiconductor devices shown in
  • Third Embodiment
  • FIG. 19 is a cross-sectional view of a semiconductor device as the third embodiment of this invention. What differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the lower-layer wiring and the upper-layer wiring are made into multilayer (two-layer) wiring structure. That is, one end part of a first lower wiring 22A provided on the lower surface of a first lower-layer insulating film 1A is electrically connected to columnar electrode 13 of the semiconductor constituent 2 via an opening 21A provided in the first lower-layer insulating film 1A and the adhesive layer 3. On the lower surface of the first lower-layer insulating film 1A including the first lower layer wiring 22A, a second lower-layer insulating film 1B made of the same material as that of the first lower-layer insulating film 1A is provided.
  • One end part of a second lower-layer wiring 22B provided on the lower surface of the second lower-layer insulating film 1B is connected to the connection pad of the first lower-layer wiring 22A via the opening 21B provided on the second lower-layer insulating film 1B. On the lower surface of the second lower-layer insulating film 1B and the second lower-layer wirings 22B, the lower-layer overcoat film 25 is provided. Inside and in the lower part of the opening 26 of the lower-layer overcoat film 25, solder ball 27 is provided to be electrically connected with the connection pad of the second lower-layer wiring 22B.
  • A plurality of first upper-layer wirings 33A provided on the upper surface of a first upper-layer insulating film 32A are electrically connected to the corresponding first lower-layer wirings 22A via the vertical conducting units 42. On the upper surfaces of the upper-layer insulating films 32A and the first upper-layer wirings 33A, a second upper-layer insulating film 32B made of the same material as that of the first upper-layer insulating film 32A is provided.
  • One end part each of a plurality of second upper-layer wirings 33B provided on the upper surface of the second upper-layer insulating film 32B is connected to the connection pad of the first upper-layer wiring 33A via an opening 71 provided in the second upper-layer insulating film 32B. On the upper surfaces of the second upper-layer insulating film 32B and the second upper-layer wirings 33B, an upper-layer overcoat film 36 is provided. The openings 37 are provided in the upper-layer overcoat film 36 in the portions corresponding to connection pads of the second upper-layer wirings 33B. Note that, each of the lower-layer wirings and the upper-layer wirings may have three-layer or more multi-layer wiring structure.
  • Fourth Embodiment
  • FIG. 20 is a cross-sectional view of a semiconductor device as the fourth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the second lower-layer wiring 22B is provided on the upper surface of the lower-layer insulating film 1 in the periphery of the semiconductor constituent 2 and the second upper-layer wiring 33B is provided on the lower surface of the upper-layer insulating film 32.
  • The first lower-layer wiring 22A provided on the lower surface of the lower-layer insulating film 1 is connected to the connection pad of the second lower-layer wiring 22B via an opening 72 formed in the lower-layer insulating film 1. The first upper-layer wiring 33A provided on the upper surface of the upper-layer insulating film 32 is connected to the connection pad of the second upper-layer wiring 33B via an opening 73 formed in the upper-layer insulating film 32. Note that, in this case, the upper surface of the silicon substrate 4 of the semiconductor constituent 2 is bonded to the lower-surface center portion of the upper-layer insulating film 32 and the second upper-layer wirings 33B via an adhesive layer 74.
  • Next, referring to FIG. 21, the initial step in one example of the method for manufacturing this semiconductor device of FIG. 20 will be described. In this case, on the upper surface of the lower layer insulating film 1 formed on the upper surface of the base plate 51, the second lower-layer wirings 22B each of a two-layer structure composed of a metallic underlayer made of electroless copper plating and a metallic upperlayer made of electrolytic copper plating are formed. Thermosetting resin made of epoxy resin or the like in the upper-layer insulating film 32 formed on the lower surface of the sub-base plate 54 has already been hardened. On the bottom surface of the upper-layer insulating film 32, the second upper-layer wirings 33B each of a two-layer structure composed of the metallic underlayer made of electroless copper plating and the metallic upperlayer made of electrolytic copper plating are formed.
  • Now, in the initial step, first of all, the semiconductor constituents 2 are mounted on the semiconductor constituent mounting areas on the upper surface of the lower-layer insulating film 1 by bonding the lower surfaces of the columnar electrodes 13 of the semiconductor constituents 2 and the sealing films 14 by the adhesive layers 3. Then, on the upper surface of the lower-layer insulating film 1 and the second lower-layer wirings 22B in the peripherys of the semiconductor constituents 2 and the adhesive layers 3, the lattice-shaped insulation layer forming sheet 31 a is fixed.
  • Then, on the upper surface of the silicon substrate 4 of the semiconductor constituent 2, using a dispenser or the like, a liquid-form binding material 74 a made of epoxy resin or the like is applied. Next, on the upper surface of the insulation layer forming sheet 31 a, the sub-base plate 54 is disposed, on the lower surface of which the upper-layer insulating film 32 and the second upper-layer wiring 33B have been formed. Next, using a pair of hot-pressing plates, the resultant unit is hot-pressed from top and bottom and thereafter undergoing the same step as in the case of the first embodiment, and a plurality of semiconductor devices shown in FIG. 20 are obtained.
  • In the semiconductor devices obtained in this way, as compared to the semiconductor devices shown in FIG. 19, even when the lower-layer wiring and the upper-layer wiring are made into two-layer wiring structure, since the lower-layer insulating film and the upper-layer insulating film are made into a single layer, the semiconductor device can be low-profiled by just that much. Note that, in the hot-pressing process using a pair of hot-pressing plates, the adhesive layer 74 may be omitted if fluidized thermosetting resin in the insulation layer forming sheet 31 a satisfactorily gets into the upper surface of the silicon substrate 4 of the semiconductor constituent 2.
  • Fifth Embodiment
  • FIG. 22 is a cross-sectional view of a semiconductor device as the fifth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the semiconductor constituent 2 has no sealing film 14. Consequently, in this case, lower surfaces of the wirings 10, columnar electrodes 13, and passivation film 8 of the semiconductor constituent 2 are directly bonded to the upper-surface center portion of the lower-layer insulating film 1 via the adhesive layer 3. One end part of the lower-layer wiring 22 is connected to the columnar electrode 13 of the semiconductor constituent 2 via the opening 21 of the lower-layer insulating film 1 and the adhesive layer 3.
  • Sixth Embodiment
  • FIG. 23 is a cross-sectional view of a semiconductor device as the sixth embodiment of the present invention. What differs from the semiconductor device shown in FIG. 22 in this semiconductor device is the point that the semiconductor constituent 2 is not equipped with columnar electrodes 13. Consequently, in this case, the lower surface of the passivation film 8 and the wirings 10 of the semiconductor constituent 2 are bonded to the upper surface center portion of the lower-layer insulating film 1 via the adhesive layer 3. One end part of the lower-layer wiring 22 is connected to connection the of the wiring (electrode for external connection)10 of the semiconductor constituent 2 via the opening 21 of the lower-layer insulating film 1 and the adhesive layer 3.
  • Seventh Embodiment
  • FIG. 24 is a cross-sectional view of a semiconductor device as the seventh embodiment of the present invention. What differs from the semiconductor device shown in FIG. 23 in this semiconductor device is the point that on the lower surfaces of the passivation film 8 and the wirings 10 of the semiconductor constituent 2, a passivation film 86 for static protection made of the insulating material such as polyimide resin or epoxy resin is provided. Consequently, in this case, the lower surface of the antistatic passivation film 86 of the semiconductor constituent 2 is bonded to the upper surface center portion of the lower-layer insulating film 1 via the adhesive layer 3. One end part of the lower-layer wiring 22 is connected to the connection pad of the wiring 10 of the semiconductor constituent 2 via the opening 21 of the Lower-layer insulating film 1, adhesive layer 3, and passivation film 86.
  • Note that, before the semiconductor constituent 2 is mounted onto the lower-layer insulating film 1, no opening 21 is formed in the passivation film 86. The passivation film 86 which has no opening 21 protects the integrated circuit formed under the silicon substrate 4 from static electricity since the passivation film itself is formed under the silicon substrate 4 in the wafer state until the semiconductor constituent 2 is mounted onto the lower-layer insulating film 1.
  • Eighth Embodiment
  • FIG. 25 is a cross-sectional view of a semiconductor device as the eighth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 1 in this semiconductor device is the point that the semiconductor device has no vertical conducting unit 42. In this embodiment, around the semiconductor constituent 2, a circuit substrate 81 of a square frame shape and double-sided wiring structure is disposed, and top and bottom wirings are allowed to conduct via a conductive layer provided on this circuit substrate.
  • That is, the circuit substrate 81 is equipped with a square-frame-shape substrate 82 made of glass-fabric substrate epoxy resin or the like. A plurality of second lower-layer wirings (intermediate wirings) 22C made of copper foil are provided on the lower surface of the substrate 82, and a plurality of second upper-layer wirings (intermediate wirings) 33C made of copper foil are provided on the upper surface thereof. The second lower-layer wiring 220 and the second upper-layer wiring 33C are connected via a vertical conducting unit 83 made of conductive paste or the like provided on the inside of the substrate 82.
  • The circuit substrate 81 having a central rectangular opening is disposed with intervals provided around the semiconductor constituent 2 in a state merged into the upper side of the insulation layer 31, and the insulation layer 31 is provided between the circuit substrate 81 and the lower-layer insulating film 1 and between the circuit substrate 81 and the semiconductor constituent 2. The upper-layer insulating film 32 is provided on the upper surfaces of the semiconductor constituent 2, circuit substrate 81 and insulation layer 31.
  • The first lower-layer wiring 22A provided to the lower surface of the lower-layer insulating film 1 is electrically connected to the connection pad of the second lower-layer wiring 22C via an opening 84 formed in the lower-layer insulating film 1 and the insulation layer 31. The first upper-layer wiring 33A provided on the upper surface of the upper-layer insulating film 32 is connected to the connection pad of the second upper layer wiring 33C via an opening 85 formed in the upper-layer insulating film 32.
  • Next, referring to FIG. 26, the initial step in one example of the method for manufacturing this semiconductor device of FIG. 25 will be described. First of all, by bonding the lower surfaces of the columnar electrodes 13 of the semiconductor constituents 2 and the sealing films 14 by the adhesive layers 3, the semiconductor constituents 2 are mounted on the semiconductor constituent mounting areas of the upper surface of the lower-layer insulating film 1. Then, the lattice-shape insulation layer forming sheet 31 a is disposed on the upper surface of the lower-layer insulating film 1 in the peripheries of the adhesive layers 3 and the semiconductor constituents 2.
  • Next, the lattice-shape circuit substrate 81 is disposed on the upper surface of the insulation layer forming sheet 31 a. Then, on the upper surface of the circuit substrate 81, the sub-base plate 54 is disposed, on the lower surface of which the upper-layer insulating film forming layers 32 a have been formed. Next, using a pair of hot-pressing plates, the resultant unit is hot-pressed from top and bottom, and thereafter, undergoing the same process as the case of the first embodiment (however, except the vertical conducting unit 41 forming process or the circuit substrate 81 is cut in the cutting process) can produce a plurality of semiconductor devices shown in FIG. 25.
  • Ninth Embodiment
  • FIG. 27 is a cross-sectional view of a semiconductor device as the ninth embodiment of the present invention. What greatly differs from the semiconductor device shown in FIG. 21 in this semiconductor device is the point that the circuit substrate 81 is embedded in the center portion of the insulation layer 31 and the number of insulation layers of the whole semiconductor device is symmetric with respect to the through-thickness center of the circuit substrate 81.
  • That is, the insulation layer 31 is composed of a lower-side insulation layer 31A and an upper-side insulation layer 31B of the same thickness, and the circuit substrate 81 has their through-thickness centers allowed to coincide with the boundary surface between the lower-side insulation layer 31A and the upper-side insulation layer 31B. In addition, the upper surface of the silicon substrate 4 of the semiconductor constituent 2 is bonded to the upper-layer insulating film 32 by the adhesive layer 74 a. To obtain this kind of semiconductor device, as shown in FIG. 28, a lower-side insulation layer forming sheet 31 a (shown as 31A in FIG. 28) is disposed on the upper surface of the lower-layer insulating film 1 and then, the lattice-shape circuit substrate 81 is disposed on the lower-side insulation layer forming sheet 31A. Then, the lattice-shape upper-side insulation layer forming sheet 31B is disposed on the upper surface of the circuit substrate 81 and a liquid-form adhesive material 74 a made of epoxy resin or the like is applied on the upper surface of the silicon substrate 4 of the semiconductor constituent 2 by the use of a dispenser or the like. Next, on the upper surface of the upper-side insulation layer forming sheet 31B, the sub-base plate 54 made of copper foil is disposed, on the lower surface of which the upper-layer insulating film 32 is formed; then, the condition of FIG. 28 is obtained. Thereafter, as is the case of other embodiments, using a pair of hot-pressing plates 55 and 56, the lower-side insulation layer forming sheet 31Aa, upper-side insulation layer forming sheet 31B and adhesive materials 74 a are hot-pressed from top and bottom of the resultant unit. Note that, in the foregoing embodiment, description was made with the insulation layer 31 formed by two insulation layer forming sheets, hut the insulation layer 31 can be formed not by two sheets only but by laminating a plurality of insulation layer forming sheets. No matter how many insulation layer forming sheets are used, it is desirable that the circuit substrate 81 is positioned with the through-thickness center allowed to coincide with the through-thickness center of the insulation layer 31. In addition, it is desirable that the whole semiconductor device not only has the same number of insulation layers with respect to the through-thickness center of the circuit substrate 81 but also has the identical thickness of each corresponding insulation layer.
  • Other Modified Examples
  • The structure in which the circuit substrate 81 is embedded in the insulation layer 31 shown in the eighth and ninth embodiments is also applicable to the second to seventh embodiments. In addition, the semiconductor device of the present invention and the method for manufacturing the same can be variously modified and applied in accordance with their purposes.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (36)

1. A semiconductor device comprising:
a semiconductor constituent having a semiconductor substrate and a plurality of electrodes for external connection provided under the semiconductor substrate;
a lower-layer insulating film provided under and around the semiconductor constituent;
a plurality of lower-layer wirings electrically connected to the electrodes for external connection of the semiconductor constituent, and provided under the lower-layer insulating film;
an insulation layer provided on the lower-layer insulating film in the periphery of the semiconductor constituent;
an upper-layer insulating film provided on the semiconductor constituent and the insulation layer; and
a plurality of upper-layer wirings provided on the upper-layer insulating film,
wherein a base plate on which the lower-layer insulating film was mounted is removed.
2. The semiconductor device according to claim 1, wherein the semiconductor constituent is bonded onto the lower-layer insulating film via an adhesive layer.
3. The semiconductor device according to claim 1, wherein a vertical conducting unit is provided to be electrically connected with the lower-layer wiring and the upper-layer wiring in a through hole formed in the lower-layer insulating film, the insulation layer, and the upper-layer insulating film.
4. The semiconductor device according to claim 1, wherein another lower-layer wiring is provided to be electrically connected with each of the lower-layer wirings on the upper surface of the lower-layer insulating film in the periphery of the semiconductor constituent and another upper-layer wiring is provided to be electrically connected with each of the upper-layer wirings on the lower surface of the upper-layer insulating film.
5. The semiconductor device according to claim 4, wherein the semiconductor constituent is bonded to a lower surface of the upper-layer insulating film via an adhesive layer.
6. The semiconductor device according to claim 1, further comprising a circuit substrate provided with another lower-layer wiring and another upper-layer wiring, and having a vertical conducting unit electrically connecting the another lower-layer wiring, another upper-layer wiring provided on an upper part of the insulation layer in the periphery of the semiconductor constituent, wherein the lower-layer wiring is electrically connected to the another lower-layer wiring and the upper-layer wiring is electrically connected to the another upper-layer wiring.
7. The semiconductor device according to claim 6, wherein an upper surface of the circuit substrate is flush with an upper surface portion of the insulation layer on which the circuit substrate is not provided.
8. The semiconductor device according to claim 1, further comprising a circuit substrate provided with another lower-layer wiring and another upper-layer wiring, and having a vertical conducting unit electrically connecting the another lower-layer wiring and the another upper-layer wiring provided inside the insulation layer in the periphery of the semiconductor constituent, wherein the lower-layer wiring is electrically connected to the another lower-layer wiring and the upper-layer wiring is electrically connected to the another upper-layer wiring.
9. The semiconductor device according to claim 8, wherein the insulation layer is configured by laminating a plurality of sheets, and the circuit substrate is positioned with a through-thickness center thereof allowed to coincide with a through-thickness center of the insulation layer.
10. The semiconductor device according to claim 8, wherein the insulation layer is configured by laminating an upper-side insulation layer sheet and a lower-side insulation layer sheet, and the circuit substrate is embedded in the upper-side insulation layer sheet and the lower-side insulation layer sheet.
11. The semiconductor device according to claim 1, further comprising a lower-layer overcoat film having an opening in a portion corresponding to connection of the lower-layer wiring under the lower-layer insulating film including the lower-layer wiring.
12. The semiconductor device according to claim 11, further comprising a solder layer provided to be connected with connection pad of the lower-layer wiring inside and below an opening of the lower-layer overcoat film.
13. The semiconductor device according to claim 1, wherein the lower-layer wiring and the upper-layer wiring have a multiple-layer wiring structure.
14. The semiconductor device according to claim 13, wherein the lower-layer insulating film has a second lower-layer wiring on an upper surface thereof.
15. The semiconductor device according to claim 14, wherein of the lower-layer wiring and the second lower-Layer wiring, only the lower-layer wiring is directly connected to the vertical conducting unit.
16. The semiconductor device according to claim 1, wherein the upper-layer insulating film has a second upper-layer wiring on a lower surface thereof.
17. The semiconductor device according to claim 1, wherein the semiconductor constituent has a sealing film provided between the electrodes for external connection under the semiconductor substrate.
18. The semiconductor device according to claim 1, wherein the semiconductor constituent has an adhesive layer provided between the electrodes for external connection under the semiconductor substrate.
19. A semiconductor device comprising:
a semiconductor constituent having a semiconductor substrate and a plurality of electrodes for external connection provided under the semiconductor substrate;
a lower-layer insulating film provided under and around the semiconductor constituent;
a lower-layer insulation layer and an upper-layer insulation layer which are provided on an upper side of the lower-layer insulating film in the periphery of the semiconductor constituent;
a circuit substrate provided between the lower-layer insulation layer and the upper-layer insulation layer and having a plurality of intermediate wirings;
a plurality of lower-layer wirings provided to be connected with the electrodes for external connection of the semiconductor constituent and the intermediate wirings of the circuit substrate under the lower-layer insulating film, and an upper layer insulating film provided on the semiconductor constituent and the upper-layer insulation layer; and
a plurality of upper-layer wirings provided to be connected with the intermediate wirings of the circuit substrate on the upper-layer insulating film wherein a base plate on which the lower-layer insulating film was mounted is removed.
20. The semiconductor device according to claim 19, wherein the intermediate wirings of the circuit substrate are formed on an upper surface and a lower surface of the circuit substrate, and the circuit substrate further has a vertical conducting unit which connects the intermediate wirings formed on the upper surface and the lower surface.
21. A method for manufacturing a semiconductor device, comprising:
forming a lower-layer insulating film on a base plate;
fixing, on the lower-layer insulating film, a plurality of semiconductor constituents each having a semiconductor substrate and a plurality of electrodes for external connection provided under the semiconductor substrate;
forming an insulation layer on the lower-layer insulating film in the periphery of the semiconductor constituents and forming an upper-layer insulating film on the semiconductor constituents and the insulation layer;
removing the base plate;
forming lower-layer wirings connected with the electrodes for external connection of the semiconductor constituent under the lower-layer insulating film and forming upper-layer wirings on the upper-layer insulating film; and
obtaining a plurality of semiconductor devices by cutting the lower-layer insulating film, the insulation layer, and the upper-layer insulating film between the semiconductor constituents.
22. The method for manufacturing a semiconductor device according to claim 21, wherein the fixing said plurality of semiconductor constituents on the lower-layer insulating film includes supplying a liquid-form adhesive material onto the lower-layer insulating film in advance and hot-pressing the semiconductor constituent onto the lower-layer insulating film.
23. The method for manufacturing a semiconductor device according to claim 21, wherein the fixing said plurality of semiconductor constituents on the lower-layer insulating film includes supplying a sheet-form adhesive material onto the lower-layer insulating film in advance and hot-pressing the semiconductor constituent onto the lower-layer insulating film.
24. The method for manufacturing a semiconductor device according to claim 21, wherein the forming the lower-layer wirings and the upper-layer wirings includes forming through holes in the lower-layer insulating film, the insulation layer, and the upper-layer insulating film and forming vertical conducting units, inside the through holes, to be connected with the lower-layer wirings and the upper-layer wirings.
25. The method for manufacturing a semiconductor device according to claim 21, further including forming another lower-layer wirings, in advance in the periphery of a semiconductor constituent mounting areas on the lower-layer insulating film, and forming another upper-layer wirings, in advance under the upper-layer insulating film, the lower-layer wiring being formed to be connected with the another lower-layer wiring and the upper-layer wiring being formed to he connected with the another upper-layer wiring on the upper-layer insulating film.
26. The method for manufacturing a semiconductor device according to claim 21, wherein the forming the insulation layer and the upper-layer wirings includes arranging the circuit substrate having another lower-layer wiring, another upper-layer wiring, and a vertical conducting unit which connects the wirings on the insulation layer under the upper-layer wiring in the periphery of the semiconductor constituent, and the lower-layer wirings are formed to be connected to the another lower-layer wiring and the upper-layer wirings are formed to be connected to the another upper-layer wiring on the upper-layer insulating film.
27. The method for manufacturing a semiconductor device according to claim 21, wherein the upper-layer insulating film is initially formed under a sub-base plate and the step of removing the base plate includes a step of removing the sub-base plate.
28. The method for manufacturing a semiconductor device according to claim 21, wherein the step forming the Lower-layer insulating film on the base plate includes a step of forming a lower-layer protection metal layer and a lower-layer metallic underlayer on the base plate, and forming a lower-layer insulating film on the lower-layer metallic underlayer.
29. The method for manufacturing a semiconductor device according to claim 28, wherein the forming the upper-layer insulating film on the semiconductor constituent and the insulation layer includes a step of forming an upper-layer protection metal layer and an upper-layer metallic underlayer under the sub-base plate, and forming the upper-layer insulating film under the upper-layer metallic underlayer.
30. The method for manufacturing a semiconductor device according to claim 29, wherein the removing the base plate and the sub-base plate includes removing the lower-layer protection metal layer and the upper-layer protection metal layer.
31. The method for manufacturing a semiconductor device according to claim 29, wherein the forming the lower-layer insulating film on the lower-layer metallic underlayer includes carrying out surface roughening treatment in advance to the upper surface of the lower-layer metallic underlayer, and the forming the upper-layer insulating film under the upper-layer metallic underlayer includes carrying out surface roughening treatment in advance to the lower surface of the upper-layer metallic underlayer.
32. The method for manufacturing a semiconductor device according to claim 21, wherein the forming the lower-layer wiring includes forming the lower-layer metallic underlayer, another lower-layer metallic underlayer, and a lower-layer metallic upperlayer by electrolytic plating under the lower-layer insulating film in that order.
33. The method for manufacturing a semiconductor device according to claim 32, wherein the forming the upper-layer wiring includes forming an upper-layer metallic underlayer, another upper-layer metallic underlayer, and an upper-layer metallic upperlayer by electrolytic plating on the upper-layer insulating film in that order.
34. The method for manufacturing a semiconductor device according to claim 33, wherein the base plate, the lower-layer metallic underlayer, the another lower-layer metallic underlayer, the lower-layer metallic upperlayer, the sub-base plate, the upper-layer metallic underlayer, the another upper-layer metallic underlayer, and the upper-layer metallic upperlayer are made of copper, and the lower-layer protection metal layer and the upper-layer protection metal layer are made of nickel.
35. A method for manufacturing a semiconductor device, comprising:
forming a lower-layer insulating film on a base plate;
fixing, on the lower-layer insulating film, a plurality of semiconductor constituents each having a semiconductor substrate and a plurality of electrodes for external connection provided under the semiconductor substrate;
forming a lower-side insulation layer and an upper-side insulation layer on an upper side of the lower-layer insulating film in the peripheries of the semiconductor constituents, providing a circuit substrate having intermediate wirings between the lower-side insulation layer and the upper-side insulation layer, and forming an upper-layer insulating film on the semiconductor constituents and the upper-side insulation layer;
removing the base plate from the lower-layer insulating film;
forming lower-layer wirings to be connected to electrodes for external connection of the semiconductor constituent and the intermediate wirings of the circuit substrate under the lower-side insulating film, and forming upper-layer wirings to be connected to the intermediate wirings of the circuit substrate on the upper-layer insulating film; and
cutting the lower-layer insulating film, the lower-layer insulation layer, the circuit substrate, the upper-layer insulation layer, and the upper-layer insulating film between the semiconductor constituents and obtaining a plurality of semiconductor devices.
36. The method for manufacturing a semiconductor device according to claim 35, wherein the intermediate wirings of the circuit substrate are formed on the upper surface and the lower surface of the circuit substrate, and the circuit substrate has a vertical conducting unit which connects the intermediate wirings formed on the upper surface and the lower surface.
US12/187,699 2007-08-08 2008-08-07 Semiconductor device and method for manufacturing the same Abandoned US20090039514A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/849,529 US8268674B2 (en) 2007-08-08 2010-08-03 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2007206067A JP2009043858A (en) 2007-08-08 2007-08-08 Semiconductor device and manufacturing method thereof
JP2007-206067 2007-08-08
JP2007250952A JP5042762B2 (en) 2007-09-27 2007-09-27 Semiconductor device
JP2007-250952 2007-09-27

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/849,529 Division US8268674B2 (en) 2007-08-08 2010-08-03 Semiconductor device and method for manufacturing the same

Publications (1)

Publication Number Publication Date
US20090039514A1 true US20090039514A1 (en) 2009-02-12

Family

ID=40276262

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/187,699 Abandoned US20090039514A1 (en) 2007-08-08 2008-08-07 Semiconductor device and method for manufacturing the same
US12/849,529 Expired - Fee Related US8268674B2 (en) 2007-08-08 2010-08-03 Semiconductor device and method for manufacturing the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/849,529 Expired - Fee Related US8268674B2 (en) 2007-08-08 2010-08-03 Semiconductor device and method for manufacturing the same

Country Status (6)

Country Link
US (2) US20090039514A1 (en)
EP (1) EP2176883A2 (en)
KR (1) KR101161061B1 (en)
CN (1) CN101689539A (en)
TW (1) TWI384595B (en)
WO (1) WO2009020240A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101944519A (en) * 2009-07-02 2011-01-12 卡西欧计算机株式会社 Semiconductor device including sealing film and manufacturing method of semiconductor device
US20130337648A1 (en) * 2012-06-14 2013-12-19 Bridge Semiconductor Corporation Method of making cavity substrate with built-in stiffener and cavity
US20160007450A1 (en) * 2013-03-05 2016-01-07 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Method for Producing a Printed Circuit Board with MultiLayer Sub-Areas in Sections
US20160197023A1 (en) * 2013-10-01 2016-07-07 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device
US20170125375A1 (en) * 2015-10-29 2017-05-04 Semtech Corporation Semiconductor Device and Method of Forming DCALGA Package Using Semiconductor Die with Micro Pillars

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209151B2 (en) * 2013-09-26 2015-12-08 General Electric Company Embedded semiconductor device package and method of manufacturing thereof
WO2016096947A2 (en) * 2014-12-16 2016-06-23 At & S Austria Technologie & Systemtechnik Aktiengesellschaft Contacting embedded electronic component via wiring structure in a component carrier's surface portion with homogeneous ablation properties
CN106024657A (en) * 2016-06-24 2016-10-12 南通富士通微电子股份有限公司 Embedded package structure
EP3557608A1 (en) * 2018-04-19 2019-10-23 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Packaged integrated circuit with interposing functionality and method for manufacturing such a packaged integrated circuit

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5497033A (en) * 1993-02-08 1996-03-05 Martin Marietta Corporation Embedded substrate for integrated circuit modules
US6154366A (en) * 1999-11-23 2000-11-28 Intel Corporation Structures and processes for fabricating moisture resistant chip-on-flex packages
US20020135058A1 (en) * 2001-01-19 2002-09-26 Matsushita Electric Industrial Co., Ltd. Component built-in module and method of manufacturing the same
US20040082100A1 (en) * 2001-11-02 2004-04-29 Norihito Tsukahara Method and apparatus for manufacturing electronic component-mounted component, and electronic component-mounted component
US20040201085A1 (en) * 2001-11-22 2004-10-14 Tsuyoshi Ogawa Multi-chip circuit module and method for producing the same
US20040245614A1 (en) * 2003-06-03 2004-12-09 Casio Computer Co., Ltd. Semiconductor package having semiconductor constructing body and method of manufacturing the same
US20050140021A1 (en) * 2003-11-10 2005-06-30 Casio Computer., Ltd. Semiconductor device and manufacturing method thereof
US20050140007A1 (en) * 2003-12-25 2005-06-30 Casio Computer Co., Ltd. Semiconductor device and method of fabricating the same
US20050161803A1 (en) * 2004-01-27 2005-07-28 Casio Computer Co., Ltd. Semiconductor device and method of fabricating the same
US20050269698A1 (en) * 2004-06-02 2005-12-08 Casio Computer Co., Ltd. Semiconductor device having adhesion increasing film and method of fabricating the same
US20060046350A1 (en) * 2004-08-31 2006-03-02 Tongbi Jiang Methods for fabrication of thin semiconductor assemblies including redistribution layers and packages and assemblies formed thereby
US20060125082A1 (en) * 2004-12-14 2006-06-15 Casio Computer Co., Ltd. Semiconductor device and manufacturing method thereof
US20070042594A1 (en) * 2002-02-04 2007-02-22 Casio Computer Co., Ltd. Semiconductor device and method of manufacturing the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US61566A (en) * 1867-01-29 George w
JP3346320B2 (en) 1999-02-03 2002-11-18 カシオ計算機株式会社 Semiconductor device and manufacturing method thereof
KR100593049B1 (en) * 2002-08-09 2006-06-28 가시오게산키 가부시키가이샤 Semiconductor device and method of manufacturing the same
JP4324732B2 (en) 2003-11-28 2009-09-02 カシオ計算機株式会社 Manufacturing method of semiconductor device
JP2005191156A (en) 2003-12-25 2005-07-14 Mitsubishi Electric Corp Wiring plate containing electric component, and its manufacturing method
JP4055717B2 (en) 2004-01-27 2008-03-05 カシオ計算機株式会社 Semiconductor device and manufacturing method thereof
JP3945483B2 (en) * 2004-01-27 2007-07-18 カシオ計算機株式会社 Manufacturing method of semiconductor device
JP3925503B2 (en) * 2004-03-15 2007-06-06 カシオ計算機株式会社 Semiconductor device
JP3925809B2 (en) * 2004-03-31 2007-06-06 カシオ計算機株式会社 Semiconductor device and manufacturing method thereof
JP4042741B2 (en) 2004-12-15 2008-02-06 カシオ計算機株式会社 Manufacturing method of semiconductor device
JP4565470B2 (en) 2005-08-30 2010-10-20 キヤノンアネルバ株式会社 Micro bump forming method and apparatus
JP5114041B2 (en) * 2006-01-13 2013-01-09 日本シイエムケイ株式会社 Semiconductor device built-in printed wiring board and manufacturing method thereof

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5497033A (en) * 1993-02-08 1996-03-05 Martin Marietta Corporation Embedded substrate for integrated circuit modules
US6154366A (en) * 1999-11-23 2000-11-28 Intel Corporation Structures and processes for fabricating moisture resistant chip-on-flex packages
US20020135058A1 (en) * 2001-01-19 2002-09-26 Matsushita Electric Industrial Co., Ltd. Component built-in module and method of manufacturing the same
US20040082100A1 (en) * 2001-11-02 2004-04-29 Norihito Tsukahara Method and apparatus for manufacturing electronic component-mounted component, and electronic component-mounted component
US20070200217A1 (en) * 2001-11-02 2007-08-30 Norihito Tsukahara Method and apparatus for manufacturing electronic component-mounted component, and electronic component-mounted component
US20040201085A1 (en) * 2001-11-22 2004-10-14 Tsuyoshi Ogawa Multi-chip circuit module and method for producing the same
US20070042594A1 (en) * 2002-02-04 2007-02-22 Casio Computer Co., Ltd. Semiconductor device and method of manufacturing the same
US20040245614A1 (en) * 2003-06-03 2004-12-09 Casio Computer Co., Ltd. Semiconductor package having semiconductor constructing body and method of manufacturing the same
US20080166836A1 (en) * 2003-06-03 2008-07-10 Casio Computer Co., Ltd. Semiconductor package including connected upper and lower interconnections, and manufacturing method thereof
US20050140021A1 (en) * 2003-11-10 2005-06-30 Casio Computer., Ltd. Semiconductor device and manufacturing method thereof
US20050140007A1 (en) * 2003-12-25 2005-06-30 Casio Computer Co., Ltd. Semiconductor device and method of fabricating the same
US20080014681A1 (en) * 2003-12-25 2008-01-17 Casio Computer Co., Ltd. Semiconductor device including a hard sheet to reduce warping of a base plate and method of fabricating the same
US20050161803A1 (en) * 2004-01-27 2005-07-28 Casio Computer Co., Ltd. Semiconductor device and method of fabricating the same
US20050269698A1 (en) * 2004-06-02 2005-12-08 Casio Computer Co., Ltd. Semiconductor device having adhesion increasing film and method of fabricating the same
US20070001293A1 (en) * 2004-08-31 2007-01-04 Tongbi Jiang Semiconductor assemblies including redistribution layers and packages and assemblies formed therefrom
US20060046350A1 (en) * 2004-08-31 2006-03-02 Tongbi Jiang Methods for fabrication of thin semiconductor assemblies including redistribution layers and packages and assemblies formed thereby
US20060125082A1 (en) * 2004-12-14 2006-06-15 Casio Computer Co., Ltd. Semiconductor device and manufacturing method thereof

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101944519A (en) * 2009-07-02 2011-01-12 卡西欧计算机株式会社 Semiconductor device including sealing film and manufacturing method of semiconductor device
US20130337648A1 (en) * 2012-06-14 2013-12-19 Bridge Semiconductor Corporation Method of making cavity substrate with built-in stiffener and cavity
US20160007450A1 (en) * 2013-03-05 2016-01-07 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Method for Producing a Printed Circuit Board with MultiLayer Sub-Areas in Sections
US9750134B2 (en) * 2013-03-05 2017-08-29 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Method for producing a printed circuit board with multilayer sub-areas in sections
US20160197023A1 (en) * 2013-10-01 2016-07-07 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device
US9966322B2 (en) * 2013-10-01 2018-05-08 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device
US20170125375A1 (en) * 2015-10-29 2017-05-04 Semtech Corporation Semiconductor Device and Method of Forming DCALGA Package Using Semiconductor Die with Micro Pillars
US9875988B2 (en) * 2015-10-29 2018-01-23 Semtech Corporation Semiconductor device and method of forming DCALGA package using semiconductor die with micro pillars

Also Published As

Publication number Publication date
TWI384595B (en) 2013-02-01
US20100317154A1 (en) 2010-12-16
WO2009020240A3 (en) 2009-05-22
CN101689539A (en) 2010-03-31
KR101161061B1 (en) 2012-07-02
US8268674B2 (en) 2012-09-18
KR20100009639A (en) 2010-01-28
TW200915501A (en) 2009-04-01
EP2176883A2 (en) 2010-04-21
WO2009020240A2 (en) 2009-02-12

Similar Documents

Publication Publication Date Title
US8268674B2 (en) Semiconductor device and method for manufacturing the same
US8004089B2 (en) Semiconductor device having wiring line and manufacturing method thereof
US8063490B2 (en) Semiconductor device including semiconductor constituent
JP3740469B2 (en) Semiconductor device and manufacturing method of semiconductor device
US7790515B2 (en) Semiconductor device with no base member and method of manufacturing the same
KR101084924B1 (en) Semiconductor device and manufacturing method thereof
US7972903B2 (en) Semiconductor device having wiring line and manufacturing method thereof
JP4446772B2 (en) Circuit device and manufacturing method thereof
US7843071B2 (en) Semiconductor device including wiring and manufacturing method thereof
JP2005142466A (en) Semiconductor device, and manufacturing method thereof
JP2009260165A (en) Semiconductor device
JP2011155313A (en) Semiconductor device
JP2005150344A (en) Semiconductor device and its manufacturing method
JP5042762B2 (en) Semiconductor device
JP2009043858A (en) Semiconductor device and manufacturing method thereof
JP5880036B2 (en) Electronic component built-in substrate, manufacturing method thereof, and multilayer electronic component built-in substrate
JP2005158999A (en) Semiconductor device
JP2005191157A (en) Semiconductor device and its manufacturing method
JP2009064879A (en) Semiconductor device, and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: CASIO COMPUTER CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:JOBETTO, HIROYASU;REEL/FRAME:021356/0462

Effective date: 20080801

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION