US20090061646A1 - Vapor based combinatorial processing - Google Patents

Vapor based combinatorial processing Download PDF

Info

Publication number
US20090061646A1
US20090061646A1 US12/205,578 US20557808A US2009061646A1 US 20090061646 A1 US20090061646 A1 US 20090061646A1 US 20557808 A US20557808 A US 20557808A US 2009061646 A1 US2009061646 A1 US 2009061646A1
Authority
US
United States
Prior art keywords
fluid
substrate
sectors
processing
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/205,578
Inventor
Tony P. Chiang
Sunil Shanker
Chi-I Lng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/205,578 priority Critical patent/US20090061646A1/en
Publication of US20090061646A1 publication Critical patent/US20090061646A1/en
Priority to US14/253,712 priority patent/US9245744B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction

Definitions

  • This invention relates to semiconductor processing. More particularly, this invention relates to a processing system and a method of site-isolated vapor based processing to facilitate combinatorial film deposition and integration on a substrate.
  • Chemical Vapor Deposition is a vapor based deposition process commonly used in semiconductor manufacturing including but not limited to the formation of dielectric layers, conductive layers, semiconducting layers, liners, barriers, adhesion layers, seed layers, stress layers, and fill layers.
  • CVD is typically a thermally driven process whereby the precursor flux(es) are pre-mixed and coincident to the substrate surface to be deposited upon.
  • CVD requires control of the substrate temperature and the incoming precursor flux(es) to achieve desired film materials properties and thickness uniformity.
  • CVD based processes include but are not limited to Plasma Enhanced Chemical Vapor Deposition (PECVD), High-Density Plasma Chemical Vapor Deposition (HDP-CVD), Sub-Atmospheric Chemical Vapor Deposition (SACVD), laser assisted/induced CVD, and ion assisted/induced CVD.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • HDP-CVD High-Density Plasma Chemical Vapor Deposition
  • SACVD Sub-Atmospheric Chemical Vapor Deposition
  • laser assisted/induced CVD laser assisted/induced CVD
  • ion assisted/induced CVD ion assisted/induced CVD.
  • ALD Atomic Layer Deposition
  • ALD is a multi-step, self-limiting process that includes the use of at least two precursors or reagents. Generally, a first precursor (or reagent) is introduced into a processing chamber containing a substrate and adsorbs on the surface of the substrate. Excess first precursor is purged and/or pumped away. A second precursor (or reagent) is then introduced into the chamber and reacts with the initially adsorbed layer to form a deposited layer via a deposition reaction.
  • the deposition reaction is self-limiting in that the reaction terminates once the initially adsorbed layer is consumed by the second precursor. Excess second precursor is purged and/or pumped away.
  • the aforementioned steps constitute one deposition or ALD “cycle.” The process is repeated to form the next layer, with the number of cycles determining the total deposited film thickness.
  • Different sets of precursors can also be chosen to form nano-composites comprised of differing materials compositions.
  • Derivatives of ALD include but are not limited to Plasma Enhanced Atomic Layer Deposition (PEALD), radical assisted/enhanced ALD, laser assisted/induced ALD, and ion assisted/induced ALD.
  • CVD and ALD vapor-based processes
  • CVD and ALD processes need to be integrated into process/device flows. Uniform processing results in fewer data per substrate, longer times to accumulate a wide variety of data and higher costs associated with obtaining such data.
  • the invention enables one to test i) more than one material, ii) more than one processing condition, iii) more than one sequence of processing conditions, and iv) more than one process sequence integration flow on a single monolithic substrate without the need of consuming the equivalent number of monolithic substrates per material(s), processing condition(s), sequence(s) of processing conditions, sequence(s) of processes, and combinations thereof.
  • This can greatly improve both the speed and reduce the costs associated with the discovery, implementation, optimization, and qualification of new CVD and ALD based material(s), process(es), and process integration sequence(s) required for manufacturing.
  • the invention provides systems, components, and method for processing substrates in a combinatorial manner through the variation of constituent parts of a fluid volume.
  • FIG. 1 is a detailed cross-sectional view of a system in accordance with one embodiment of the present invention
  • FIG. 2 is a simplified schematic view showing the flow of processing fluids in the system shown in FIG. 1 ;
  • FIG. 3 is a bottom-up exploded perspective view of a showerhead assembly employed in the semiconductor processing system shown in FIG. 11 in accordance with a first embodiment
  • FIG. 4 is a top-down exploded perspective view of a showerhead shown in FIG. 3 , in accordance with the present invention.
  • FIG. 5 is a top-down view of a manifold body of the showerhead shown in FIGS. 3 and 4 ;
  • FIG. 6 is a plan view of a fluid supply system of a processing chamber shown in FIG. 1 , in accordance with one embodiment of the present invention
  • FIG. 7 is a graphical representation of the operation of the fluid supply system shown in FIG. 6 and the resulting distribution of processing fluids exiting the showerhead shown in FIGS. 3 , 4 and 5 ;
  • FIG. 5A is a top down plan view showing movement of processing fluids over a surface of a substrate disposed in a processing region, shown in FIG. 1 , in accordance with the present invention
  • FIG. 8B is a simplified schematic diagram illustrating the flow vectors for the axi-symmetric segmented gas flow enabling species isolation to define segregated sectors of the wafer surface in accordance with one embodiment of the invention
  • FIG. 9 is a detailed cross-sectional view of the system shown in FIG. 1 in accordance with a first alternate embodiment of the present invention.
  • FIG. 10 is a detailed cross-sectional view of the system shown in FIG. 1 in accordance with a second alternate embodiment of the present invention.
  • FIG. 11A is a plan view of a fluid supply system of the processing chamber shown in FIG. 1 , in accordance with an alternate embodiment of the present invention
  • FIG. 11B is a graphical representation of the operation of the fluid supply system shown in FIG. 11A as it relates to the substrate in FIGS. 8A and 8B .
  • FIG. 12 is a cross-sectional view of the manifold body shown in FIG. 4 in accordance with an alternate embodiment of the present invention.
  • FIG. 13 is a top-down view of a manifold body shown in FIGS. 3 and 4 in accordance with an alternate embodiment of the present invention
  • FIGS. 13-1 , 13 - 2 , 13 - 3 , and 13 - 4 illustrate exemplary embodiments of the showerhead of FIG. 13 in accordance with one embodiment of the invention.
  • FIG. 14 is a detailed view of injection ports made in the manifold body shown in FIGS. 3 , 4 , 5 and 14 in accordance with an alternate embodiment of the present invention
  • FIG. 15A shows a simplified cross sectional view of a substrate that has structures defined from combinatorial processing sequences for screening purposes in accordance with one embodiment of the invention.
  • FIG. 15B is a top-down view of a substrate having material formed thereon in accordance with an alternate embodiment of the present invention.
  • FIG. 16 is a top-down view of a substrate showing segmentation of regions thereof in accordance with an embodiment of the present invention.
  • FIG. 17 is a simplified plan view of a cluster tool in which any of the processing systems shown in FIGS. 1 , 9 and 10 may be included;
  • FIG. 18 is a bottom-up view of a fluid control mechanism in accordance with yet another embodiment of the present invention.
  • FIG. 19 is a simplified plan view of a system for depositing material on a substrate including the fluid control mechanism shown in FIG. 18 ;
  • FIG. 20 is a top down view of the system shown in FIG. 19 with the fluid control mechanism removed;
  • FIGS. 21-23 show the application of the screening process to a process sequence for a gate stack configuration in accordance with one embodiment of the invention
  • FIGS. 24-25 show a screening technique for evaluating a metal-insulator-metal (MIM) structure for a memory device in accordance with one embodiment of the invention
  • the embodiments described herein enable the application of combinatorial techniques to deposition process sequence integration in order to arrive at a globally optimal sequence of semiconductor manufacturing operations by considering interaction effects between the unit manufacturing operations on multiple regions of a substrate concurrently, Specifically, multiple process conditions may be concurrently employed to effect such unit manufacturing operations, as well as material characteristics of components utilized within the unit manufacturing operations, thereby minimizing the time required to conduct the multiple operations.
  • a global optimum sequence order can also be derived and as part of this technique, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.
  • the embodiments are capable of analyzing a portion or sub-set of the overall deposition process sequence used to manufacture a semiconductor device.
  • the process sequence may be one used in the manufacture of integrated circuits (IC) semiconductor devices, flat panel displays, optoelectronics devices, data storage devices, magneto electronic devices, magneto optic devices, packaged devices, and the like.
  • IC integrated circuits
  • flat panel displays flat panel displays
  • optoelectronics devices data storage devices
  • magneto electronic devices magneto optic devices
  • packaged devices packaged devices, and the like.
  • combinatorial process sequence integration testing is performed to optimize the materials, unit processes and process sequence for that portion of the overall process identified.
  • the deposition may be used to form structures or modify structures already formed on the substrate, which structures are equivalent to the structures formed during manufacturing of substrates for production.
  • structures on semiconductor substrates may include, but would not be limited to, trenches, vias, interconnect lines, capping layers, masking layers, diodes, memory elements, gate stacks, transistors, or any other series of layers or unit processes that create a structure found on semiconductor chips.
  • the material, unit process and process sequence variations may also be used to create layers and/or unique material interfaces without creating all or part of an intended structure, which allows more basic research into properties of the resulting materials as opposed to the structures or devices created through the process steps. While the combinatorial processing varies certain materials, unit processes, or process sequences, the composition or thickness of the layers or structures or the action of the unit process is preferably substantially uniform within each region, but can vary from region to region per the combinatorial experimentation.
  • the result is a series of regions on the substrate that contain structures or results of unit process sequences that have been uniformly applied within that region and, as applicable, across different regions through the creation of an array of differently processed regions due to the design of experiment.
  • This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, or process sequences) and not the lack of process uniformity.
  • non-uniform processing of regions can also be used for certain experiments of types of screening. Namely, gradient processing or regional processing having non-uniformity outside of manufacturing specifications may be used in certain situations.
  • Combinatorial processing is generally most effective when used in a screening protocol that starts with relatively simple screening, sometimes called primary screening, and moves to more complex screening involving structures and/or electrical results, sometimes called secondary screening, and then moves to analysis of the portion of the process sequence in its entirety, sometimes called tertiary screening.
  • the names for the screening levels and the type of processing and analysis are arbitrary and depend more on the specific experimentation being conducted. Thus, the descriptions above are not meant to be limiting in any fashion. As the screening levels progress, materials and process variations are eliminated, and information is fed back to prior stages to further refine the analysis, so that an optimal solution is derived based upon the initial specification and parameters.
  • ALD atomic layer deposition
  • precursors simple examples of conditions that may be varied, include the precursors, reagents, carrier gases, order of precursors, concentration of precursors/reagents, duration of precursor/reagent pulses, purge fluid species, purge fluid duration, partial pressures, total pressure, flow rates, growth rate per cycle, incubation period, growth rate as a function of substrate type, film thickness, film composition, nano-laminates (e.g., stacking of different ALD film types), precursor source temperatures, substrate temperatures, temperature for saturative adsorption, temperature window for ALD, temperature for thermal decomposition of the precursor(s), plasma power for plasma/ion/radical based ALD, etc.
  • a primary screen may start with varying the precursor and purge fluid pulse durations and flows at increasing substrate temperatures to determine the ALD process window (a zone characterized by self-limiting deposition with weak temperature dependence) for a given film type.
  • a secondary screen may entail stacking two or more such ALD films to vary the effective dielectric constant of a film stack in a simple MIM capacitor structure for example.
  • the output of such a screen may be those candidates which yield the highest effective dielectric constant at the lowest leakage and remain stable through a high temperature (e.g. >500° C.) thermal anneal.
  • the system and methods described below are useful to implement combinatorial experimentation as described above, and are particularly useful for ALD and CVD processing.
  • Fluid as used in this application refers to liquids, gases, vapors, i.e., a component that flows, and other types of fluids used in ALD and CVD processes and their variants and these terms are used interchangeably throughout this specification.
  • a constituent component may be a liquid at some point in the system, the fluid may be converted to a gas, vapor or other such fluid before entering the processing chamber and being exposed to the substrate.
  • a substrate processing system 10 in accordance with one embodiment of the present invention includes an enclosure assembly 12 formed from a process-compatible material, such as aluminum or anodized aluminum.
  • Enclosure assembly 12 includes a housing 14 , defining a processing chamber 16 and a vacuum lid assembly 20 covering an opening to processing chamber 16 .
  • Mounted to vacuum lid assembly 20 is a process fluid injection assembly that delivers reactive and carrier fluids into processing chamber 16 .
  • the fluid injection assembly includes a plurality of passageways 30 , 31 , 32 and 33 and a showerhead 90 .
  • the chamber housing 14 , vacuum lid assembly 20 , and showerhead 90 may be maintained within desired temperature ranges in a conventional manner. It should be appreciated that the Figures provided herein are illustrative and not necessarily drawn to scale.
  • a heater/lift assembly 46 is disposed within processing chamber 16 .
  • Heater/lift assembly 46 includes a support pedestal 48 connected to a support shaft 49 .
  • Support pedestal 48 is positioned between shaft 49 and vacuum lid assembly 20 .
  • Support pedestal 48 may be formed from any process-compatible material, including aluminum nitride and aluminum oxide (Al 2 O 3 or alumina) and is configured to hold a substrate thereon, e.g., support pedestal 48 may be a vacuum chuck or utilize other conventional techniques such as an electrostatic chuck (ESC) or physical clamping mechanisms.
  • Heater lift assembly 46 is adapted to be controllably moved so as to vary the distance between support pedestal 48 and the showerhead 90 to control the substrate to showerhead spacing.
  • a sensor (not shown) provides information concerning the position of support pedestal 48 within processing chamber 16 .
  • Support pedestal 48 can be used to heat the substrate through the use of heating elements (not shown) such as resistive heating elements embedded in the pedestal assembly.
  • a fluid supply system 69 is in fluid communication with passageways 30 , 31 , 32 and 33 through a sequence of conduits.
  • Flows of processing fluids, from fluid supply system 69 , within processing chamber 16 are provided, in part, by a pressure control system that may include one or more pumps, such as turbo pump 64 and roughing pump 66 both of which are in fluid communication with processing chamber 16 via a butterfly valve 67 and pump channel 68 .
  • a controller 70 regulates the operations of the various components of system 10 .
  • Controller 70 includes a processor 72 in data communication with memory, such as random access memory 74 and a hard disk drive 76 and is in signal communication with pump system 64 , temperature control system 52 , fluid supply system 69 and various other aspects of the system as required.
  • System 10 may establish conditions in a region 77 of processing chamber 16 located proximate to a surface 78 of a substrate 79 disposed on support pedestal 48 to form desired material thereon, such as a thin film.
  • housing 14 is configured to create a peripheral flow channel 71 that surrounds support pedestal 48 when placed in a processing position to provide processing region 77 with the desired dimensions based upon chemical processes to be achieved by system 10 .
  • Pump channel 68 is situated in housing 14 so that processing region 77 is positioned between pump channel 68 and showerhead 90 .
  • peripheral flow channel 71 The dimensions of peripheral flow channel 71 are defined to provide a desired conductance of processing fluids therethrough which provide flows of processing fluids over a surface 78 of substrate 79 in a substantially uniform manner and in an axi-symmetric fashion as further described below.
  • the conductance through pump channel 68 is chosen to be larger than the conductance through peripheral flow channel 71 .
  • the relative conductive of processing fluids through pump channel 68 and peripheral flow channel 71 is, for example, 10:1, wherein the conductance of pump channel 68 is established to be at least ten (10) times greater than the conductance of processing fluids through peripheral flow channel 71 .
  • Such a large disparity in the conductance which includes other ratios (e.g., 5:1, 8:1, 15:1 and other higher and lower ratios as applicable to the chamber and application), serves to facilitate axi-symmetric flow across the surface 78 of substrate 79 as shown by flows A and B moving through processing region 77 and subsequently passing substrate 79 and support pedestal 48 toward pump channel 68 .
  • showerhead 90 includes a baffle plate 80 that is formed to be radially symmetric about a central axis 82 , but need not be.
  • Baffle plate 80 has a plurality of through ports 91 , 93 , 95 and 97 extending therethrough.
  • Coupled to baffle plate 80 is a manifold portion 92 having a plurality of injection ports 94 extending through manifold portion 92 .
  • Manifold portion 92 is typically disposed to be radially symmetric about axis 82 .
  • Manifold portion 92 is spaced-apart from surface 86 to define a plenum chamber 106 therebetween.
  • Manifold portion 92 may be coupled to baffle plate 80 using any means known in the semiconductor processing art, including fasteners, welding and the like.
  • Baffle plate 80 and shower head 90 may be formed from any known material suitable for the application, including stainless steel, aluminum, anodized aluminum, nickel, ceramics and the like.
  • a fluid separation mechanism that includes a body 112 extending from manifold portion 92 toward baffle plate 80 .
  • the distance that body 112 extends from the surface is dependent upon the specific design parameters and may extend to cover part of the distance or the entire distance to create sectors within the plenum 106 , as discussed more fully below.
  • body 112 may extend between the manifold 92 and baffle 80 in two orthogonal directions to create four regions, referred to as quadrants or sectors 114 , 115 , 116 and 117 .
  • a vertex 118 of body 112 is generally aligned with axis 82 .
  • Passageways 30 , 31 , 32 and 33 shown in FIG. 1 , are configured to direct fluid through corresponding ones of ports 91 , 93 , 95 and 97 . In this manner, ports 91 , 93 , 95 and 97 are arranged to create flows of processing fluids that are associated with a corresponding one of quadrants 114 - 117 .
  • the body 112 provides sufficient separation to minimize, if not prevent, fluids exiting ports 91 , 93 , 95 and 97 from diffusing between adjacent quadrants 114 - 117 .
  • each of the four ports 91 , 93 , 95 and 97 directs a flow of processing fluids onto one of quadrants 114 - 117 that differs from the quadrants 114 - 117 into which the remaining ports 91 , 93 , 95 and 97 direct flows of processing fluids.
  • FIG. 6 illustrates one possible valving and system arrangement for the distribution and flowing of a precursor or reactive reagent to one sector at a time, normally in a serial manner.
  • Other arrangements, as discussed below, are possible for serial, semi-parallel or parallel distribution and flowing of vapor through the showerhead sectors to the corresponding regions on substrate 79 .
  • fluid supply system 69 includes two precursor/reagent subsystems 119 and 131 and various others valves, tubing and features.
  • Reagent subsystem 119 includes a plurality of supplies of carrier or purge fluids or precursors 120 - 127 that may include nitrogen (N 2 ), argon (Ar), water (H 2 O), ammonia (NH 3 ), oxygen (O 2 ), hydrogen, helium, ozone, silane, and any other precursor and/or carrier or purge fluid(s) (e.g., gases, vapors, etc.) used in ALD or CVD processing shown generally by additional reagents denoted by X of supply 127 .
  • a precursor distribution system 128 facilitates selective distribution between supplies 120 - 127 and one of two fluid lines 129 and 130 .
  • Precursor distribution system 128 facilitates selectively placing one or both of supplies 120 - 121 in fluid communication with (purge) fluid line 129 and facilitates selectively placing supplies 122 - 127 in fluid communication with (precursor) fluid line 130 .
  • Purge fluid line 129 may carry purge gases and Precursor fluid line 130 may carry precursors and/or reagents and/or their respective carrier gases.
  • Reagent subsystem 131 allows distribution of precursors/reagents from supplies 132 and 133 to be selectively placed in fluid communication with reagent fluid lines 134 and 135 , respectively.
  • Supplies 132 and 133 may be for example, bubblers, ampoules, or solid source containers holding organometallic or halide precursors.
  • Appropriate inert carrier gases can be used to deliver precursors/reagents contained in supplies 132 and 133 .
  • precursors shown below for one embodiment include, but are not limited to, Tetrakis-ethylmethyl amido Hafnium (TEMAHf) for supply 132 and TriMethylAluminum(TMA) for supply 133 .
  • Alternate sources of Hafnium precursors include but are not limited to Tetrakis-diethylamido Hafnium (TDEAHf), Tetrakis-dimethyl amido Hafnium (TDMAHf), Hafnium tert-butoxide, Hafnium Chloride.
  • TDEAHf Tetrakis-diethylamido Hafnium
  • TDMAHf Tetrakis-dimethyl amido Hafnium
  • Hafnium Chloride Hafnium Chloride.
  • the choice of precursors is not limited solely to those used as examples in the embodiment, namely
  • the fluid supply system of FIG. 6 also includes first and second sets of injection valves 140 - 143 and 144 - 147 , with injection valves 140 - 143 being selectively placed in fluid communication with reagent fluid lines 134 and 135 via reagent valve blocks 148 a and 148 b .
  • Injection valves 144 - 147 are selectively placed in fluid communication with (precursor) fluid line 130 via precursor valve block 149 and with (purge) fluid line 129 via purge valve block 150 .
  • Injection valves 140 - 147 and valve blocks 148 a , 148 b , 149 , and 150 may include any valve suitable for the deposition recipe, including hi-speed (e.g., pneumatic or piezoelectric) valves.
  • Hi-speed valve 151 of valve block 148 a selectively places injection valves 140 - 143 in fluid communication with reagent fluid line 134
  • hi-speed valve 158 of valve block 148 b selectively places injection valves 140 - 143 in fluid communication with reagent fluid line 135
  • Hi-speed valve 152 selectively places reagent fluid line 134 in fluid communication with a foreline 153 to exhaust reagent fluids therefrom
  • hi-speed valve 159 selectively places reagent fluid line 135 in fluid communication with a foreline 153 for the same purpose.
  • Hi-speed valve 154 of valve block 149 selectively places injection valve 144 - 147 in fluid communication with precursor fluid line 130
  • hi-speed valve 155 selectively places precursor fluid line 130 in fluid communication with foreline 153 to exhaust reagent fluids therefrom.
  • Purge valve block 150 also includes a pair of hi-speed valves 156 and 157 , with hi-speed valve 157 selectively placing injection valves 140 - 143 in fluid communication with (purge) fluid line 129 , and hi-speed valve 156 selectively placing injection valves 144 - 147 in fluid communication with (purge) fluid line 129 .
  • subsystem 119 includes a plurality of manual isolation valves 160 , each of which is coupled between one of supplies 120 - 127 and one of a plurality of two-port valves 161 .
  • a plurality of mass flow controllers 162 are coupled between a subset of the plurality 161 of two-port valves and a subset of a plurality of three-port single out line valves 163 .
  • An optional needle valve 164 is selectively placed in fluid communication with supply 120 , which may contain N 2 , via one of valves 163 , one of valves 161 and one of isolation valves 160 , thereby defining an exhaust path.
  • Needle valve 164 selectively places the exhaust path in fluid communication to a chamber vent portion 165 .
  • Supply 126 of He may be placed in fluid communication to the backside of the substrate to facilitate thermal coupling of a temperature controlled (e.g., heated) pedestal to the wafer to facilitate uniform substrate temperature control.
  • substrate processing system 10 allows spatial and temporal modulation of the presence and constituent components of processing fluids upon different regions of substrate 79 to effect combinatorial process experimentation.
  • Valves of fluid supply system 69 are operated under control of controller 70 such that processing fluids propagate and are provided to quadrants 114 - 117 of showerhead 90 for delivery to process chamber 16 and substrate 79 located therein.
  • logic diagrams 184 , 185 , 186 , 187 , 188 , 189 , 190 , 191 , 192 , 193 , 194 , 195 , 196 , 197 , 198 , 199 correspond to the operational states of valves 159 , 158 , 157 , 156 , 155 , 154 , 152 , 151 , 147 , 146 , 145 , 144 , 143 , 142 , 141 , 140 , respectively.
  • Logic diagrams 200 , 201 , 202 , 203 and 204 correspond to the quantity of carrier flow for reagent 127 (e.g., ozone), reagent 122 (e.g., water vapor), purge fluid 121 (e.g., Ar), precursor 133 (e.g., Al containing precursor) and precursor 132 (e.g., Hf containing precursor), respectively.
  • reagent 127 e.g., ozone
  • reagent 122 e.g., water vapor
  • purge fluid 121 e.g., Ar
  • precursor 133 e.g., Al containing precursor
  • precursor 132 e.g., Hf containing precursor
  • flows of precursor 133 , precursor 132 and argon 121 which may function as both a carrier and a purge fluid, are maintained by fluid supply system 69 during processing.
  • fluid supply system 69 Through appropriate sequential activation and deactivation of injection valves and hi-speed valves, the choice of chemistry can be achieved above the desired substrate in process chamber 16 at the desired time and the desired quadrant(s).
  • purge fluid 121 and precursor 132 are present in process chamber 16 , however precursor 132 flows only through quadrant 514 with its carrier gas, while purge gas is made available in quadrants 515 - 517 , as described more fully below.
  • This result is achieved due to sequencing of hi-speed valves; valve 154 being closed and valve 155 being open directs fluids from line 130 to the foreline 153 , while valve 158 being closed and valve 159 being open directs precursor 133 through line 135 to the foreline 153 , thereby by-passing the process chamber 16 .
  • Valve 157 being closed and valve 156 being open directs the purge gas to valves 144 - 147 , at which point valve 144 being closed and valves 145 - 147 being open causes the 750 sccm purge gas to be split evenly between quadrants 515 - 517 . This results in 250 standard cubic centimeters per minute (sccm) of purge gas to flow through each of the quadrants 515 - 517 respectively, while only valve 140 being open in valve block 140 - 143 causes 250 sccm of carrier gas carrying precursor 132 to flow through quadrant 514 with valve 151 open and valve 152 closed.
  • sccm standard cubic centimeters per minute
  • the total flow through the chamber during time period 205 is 1000 seem, with 250 seem each of purge gas flowing through quadrants 515 - 517 , and 250 sccm of carrier gas containing precursor 132 flowing through quadrant 514 . It is important to note that the amount of precursor vapor carried within the carrier gas is less than or equal to approximately 1 sccm equivalent in most cases due to the low vapor pressure of most precursor materials. A person skilled in the art will appreciate that the total flow is not limited to only 1000 sccm as used in this embodiment, however could be any total flow (e.g. 50 to 5000 sccm) sufficient to achieve site isolated processing dependent on chamber geometry and pumping capacity.
  • purge fluid 121 is available throughout processing chamber 16 , while both precursors 133 and 132 are diverted to the pumping system, thereby avoiding process chamber 16 during this time period. Excess precursor 132 is removed from the processing region 77 during this period.
  • the precursors, reagents and purge gases used in the process are always flowing from the supply source and by manipulating the valve logic, they are either made available to flow through the chamber 16 or diverted to the pump foreline 153 (i.e., roughing pump 66 of FIG. 1 ).
  • This approach avoids process inefficiencies that might occur during the flow stabilization period of the mass flow controller or liquid flow controllers for every given setpoint from off-state.
  • quadrant 514 has been exposed to precursor and therefore the region of surface 78 of substrate 79 corresponding to quadrant 514 has a layer of precursor 132 adsorbed to the surface thereof.
  • valves in FIG. 6 are operated to maintain a constant flow rather than shutting off fluid flows so as to avoid bursts and maintain the desired flow rates when valves are opened to provide fluid to showerhead assembly and the processing chamber.
  • the system is run to ensure substantially equal flows across the regions to prevent diffusion across the boundaries. For example, if quadrant 514 has a flow rate of 250 sccm of carrier gas and 1 sccm equivalent of precursor, then quadrants 515 - 517 should have at least 250 seem (750 sccm total) delivered to each quadrant.
  • the 1 sccm difference added by the precursor does not affect the system as a whole because of the small flow differential and the rapid flow of the fluid (short residence time) within the processing region 77 compared to that difference.
  • the flows in the quadrants that are providing purge gasses are made higher than the region being processed (e.g., containing precursors and/or reactive reagents), so that any diffusion moves from the purged areas into the region where a film is being grown (e.g., adsorbed or deposited). Since the purged areas contain inert purge gas, such diffusion does not deleteriously affect the regions being processed.
  • reagent 122 e.g., H 2 O vapor
  • quadrant 514 while simultaneously quadrants 515 - 517 are exposed to purge fluid 121 , in the absence of any additional processing fluids.
  • the reagent 122 reacts with the adsorbed layer of precursor 132 on the region of surface 78 of substrate 79 corresponding to quadrant 514 to form a layer of the desired film (e.g., hafnium oxide).
  • the chamber is purged and excess reagent 122 is removed from the processing region 77 .
  • quadrant 515 is exposed to precursor 133 , while quadrants 514 , 516 - 517 are exposed to purge fluid 121 in the absence of any additional processing fluids.
  • This result is achieved by setting the correct logic states for the valve states as indicated in the logic state diagram.
  • One skilled in the art could appreciate how such processing and film growth moves sequentially from quadrant 514 through 517 and returns to 514 for subsequent cycles of processing.
  • time periods 205 - 208 vs. 209 - 212 illustrate site isolated combinatorial processing on a substrate whereby the first precursor type is varied in addition to the location of desired processing.
  • Time periods 213 - 216 illustrates variation in the duration of the second reagent pulse in addition to the location of desired processing.
  • Time periods 217 - 220 illustrates variation in the type of the second reagent in addition to the location of desired processing.
  • film thickness, film sequence, film stacking (e.g., nano-laminates), film composition, co-injection (e.g. of 2 or more source precursors within one region) can be varied in a site-isolated fashion.
  • chamber wide process variations can include, but are not limited to, flow rates, chamber pressures, conductance (e.g., via butterfly valve), pulse duration(s), precursor/reagent source temperatures, delivery line temperatures, substrate temperature, showerhead temperature, chamber body temperature, etc. Some of these variations are also possible to conduct in a site isolated fashion, such as source and delivery line temperatures as well as others.
  • fluid distribution system 69 allows a carrier, precursor and reagent fluid into processing chamber 16 to provide, from the selected fluids, a volume of fluid passing over surface 78 of substrate 79 . Portions of the fluid volume have different constituent components so that differing regions of surface 78 of substrate 79 may be exposed to those different constituent components at the same time.
  • the volume of fluid passing over surface 78 is generated by processing fluids propagating via injection ports 94 into processing chamber 16 .
  • the fluid distribution system enables exposing each of regions 514 - 517 of surface 78 to the constituent components of the portion of the volume of fluid propagating through injection ports 94 associated with one of showerhead sectors 114 - 117 corresponding therewith (i.e., directly above or in superimposition with).
  • Each region 514 - 517 of substrate 79 is exposed to the fluid volume from the sectors 114 - 117 that is corresponding therewith out being exposed to constituent components of the portion of the volume of fluid propagating through the other sectors 114 - 117 .
  • sector 114 corresponds with region 514
  • sector 115 corresponds with region 515
  • sector 116 corresponds with region 516
  • sector 117 corresponds with quadrant 517 .
  • the sectors can correspond with other regions of the substrate, or the corresponding sector and region can be changed during in between processing by rotating the substrate relative to the showerhead (e.g., by a full or partial region/quadrant).
  • Substrate processing system 10 operates to minimize propagation of a portion of the processing volume produced by processing fluids from injection ports 94 of quadrant 114 into the remaining quadrants 115 - 117 . Therefore, exposure of regions 515 - 517 of substrate surface 78 to this portion of the processing volume is minimized. Region 514 that corresponds with quadrant 114 is exposed to substantially the entire volume of this portion. Similarly, the propagation of the processing volume produced by processing fluids from a quadrant, e.g., 115 - 117 , into the regions, e.g., 515 - 517 , not corresponding to, i.e., not in superimposition with, that quadrant is minimized.
  • the region 515 , 516 and 517 that corresponds with quadrant 115 , 16 and 117 , respectively, is exposed to substantially the entire volume of that portion.
  • the ability to direct the flow of fluids from a sector of the showerhead to the corresponding region on the wafer without significant lateral diffusion (i.e., enough diffusion to effect the processing or make comparisons between the processing of the regions unreliable) between the regions is enabled by the showerhead design, system pressure, fluid distribution system, fluid distribution valving, fluid distribution, fluid flow, chamber design, system operation, and other features discussed herein.
  • one manner in which to ensure that the processing fluids exiting injection ports 94 do not propagate into a region 514 - 517 of surface 78 that does not correspond to the correct one of quadrants 114 - 117 is by controlling the propagation of flows of processing fluids through processing chamber 16 .
  • conditions are established in processing chamber 16 to generate flows of processing fluids along a direction 300 towards the substrate surface 78 and radially symmetric across and around substrate 79 ( FIGS. 1 , 2 , 8 A and 8 B), and thereby impede or discourage movement of the processing fluids back towards showerhead 90 , i.e., opposite to direction 300 .
  • fluid supply system 69 and pressure control system (which includes pumps 64 and 66 , valve 67 and channel 68 , shown in FIG. 1 , and which can include other possible configurations) operating to generate an axisymmetric flow of processing fluids over surface 78 .
  • the pressure control system generates a flow in pump channel 68 that results in processing fluid propagating outwardly toward a periphery of substrate 79 shown by arrows 304 in FIGS. 8A and 8B .
  • the processing fluids move past substrate 79 away from showerhead 90 and exit processing chamber 16 via pump channel 68 .
  • By controlling the flow of gases there is little or no diffusion between the regions, as shown by region 520 in FIG. 8B .
  • an outer periphery of the substrate is chosen to provide a substantially equal conductance about a periphery of substrate 79 in response to the pumping action generated by pumps 64 and 66 .
  • the dimensions of peripheral flow channel 71 are defined to provide a desired conductance of processing fluids therethrough which provide flows of processing fluids over surface 78 of substrate 79 in a substantially uniform and axi-symmetric fashion.
  • the conductance through pump channel 68 is chosen to be larger than the conductance through peripheral flow channel 71 .
  • the relative conductive of processing fluids through pump channel 68 and peripheral flow channel 71 is, for example, 10:1, wherein the conductance of pump channel 68 is established to be at least ten (10) times greater than the conductance of processing fluids through peripheral flow channel 71 .
  • Such a large disparity in the conductance serves to facilitate axi-symmetric flow across the surface 78 of substrate 79 as shown by the vector flows in FIG. 8B and flows A and B in FIG. 2 moving through processing region 77 and subsequently passing substrate 79 and support pedestal 48 toward pump channel 68 .
  • fluid supply system 69 controls the distribution of the processing fluids so that the total flow through the showerhead assembly is symmetric through the four quadrants although the constituent processing fluids per quadrant may be altered as a function of time in one embodiment. This serves to facilitate axi-symmetric flow.
  • the chamber pressure can be controlled to a fixed pressure (e.g., 1 mTorr to 10 Torr) using butterfly valve 67 during such operations.
  • other chamber wide parameters can be controlled by known techniques.
  • pump channel 68 from FIG. 1 may be placed in other areas of chamber 16 and provide the same axisymmetric flow necessary to prevent and/or reduce interdiffusion between the regions, as described elsewhere herein.
  • an evacuation channel 166 may be positioned so that pump channel 160 partially or totally surrounds showerhead 90 .
  • part of evacuation channel 166 and pump channel 160 are formed in lid 20 and are in fluid communication with pump system 64 .
  • Pump channel 160 is configured to have processing fluid propagating outwardly toward a periphery of substrate 79 shown by arrows 304 in FIGS. 8A and 8B .
  • Channel 266 provides an alternative route for the process gasses to exit to facilitate axisymmetrical flow in one embodiment of the invention.
  • the evacuation route is controlled by the position of valve 67 .
  • opening 51 may facilitate evacuation of chamber 16 by virtue of channel 168 pumping the gases from beneath substrate pedestal 48 in a symmetric manner in order to produce a propagation of processing fluid in an axisymmetric manner to avoid interdiffusion between regional volumes across substrate 79 , as shown by arrows 304 of FIG. 5A and vectors in FIG. 8B .
  • the system also allows for full wafer or conventional processing of the substrate without a vacuum break.
  • each quadrants 114 - 117 shown in FIGS. 3 , 4 , and 5 of manifold body 80 will provide a flow of the same fluid across its corresponding region of substrate 79 , which creates a uniform flow of processing fluids over the surface of substrate 79 .
  • This facilitates the use of system 10 as a conventional processing system, as well as a combinatorial processing system. Therefore, the same chamber can be used to enable conventional and combinatorial processing without modification, except for turning selected valves on/off correctly to distribute the desired processing fluids into chamber 16 , shown in FIG. 1 .
  • a another embodiment of fluid supply system 69 includes precursor/reagent subsystems 119 and 131 , valve blocks 148 a , 148 b and 149 .
  • An additional set of valves 150 , 156 , 157 and 170 are in fluid communication with passageways 30 - 33 to facilitate delivering processing gases to more than one of quadrants 114 - 117 concurrently.
  • valve 151 of valve block 148 a functions to selectively place fluid line 134 in fluid communication with valves 144 , 145 , 146 and 147 , thereby facilitating concurrent introduction to of processing fluids into processing chamber 16 from fluid lines 134 and 135 .
  • Valve 168 facilitates selectively placing processing fluids in fluid line 130 in fluid communication with valves 144 - 147
  • valve 169 facilitates selectively placing processing fluids in fluid line 130 in fluid communication with valves 140 - 143
  • Valve 171 facilitates selectively placing processing fluids in fluid line 130 in fluid communication with valves 150 , 156 , 157 and 170 . Greater flexibility in the constituent components in the processing volume proximate to surface 78 is afforded with this valve configuration.
  • FIG. 11B using the fluid supply system of FIG. 11A two regions of substrate 79 , shown in FIGS. 8A and 8B , can be exposed to precursors (same or different by region) at the same time (i.e., in parallel),
  • Regions 514 and 516 are processed in parallel in a similar fashion for the first ALD cycle (i.e., steps 205 , 206 , 207 , 208 ), whereas regions 514 and 516 are processed in parallel in a different fashion (i.e., different reagents in step 209 ) in the second ALD cycle (i.e., steps 209 , 210 , 211 , 212 ).
  • FIG. 11B In FIG.
  • each precursor/reagent step is followed by a chamber purge across all regions, as shown, but Deed not be.
  • regions 514 and 516 , of FIG. 8 are exposed to a precursor(s), they can be purged while regions 515 and 517 are concurrently exposed to a precursor(s), etc.
  • Other processing variations can be created using the fluid supply system of FIG. 11A .
  • other valving systems can also be created to allow all or any subset of the regions to receive precursors or reagents in a parallel fashion.
  • showerhead assembly 636 is substantially identical to showerhead assembly 90 of FIGS. 3 , 4 , and 5 , except that body 612 extends from manifold 698 disposed opposite to baffle plate (not shown) and away therefrom. Body 612 serves the same function as body 112 and is fabricated in a similar manner. Body 612 can be chosen so as to or not to physically contact substrate surface 78 during processing. It should be understood that another embodiment of the showerhead does not require a physical barrier between the regions. Instead, as shown in FIG.
  • a plurality of apertures 712 may be present in which a curtain of inert gas is emitted to reduce, if not prevent, processing fluids introduced into one sector, e.g., quadrants 114 - 117 , from propagating into another or an adjacent sector and thus effecting processing of the corresponding region on substrate 79 .
  • FIGS. 13-1 , 13 - 2 , 13 - 3 , and 13 - 4 illustrate exemplary embodiments of the showerhead of FIG. 13 in accordance with one embodiment of the invention.
  • FIG. 13-1 illustrates a bottom perspective view of one embodiment of the showerhead of FIG. 13 .
  • Purge channels 712 - 1 and 712 - 2 extend across respective diameters of the faceplate of the showerhead, thereby dividing the faceplate into quadrants in this embodiment.
  • Bodies 612 - 1 through 612 - 4 extend outward from the surface of the faceplate and define a border between the quadrants and the purge channels, as well as provide a physical barrier between the regions.
  • Apertures 711 are provided for fastening the faceplate to a chamber top or a baffle plate in one embodiment.
  • the width of purge channels 712 - 1 and 712 - 2 is about one inch. This width is exemplary and not meant to be limiting as alternative widths that are greater or less than one inch can be employed and may be dependent on the application. It should be noted that the purge channel width may be manipulated so that a test structure residing in a center portion of a substrate undergoing a deposition employing the showerhead described herein, is exposed only to the purge gas. That is, no deposition occurs on the test structure in this embodiment so that the test structure can be accessed for characterization and screening of the combinatorial processing described herein.
  • FIGS. 13-1 and 13 - 2 is illustrated in quadrants, this is not meant to be limiting, as other configurations are possible.
  • the faceplate may be divided into halves, thirds, fifths, sixths, etc., depending on the desired application.
  • FIG. 13-2 illustrates a top perspective view of one embodiment of the showerhead of FIG. 13 .
  • the spacing (also referred to as distribution density) of injection ports 94 - 1 of the quadrants and injection ports 94 - 2 of the purge channel may be different. That is, the spacing of injection ports 94 - 2 may be smaller, greater, or equal to the corresponding spacing of injection ports 94 - 1 .
  • the diameter of injection ports 94 - 1 and 94 - 2 may be the same or different, i.e., greater than or less than each other, as desired.
  • Each of the quadrants and the purge channel of FIG. 13-2 is illustrated as being slightly recessed from sealing surface 713 in this embodiment. In FIG.
  • Chamber top 715 provides the connections and manifolding to deliver process/purge gasses to be distributed into a processing chamber through the showerhead.
  • FIG. 13-4 a top perspective view of the chamber top is illustrated.
  • Chamber top 715 is provided with purge gas inlet 717 that provides an inlet port for the purge gas delivery to the injection ports of the purge channel.
  • Process gas inlets 719 - 1 through 719 - 4 provide delivery ports for process gasses to injection ports of the corresponding quadrants.
  • the faceplate and a mating baffle plate provide a plenum for distributing the gases to the injection ports of the corresponding sectors.
  • the purge and the process gas inlets may be integrated onto the baffle plate.
  • alternative configurations provide more or less than four sections, i.e., the quadrant configuration, then more or less process gas inlets may be provided, respectively.
  • One skilled in the art will appreciate that alternative embodiments may provide more purge gas inlets.
  • the number of purge gas inlets and process gas inlets is exemplary and any number of inlets may be employed as long as a uniform distribution of the process and purge gas is provided so that the desired isolation of adjacent regions is maintained as described herein.
  • sections e.g., quadrants
  • sections may be blanked. That is, some of the sections may not include injection ports and corresponding gas inlets.
  • each injection port of the showerhead may each have concentrically disposed passageways 724 and 726 so that processing fluids are kept separated until reaching the processing chamber.
  • These passageways could also be adjacent instead of concentric or any other spatial and physical arrangements that maintain separation of the gases prior to entry in the processing chamber.
  • any of the chambers or systems described in FIG. 1 , 9 or 10 , or another chamber constructed according to or to implement the inventions described herein may include a motor 310 coupled to cause support shaft 49 and, therefore, support pedestal 48 to rotate about a central axis.
  • a rotary vacuum seal such as a ferrofluidic seal can be used to maintain vacuum during rotation.
  • the showerhead in the chamber could also be rotated to create the same effect described below for the pedestal rotation.
  • the rotating support pedestal 48 allows the creation of more regions on the substrate without adding more sectors on the showerhead (e.g., either through physical barriers, inert gas curtains, or other mechanisms).
  • the rotation enables the easy creation of multi-layer deposition on the substrate.
  • the spatial orientation of regions on the substrate is varied with respect to the different portions of the volume of processing fluids, as shown in FIG. 15A , and described below in more detail.
  • the rotation enables changing a relative angular position between the processing fluid volume and surface 78 multiple times, defining a sequence of angular rotations which represent a portion of the angular sector defined by the showerhead design.
  • first, second, third, and fourth regions of substrate 78 are exposed to the volume of processing fluids.
  • the first region is bounded edges 800 and 801 ;
  • the second region is bounded by edges 801 and 802 ;
  • the third region is bounded by edges 802 and 803 ;
  • the fourth region is bounded by edges 800 and 803 .
  • each of the first, second, third and fourth regions are exposed to differing constituent components of the volume.
  • This process produces a first layer of a first material in the first region, a first layer of a second material in the second region, a first layer of a third material in the third region and a first layer of a fourth material in the fourth region.
  • one or a subset of the regions may include the gases necessary to deposit a material or prepare the region for deposition in a subsequent step (e.g., only the first region process may result in a layer being formed while the other regions are exposed to purge gas).
  • a fifth, sixth, seventh and eighth regions may be exposed to other constituent components of another volume of processing fluid.
  • the rotation of the substrate holder and substrate in this example enables the creation of 8 regions on the substrate using the 4 sectors defined by the showerhead.
  • the fifth region is bounded edges 804 and 805 ; the sixth region is bounded by edges 805 and 806 ; the seventh region is bounded by edges 806 and 807 ; and the eighth region is bounded by edges 804 and 807 .
  • each of the fifth, sixth, seventh and eighth regions are exposed to differing constituent components of the additional volume, which may or may not contain reactive gases, such as precursors or reagents. This process produces different layers and materials in each of the 8 sectors over time since each of the original quadrants is exposed to two different fluids in the second position.
  • a first pair of opposing sectors can contain first (e.g., TMAH) and second reagents (e.g., H 2 O) of an ALD deposition reaction bounded by purge sectors (e.g., Ar) in the remaining pair of opposing sectors.
  • TMAH TMAH
  • second reagents e.g., H 2 O
  • purge sectors e.g., Ar
  • Substrate rotation is then used to deposit a substantially uniform ALD film across the entire substrate.
  • substrate rotation is used to sequence the gases that a particular region of the substrate sees as a function of time (e.g. TMAH+Ar purge+H 2 0+Ar purge) as opposed to only through gas valving and flow. Flow through each sector is fixed and not diverted as a function of time.
  • This methodology has benefits of uniformity and throughput and enables the creation of a full wafer process within the same combinatorial ALD chamber.
  • Modulation of the rotation speed can be used to control the time per ALD cycle.
  • a rotation speed of 60 revolutions per minute corresponds to an ALD cycle time of 1 second (Reagent 1 +Purge+Reagent 2 +Purge).
  • Sixty seconds of substrate rotation during processing will equate to 60 ALD cycles.
  • FIG. 15A shows a simplified cross sectional view of substrate 2179 having material formed thereon from combinatorial processing sequences for screening purposes in accordance with one embodiment of the invention employing the rotation described in FIG. 16 .
  • Substrate 2179 has an electrically conductive layer 2180 disposed thereon that functions as an electrode.
  • Layer 2180 may be deposited using any known deposition process, including physical vapor deposition (PVD).
  • PVD physical vapor deposition
  • Deposited upon layer 2180 is a combinatorial layer 2182 that includes four regions 2183 , 2184 , 2185 and 2186 , each of which has different constituent components (each of these regions can be created in a serial, semi-parallel, or full parallel manner in accordance with the invention (as described above).
  • region 2183 may be formed from Al 2 O 3
  • region 2184 is formed from TiO 2
  • region 2185 is formed HfO 2
  • region 2186 is formed from ZrO 2 .
  • combinatorial layer 2182 Upon combinatorial layer 2182 is formed an additional combinatorial layer 2187 having regions 2183 , 2184 , 2185 and 2186 . However, each of regions 2183 , 2184 , 2185 and 2186 in combinatorial layer 2187 is shifted with respect to regions 2183 , 2184 , 2185 and 2186 in combinatorial layer 2182 . That is, region 2183 of combinatorial layer 2182 is in superimposition with sectors 3001 - 3004 of surface of conductive layer 2180 ; whereas, region 2183 of combinatorial layer 2187 is in superimposition with sectors 3002 - 3005 of surface of conductive layer 2180 .
  • This offset results from rotation of substrate 2179 with respect to showerhead 90 after formation of combinatorial layer 2182 and before formation of combinatorial layer 2187 .
  • Rotation of substrate 2179 may be undertaken between formation of each combinatorial layer, shown by the relative position of regions 2183 , 2184 , 2185 and 2186 of combinatorial layers 2188 and 2189 .
  • Formed upon combinatorial layer 2189 is a conductive feature 2190 that may be deposited by, for example by site isolated PVD, which may be processed in a conventional (blanket) fashion or in a combinatorial manner. This provides a film stack having multiple regions (e.g., 16) with different materials even though the showerhead only has 4 sectors. Variation (e.g., process parameters, materials, thickness, etc.) of the conductive features 2190 using site isolated combinatorial PVD processing per sector creates additional variations in the final film stack.
  • combinatorial processing may include, for example, site isolated regions processed by a PVD mask based technique and the isolated sector based system described herein.
  • combinatorial regions 4000 , 4001 , 4002 may be created with the system described herein on a substrate that already contains regions 4003 formed with PVD or other techniques, such as wet processing (including electroless deposition, electrochemical deposition, cleaning, monolayer formation, etc.).
  • wet processing including electroless deposition, electrochemical deposition, cleaning, monolayer formation, etc.
  • FIGS. 15A and 15B illustrate the abundance of data provided from a single substrate under the combinatorial processing described herein.
  • segregated portions of a fluid volume having different constituent components flow over the surface of a substrate. These segregated portions concurrently expose corresponding segregated sectors of the substrate to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed.
  • a layer is deposited over a segregated sector on the substrate, wherein the layer is different from a layer deposited on the adjacent segregated sector.
  • the substrate may be rotated partially, i.e., some portion of 360 degrees of rotation and a stacked structure having different stacked layers may be built as illustrated in FIG. 15A .
  • the features disposed on the stack may have differing geometries, e.g., the segregated sectors may be pie shaped (portions of a circle), while feature 2190 is circular.
  • HPC system includes a frame 900 supporting a plurality of processing modules.
  • frame 900 may be a unitary frame in accordance with one embodiment and may include multiple chambers for ease of maintaining the vacuum and/or the addition of more processing modules.
  • the environment within frame 900 is controlled.
  • Load lock/factory interface 902 provides access into the plurality of modules of the HPC system.
  • Robot 914 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 902 .
  • Any known modules may be attached to the HPC System, including conventional processing modules and combinatorial processing modules that are necessary to support the experiments being run or a class of structures that one wishes to test using combinatorial techniques.
  • Module 904 may be an orientation/degassing module in accordance with one embodiment.
  • Module 906 may be a clean module, either plasma or non-plasma based, in accordance with one embodiment of the invention.
  • Module 908 may be the substrate processing system described herein. Alternatively, Module 908 may contain a plurality of masks, also referred to as processing masks, for use in other modules of the HPC System.
  • Module 910 includes a HPC physical vapor deposition (PVD) module in accordance with one embodiment of the invention, e.g., as described in U.S. application Ser. Nos. 11/672,478, and 11/672,473.
  • PVD physical vapor deposition
  • a centralized controller i.e., computing device 911
  • HPC system a plurality of methods may be employed to deposit material upon a substrate employing combinatorial processes involving PYD, ALD, CVD and pre-post processing steps or other possible alternatives. Enabling the combinatorial processing in one cluster tool provides for better contaminant control, better environment control, more precise experimentation, testing of combinatorial process sequence integration, and better throughput when compared with shuttling the substrate between different tools or locations.
  • the processing shown in FIG. 15A can be conducted in one cluster tool enabling full wafer PVD, combinatorial ALD and combinatorial PVD.
  • the processing illustrated with reference to FIG. 15B could be implemented in such a system having both combinatorial PVD and ALD or any other combination used to create structures on substrates.
  • FIGS. 18-20 may employ a vapor control device 1000 that is disposed proximate to substrate 78 with a vapor injection apparatus 1002 disposed opposite to a vapor extraction apparatus 1004 .
  • Vapor control device 1000 includes a plurality of spaced apart bodies 1112 , which may be as described above with respect to bodies 112 or may be implemented through other separation techniques such as spacing or gas flow controls.
  • vapor injection apparatus 1002 emits processing vapors from outlets 1005 , 1006 , 1007 and 1008 .
  • a vapor is emitted and moves across substrate 78 assisted by a vacuum produced by vapor extraction apparatus 1004 .
  • one of the embodiments described herein may be directed to a process sequence for a gate stack configuration.
  • High K high dielectric constant
  • an interfacial cap layer may be disposed between the metal gate electrode and the gate oxide to alleviate such degradation.
  • silicon substrate 900 has High K gate oxide 902 , interfacial cap 904 and gate 906 disposed thereon.
  • One approach to incorporate the screening technique discussed above is to fix the High K material being disposed over the substrate in FIG. 21 .
  • the High K material may be hafnium silicate or hafnium oxide.
  • Fixing the High K component refers to performing this operation in a conventional full wafer manner (e.g., via full wafer, non-combinatorial atomic layer deposition). The process sequence for forming the metal gate is then varied combinatorially.
  • Various metals can be used initially, such as tantalum silicon nitride, tantalum nitride, ruthenium, titanium nitride, rhenium, platinum, etc.
  • the HPC system described in FIG. 17 can be used to effect such site isolated processing in one embodiment.
  • the combinatorial vapor based system described herein may be used, for example, for processes including metal gate layers to adjust the effect work function of the gate electrode material.
  • the resulting substrate is processed through a rapid thermal processing (RTP) step and the resulting structure of the metal over the insulator over the semiconductor substrate is then tested.
  • RTP rapid thermal processing
  • Such tests include thermal stability, crystallization, delamination, capacitance-voltage, flat-band voltage, effective work function extrapolation, etc.
  • an interfacial cap is disposed between the gate and the gate oxide.
  • the High K processing and the metal gate processing are fixed, while the interfacial cap processing is varied combinatorially.
  • the substrate is annealed through RTP and the resulting structures are tested to identify optimum materials, unit processes and process sequences with an interfacial cap introduced between the High K material and the gate material.
  • potential interfacial cap layers include lanthanum oxide, aluminum oxide, magnesium oxide, and scandium oxide.
  • the combinatorial fluid system described herein may be used, for example, for processes including interfacial cap layers.
  • the RTP processing may include rapid thermal anneal.
  • FIGS. 24 and 25 illustrate a screening technique for evaluating a metal-insulator-metal (MIM) structure for a memory device element in accordance with one embodiment of the invention.
  • the memory device element can be, for example, a phase change, resistive change or other memory element, such as a DRAM memory element.
  • the metal for this example may be a conductive element (e.g. W, Ta, Ni, Pt, Ir, Ru, etc.) or a conductive compound (e.g. TiN, TaN, WN, RuO 2 , IrO 2 , etc.) and forms the electrodes for the MIM structure.
  • the insulator is a metal oxide, such as titanium oxide, niobium oxide, zirconium oxide, hafnium oxide, tantalum oxide, lanthanum oxide, silicon oxide, aluminum oxide, nickel oxide, a nano-laminate or nano-composite of any of the above oxides, and may include any other number of interfacial or other layers within the stack of memory materials.
  • the insulator may be a binary metal oxide (BMO), a complex metal oxide (CMO), a nano-laminate, a doped or graded metal oxide, in this example.
  • BMO binary metal oxide
  • CMO complex metal oxide
  • FIG. 24 illustrates a starting substrate and then a metal electrode M (e.g., TiN) is initially deposited uniformly over the substrate, i.e., through a conventional manufacturing process (e.g. physical vapor deposition or sputtering). Then, site isolated processing (e.g., using HPC system described in FIG. 17 ) is used to deposit (e.g. via combinatorial physical vapor deposition or combinatorial atomic layer deposition) the insulator layer in regions of the substrate having the metal electrode deposited thereon. As part of the insulator, interfacial layers may be deposited or multiple layers may be used to form the insulator (e.g., via ALD).
  • a metal electrode M e.g., TiN
  • Items for ALD processing that may be varied between the regions include the precursors, reagents, carrier gases, order of precursors, concentration of precursors/reagents, duration of precursor/reagent pulses, purge fluid species, purge fluid duration, partial pressures, total pressure, flow rates, film thickness, film composition, nano-laminates (e.g. stacking of different ALD film types), etc.
  • the resulting substrate is post processed through RTP (optional step) and then tested.
  • the substrate has a metal underlayer and the oxide is varied and then the substrate is annealed.
  • the testing includes adhesion properties of the layers, resistance testing, dewetting, phase/crystallinity, and composition.
  • a certain subset e.g., combinations which show poor adhesion, dewetting, or have too low a film resistance, etc.
  • the effect of putting another electrode on top of the M-I structure is evaluated as depicted by FIG. 25 .
  • the bottom electrode and the insulator processes may be fixed (or varied as shown by alternative arrows) and the top electrode is varied.
  • the resulting structures are annealed and tested as described above.
  • the testing here may include current/voltage (I/V) testing for resistance switching (e.g., no switching, mono-stable switching, bi-stable switching, etc.) since the MIM stack has been constructed.
  • I/V current/voltage
  • the screening process determines an optimal metal oxide and corresponding unit processes, and then incorporates the optimal results to determine the process interaction with a top electrode as described with reference to FIG. 25 .
  • the device includes a baffle plate having first and second opposed sides with a plurality of throughways extending between the first and the second opposed sides.
  • the device also includes a faceplate coupled to the baffle plate, the faceplate segmented into sectors of injection ports extending therethrough. The segmented sectors are defined through a fluid separation mechanism extending radially outwardly from an axis of the faceplate. The fluid separation mechanism facilitates sector separation of fluids propagating through the injection ports, wherein the baffle plate and the faceplate define a plenum when coupled together.
  • the number of the sectors corresponds to a number of the throughways and the fluid separation mechanism includes a body extending from a surface of the faceplate, the body configured to maintain separation of fluids propagating through adjacent sectors.
  • the body may extend away from both the surface of the faceplate and a surface of the baffle plate, alternatively the body extends away from the surface of the faceplate and toward a surface of the baffle plate.
  • the fluid separation mechanism is a set of injection ports disposed between adjacent sectors in one embodiment.
  • the injection ports include first and second fluid passages, with the second fluid passage being disposed within the first fluid passage in one embodiment.
  • the injection ports may include first and second fluid passages, with the first fluid passage having a longitudinal axis and second fluid passage being disposed within the first fluid passage and extending along the longitudinal axis.
  • a showerhead for distributing fluids with a processing chamber includes means for independently receiving a plurality of fluid flows and means for distributing the received plurality of fluid flows through segmented sectors.
  • the means for distributing is coupled to the means for independently receiving the plurality of fluid flows.
  • the means for distributing includes means for maintaining separation of the plurality of fluid flows propagating through the means for distributing the received plurality of fluid flows according to the segmented sectors.
  • the number of the segmented sectors can correspond to a number of the plurality of fluid flows.
  • a plenum may be defined by the coupling of the means for independently receiving and the means for distributing.
  • the means for maintaining separation is selected from a group consisting of extending from a surface of the means for distributing, extending away from a surface of the means for distributing and away from a surface of the means for independently receiving, extending away from a surface of the means for distributing and towards a surface of the means for independently receiving, and means for maintaining separation includes means for propagating a fluid between segmented sectors.
  • the means for distributing includes a first means for fluid passage defined within a second means for fluid passage and the first means and the second means share a longitudinal axis, in one embodiment.
  • a method for processing a substrate includes flowing segregated portions of a fluid volume having different constituent components to concurrently expose corresponding segregated sectors of the substrate to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed.
  • the method includes depositing a layer on a segregated sector on the substrate, wherein the layer is different from a layer deposited on the adjacent segregated sector and partially rotating the substrate. The flowing and depositing are repeated, wherein a segment corresponding to the segregated sector in a first layer is offset from a corresponding segment in a next layer.
  • the method includes varying a manufacturing parameter between the segment and the corresponding segment and depositing a feature over the next layer through a physical vapor deposition operation, and wherein the deposition of the first layer and the next layer is performed via atomic layer deposition.
  • the segregated sectors have a first geometry and the feature has a second geometry, e.g., the first geometry is a portion of a circle and the second geometry is circular. Stacked layers having different segment combinations due to the partially rotating between depositing operations are created through the method. In one embodiment, a number of different segment combinations exceeds a number of segregated portions of the fluid volume.
  • a system for processing a substrate includes means for flowing segregated portions of a fluid volume having different constituent components to concurrently expose corresponding segregated sectors of the substrate to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed.
  • Means for depositing a layer on a segregated sector on the substrate, wherein the layer is different from a layer deposited on the adjacent segregated sector are included, as well as means for partially rotating the substrate in order to deposit a next layer over the layer wherein the segment corresponding to the one of the segregated sectors in the layer is offset from a corresponding segment in the next layer.
  • Means for varying a manufacturing parameter between the segment and the corresponding segment and means for depositing a feature over the next layer through a physical vapor deposition operation are included.
  • the segregated sectors may have a first geometry and the feature may have a second geometry.
  • the system includes means for creating stacked layers having different segment combinations due to the partially rotating between depositing operations and wherein a number of different segment combinations exceeds a number of segregated portions of the fluid volume.
  • a substrate processing system for depositing material on a substrate includes a processing chamber, a fluid distribution system for introducing process fluids into the processing chamber, a pressure control system in fluid communication with the processing chamber, a rotatable support system disposed within the processing chamber, a processor in data communication with the fluid distribution system and the pressure control system, and a memory in data communication with the controller.
  • the memory stores a program to be operated on by the processor to control operation of the substrate processing system to establish conditions in the processing chamber to deposit the material.
  • the program includes a first sub-routine to control operation of the fluid distribution system for flowing segregated portions of a fluid volume having different constituent components to concurrently expose corresponding segregated sectors of a surface of the support system to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed.
  • a layer deposited on a segregated sector is different than a layer deposited on the adjacent segregated sector, and the rotatable support system partially rotates between layer stacks so that adjacent layer stacks are defined by different segment combinations.
  • the fluid distribution system includes a fluid distribution device to distribute a precursor fluid and a carrier fluid over the surface, with the fluid distribution device including a faceplate having multiple sets of injection ports extending therethrough.
  • a fluid separation mechanism is disposed to facilitate separation of the differing portions propagating through adjacent sets of the multiple sets of injection ports.
  • the fluid distribution system further includes a fluid distribution device to distribute a precursor fluid and a carrier fluid over the surface, with the fluid distribution device including a faceplate having multiple sets of injection ports extending therethrough, and a body extending from the faceplate to maintain separation of the differing portions propagating through adjacent sets of the multiple sets of injection ports.
  • the injection ports of one of the multiple sets are arranged along a line extending radially from a central portion the faceplate to a periphery thereof.
  • the fluid distribution system directs process fluids toward a first side of the surface and the pressure control system evacuates the process fluids from the processing chamber from a side of the surface disposed opposite to the first side.
  • a central portion of a substrate is radially symmetrically disposed about an axis and the fluid distribution system to generate a flow of the process fluids so that the fluid volume is radially symmetrically disposed about the axis.
  • the fluid distribution system and the pressure control system operate to create a unidirectional movement of the fluid toward and radially across the surface.
  • the program further includes an additional sub-routine to control operation of the fluid distribution system to introduce a carrier fluid and a precursor fluid into the processing chamber and provide, from the carrier and precursor fluids, an additional fluid volume passing over a surface of the substrate. Differing portions of the additional fluid volume have common additional constituent components so that each of the segregated sectors of the substrate are exposed to a mixture of additional constituent components that are equivalent to the additional constituent components to which an adjacent sector of the substrate is exposed.
  • a method of depositing material on a substrate includes flowing process fluids past opposed surfaces of the substrate so as to expose segregated regions of one of the opposed surfaces to a mixture of constituent components of the process fluids that differs from constituent components of the process fluids to which adjacent regions of the one of the opposed surfaces are exposed. Conditions are established in an atmosphere proximate to the surface of at least one of the regions to generate, from the process fluids, the material.
  • the method can include sequentially exposing the segregated regions to deposition and purge fluids. Flows of process fluids are isolated onto adjacent regions using flow velocities and pressure equilibration between portions of the process fluid having different constituent components.
  • the substrate is rotated between flowings of the process fluids.
  • the flowing may include directing, toward the one of the opposed surfaces, a first flow of a carrier gas and a second flow containing a precursor with respective pressures being substantially equal between the first and second flows to maintain isolation of the process fluids containing different constituent components proximate to the one of the opposed surfaces.
  • the process fluids are evacuated from a side corresponding to another of the one of the opposed surfaces, wherein the conductance for the evacuating from the side is greater than the conductance over the one of the opposed surfaces and the establishing creates a flow velocity that maintains isolation of flows of the process fluids.
  • a central portion of the substrate is radially symmetrically disposed about an axis and flowing further includes directing the fluid volume to be radially symmetrically disposed about the axis.
  • a semiconductor processing system in another embodiment, includes a fluid supply containing a plurality of components, including carrier fluids and precursors.
  • the fluid supply is configured to store and deliver different mixtures of the plurality of components.
  • a chamber attached to a central frame about which multiple other chambers are oriented is included.
  • the chamber includes a showerhead in flow communication with the fluid supply.
  • the showerhead is configured to receive fluid flows having different constituent components and maintain a separation of the components.
  • a substrate support and a vacuum inlet coupled to a vacuum mechanism are included.
  • the vacuum inlet has a greater conductance than a conductance proximate to a peripheral region of the substrate support, wherein the fluid supply provides different flows with substantially equal respective pressures and the vacuum mechanism enables fluid flow velocities to maintain the fluid flows separate in a region proximate to the substrate support.
  • one of the other chambers is a physical vapor deposition (PVD) module that is configured to combinatorially process the substrate.
  • the chamber is in flow communication with a vacuum source for exhausting excess fluid volume of the fluid flows, wherein an inlet to the vacuum source is separate from the showerhead.
  • a conductance of the inlet to the vacuum source is greater that a conductance of a channel defined around a periphery of the substrate support through which excess fluid volume flows to the inlet of the vacuum source in one embodiment.
  • the showerhead includes a fluid separation mechanism extending radially outward across a surface of the showerhead and may be a set of injection ports in one embodiment.
  • the embodiments include a combinatorial deposition method of forming material upon a substrate.
  • the method includes concurrently providing a plurality of flows of differing fluids to corresponding portions of a showerhead.
  • a fluid volume flows from the plurality of flows of differing fluids, over the substrate to form a flow pattern, wherein isolated regions of the substrate are concurrently exposed to portions of the fluid volume having different constituent parts.
  • Process conditions are maintained suitable for depositing material from one of the plurality of flows during the flowing.
  • a plurality of flows of equivalent fluids to corresponding portions of the showerhead are provided and process conditions are maintained suitable for depositing a material layer from flows of the equivalent fluids over multiple isolated regions of the substrate.
  • the method includes modifying a spatial relationship between the flow pattern of the fluid volume and the isolated regions to change an exposure of at least one of the isolated regions while maintaining the showerhead stationary.
  • the modifying may include one of rotating the substrate or manipulating valves supplying the plurality of flows of differing fluids.
  • the method can include sequentially modifying the spatial relationship thereby creating stacked layers of deposited material over the substrate.
  • multiple isolated regions are exposed to differing constituent components while purging one of the isolated regions.
  • the segment is composed of different material layers due to modification of the spatial relationship.
  • the method includes combinatorially depositing a feature over multiple segments of the stacked layers, wherein the segments spatially correspond to the isolated regions.
  • a number of isolated regions is greater than a number of flows of differing fluids.
  • a lateral diffusion region between adjacent isolated regions is maintained proximate to a border between the adjacent isolated regions.
  • Each method operation may be performed in a common chamber without breaking vacuum between depositing material and depositing the material layer.
  • a combinatorial deposition system in another embodiment, includes means for concurrently providing a plurality of flows of differing fluids to a processing chamber, means for flowing a fluid volume from the plurality of flows of differing fluids, over a substrate to form a flow pattern, the means for flowing concurrently exposing isolated regions of the substrate to portions of the fluid volume having different constituent parts.
  • the system includes means for maintaining process conditions suitable for depositing material from one of the plurality of flows during the flowing and means for depositing a substantially uniform layer of material over multiple isolated regions of the substrate.
  • means for modifying a spatial relationship between the flow pattern of the fluid volume and the isolated regions to change an exposure of at least one of the isolated regions while maintaining the showerhead stationary, means for rotating the substrate, and means for modifying delivery of the plurality of flows to the processing chamber are included.
  • the means for flowing may be a segmented showerhead, wherein a number of segments is equal to a number of the plurality of flows of differing fluids.
  • Means for evacuating the processing chamber, wherein the means for evacuating the process chamber includes an inlet having a first conductance, the first conductance being greater than a conductance of a channel enabling access into a processing region of the processing chamber are provided.
  • the flow pattern is an axi-symmetrical flow pattern in one embodiment.
  • the means for flowing provides linear surface flow across the substrate from an edge of the substrate.
  • Pressure control means in fluid communication with the processing chamber and the means for concurrently providing a plurality of flows, the pressure control means configured to generate a flow of the fluid volume in a unidirectional movement toward and radially across the surface of the substrate can be included.
  • the means for concurrently providing a plurality of flows introduces a carrier fluid and a precursor fluid into the processing chamber and provide, from the carrier and precursor fluids, the fluid volume passing over the surface of the substrate with portions of the fluid volume having the different constituent components so that differing regions of the substrate are exposed to a mixture of constituent components that differ from the mixture of constituent components to which an adjacent region of the substrate is exposed.
  • the means for concurrently providing a plurality of flows may be configured to produce a first flow of the carrier fluid and a second flow of the precursor fluid, impinging upon a central portion of the means for flowing, with relative flow rates of the first and second flows being established to equilibrate a pressure of the portions of the fluid volume.
  • the means for flowing includes means for maintaining fluid separation of the plurality of flows, the means for maintaining fluid separation disposed between adjacent sectors of the means for flowing, the means for maintaining fluid separation can be arranged along a line extending radially from a central portion the means for flowing to a periphery thereof.
  • the means for maintaining fluid separation includes a body extending from the means for flowing to maintain separation of fluids propagating through adjacent sectors of the means for flowing.
  • a central portion of the substrate is radially symmetrically disposed about an axis and wherein the flow pattern is radially symmetrically disposed about the axis in one embodiment.
  • the substantially uniform layer may be deposited without breaking vacuum after depositing material from one of the plurality of flows.
  • a deposition system in yet another embodiment, includes a fluid delivery system configured to concurrently provide a plurality of flows of fluids to a processing chamber, and a showerhead in flow communication with the fluid delivery system.
  • the showerhead is configured to distribute one of a fluid volume from the plurality of flows of differing fluids to form a flow pattern that concurrently exposes a surface of the system to segregated portions of the fluid volume having different constituent parts or a fluid volume from the plurality of flows of equivalent fluids.
  • the system also includes a controller for alternating between process conditions in the processing chamber suitable for one of depositing material from a segregated portion of the fluid volume to a mixture of the constituent components that differ from constituent components to which adjacent regions are exposed or depositing material from multiple flows of equivalent fluids.
  • a vacuum pump having an inlet into the processing chamber, the inlet having a conductance greater than a conductance of a channel providing access into a processing region of the processing chamber may be included.
  • a rotatable substrate support enabling modification of a spatial relationship between the flow pattern and a surface below the flow pattern through partial rotation of the substrate support is provided in on embodiment.
  • the showerhead may be segmented into a number of segments that is equal to a number of the plurality of flows. Modification of the spatial relationship enables multiple stacked layers to be deposited onto a surface of a substrate disposed on the rotatable substrate support, wherein two of the multiple stacked layers have corresponding isolated regions partially offset from each other due to the modification of the spatial relationship between the two of the multiple stacked layers.
  • the fluid delivery system includes a reagent subsystem and a precursor subsystem, the fluid delivery system further includes a manifolding system enabling spatial modification of the fluid volume relative to a surface over which the fluid volume flows.
  • the embodiments described above enable rapid and efficient screening of materials, unit processes, and process sequences for semiconductor manufacturing operations.
  • Various layers may be deposited onto a surface of a substrate combinatorially within the same plane, on top of each other or some combination of the two, through the atomic layer deposition tool described herein.
  • the combinatorial process sequencing takes a substrate out of the conventional process flow, and introduces variation of structures or devices on a substrate in an unconventional manner, i.e., combinatorially.
  • actual structures or devices are formed for analysis. That is, the layer, device element, trench, via, etc., are equivalent to a layer, device element, trench, via etc. defined through a conventional process.
  • the embodiments described herein can be incorporated with any semiconductor manufacturing operation or other associated technology, such as process operations for flat panel displays, optoelectronics devices, data storage devices, magneto electronic devices, magneto optic devices, packaged devices, and the like.

Abstract

A combinatorial processing chamber and method are provided. In the method a fluid volume flows over a surface of a substrate with differing portions of the fluid volume having different constituent components to concurrently expose segregated regions of the substrate to a mixture of the constituent components that differ from constituent components to which adjacent regions are exposed. Differently processed segregated regions are generated through the multiple flowings.

Description

    CLAIM OF PRIORITY
  • This application claims the benefit of U.S. Application Ser. No. 60/970,199 filed Sep. 5, 2007, and is a continuation of U.S. application Ser. No. 12/013,729 filed on Jan. 14, 2008, both of which are incorporated by reference in their entirety for all purposes.
  • BACKGROUND
  • This invention relates to semiconductor processing. More particularly, this invention relates to a processing system and a method of site-isolated vapor based processing to facilitate combinatorial film deposition and integration on a substrate.
  • Chemical Vapor Deposition (CVD) is a vapor based deposition process commonly used in semiconductor manufacturing including but not limited to the formation of dielectric layers, conductive layers, semiconducting layers, liners, barriers, adhesion layers, seed layers, stress layers, and fill layers. CVD is typically a thermally driven process whereby the precursor flux(es) are pre-mixed and coincident to the substrate surface to be deposited upon. CVD requires control of the substrate temperature and the incoming precursor flux(es) to achieve desired film materials properties and thickness uniformity. Derivatives of CVD based processes include but are not limited to Plasma Enhanced Chemical Vapor Deposition (PECVD), High-Density Plasma Chemical Vapor Deposition (HDP-CVD), Sub-Atmospheric Chemical Vapor Deposition (SACVD), laser assisted/induced CVD, and ion assisted/induced CVD.
  • As device geometries shrink and associated film thickness decrease, there is an increasing need for improved control of the deposited layers. A variant of CVD that enables superior step coverage, materials property, and film thickness control is a sequential deposition technique known as Atomic Layer Deposition (ALD). ALD is a multi-step, self-limiting process that includes the use of at least two precursors or reagents. Generally, a first precursor (or reagent) is introduced into a processing chamber containing a substrate and adsorbs on the surface of the substrate. Excess first precursor is purged and/or pumped away. A second precursor (or reagent) is then introduced into the chamber and reacts with the initially adsorbed layer to form a deposited layer via a deposition reaction. The deposition reaction is self-limiting in that the reaction terminates once the initially adsorbed layer is consumed by the second precursor. Excess second precursor is purged and/or pumped away. The aforementioned steps constitute one deposition or ALD “cycle.” The process is repeated to form the next layer, with the number of cycles determining the total deposited film thickness. Different sets of precursors can also be chosen to form nano-composites comprised of differing materials compositions. Derivatives of ALD include but are not limited to Plasma Enhanced Atomic Layer Deposition (PEALD), radical assisted/enhanced ALD, laser assisted/induced ALD, and ion assisted/induced ALD.
  • Presently, conventional vapor-based processes such as CVD and ALD are designed to process uniformly across a full wafer. In addition, these CVD and ALD processes need to be integrated into process/device flows. Uniform processing results in fewer data per substrate, longer times to accumulate a wide variety of data and higher costs associated with obtaining such data.
  • As part of the discovery, optimization and qualification process for new ALD and CVD films, the invention enables one to test i) more than one material, ii) more than one processing condition, iii) more than one sequence of processing conditions, and iv) more than one process sequence integration flow on a single monolithic substrate without the need of consuming the equivalent number of monolithic substrates per material(s), processing condition(s), sequence(s) of processing conditions, sequence(s) of processes, and combinations thereof. This can greatly improve both the speed and reduce the costs associated with the discovery, implementation, optimization, and qualification of new CVD and ALD based material(s), process(es), and process integration sequence(s) required for manufacturing. The invention provides systems, components, and method for processing substrates in a combinatorial manner through the variation of constituent parts of a fluid volume.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings. Like reference numerals designate like structural elements.
  • FIG. 1 is a detailed cross-sectional view of a system in accordance with one embodiment of the present invention;
  • FIG. 2 is a simplified schematic view showing the flow of processing fluids in the system shown in FIG. 1;
  • FIG. 3 is a bottom-up exploded perspective view of a showerhead assembly employed in the semiconductor processing system shown in FIG. 11 in accordance with a first embodiment;
  • FIG. 4 is a top-down exploded perspective view of a showerhead shown in FIG. 3, in accordance with the present invention;
  • FIG. 5 is a top-down view of a manifold body of the showerhead shown in FIGS. 3 and 4;
  • FIG. 6 is a plan view of a fluid supply system of a processing chamber shown in FIG. 1, in accordance with one embodiment of the present invention;
  • FIG. 7 is a graphical representation of the operation of the fluid supply system shown in FIG. 6 and the resulting distribution of processing fluids exiting the showerhead shown in FIGS. 3, 4 and 5;
  • FIG. 5A is a top down plan view showing movement of processing fluids over a surface of a substrate disposed in a processing region, shown in FIG. 1, in accordance with the present invention;
  • FIG. 8B is a simplified schematic diagram illustrating the flow vectors for the axi-symmetric segmented gas flow enabling species isolation to define segregated sectors of the wafer surface in accordance with one embodiment of the invention;
  • FIG. 9 is a detailed cross-sectional view of the system shown in FIG. 1 in accordance with a first alternate embodiment of the present invention;
  • FIG. 10 is a detailed cross-sectional view of the system shown in FIG. 1 in accordance with a second alternate embodiment of the present invention;
  • FIG. 11A is a plan view of a fluid supply system of the processing chamber shown in FIG. 1, in accordance with an alternate embodiment of the present invention;
  • FIG. 11B is a graphical representation of the operation of the fluid supply system shown in FIG. 11A as it relates to the substrate in FIGS. 8A and 8B.
  • FIG. 12 is a cross-sectional view of the manifold body shown in FIG. 4 in accordance with an alternate embodiment of the present invention;
  • FIG. 13 is a top-down view of a manifold body shown in FIGS. 3 and 4 in accordance with an alternate embodiment of the present invention;
  • FIGS. 13-1, 13-2, 13-3, and 13-4 illustrate exemplary embodiments of the showerhead of FIG. 13 in accordance with one embodiment of the invention.
  • FIG. 14 is a detailed view of injection ports made in the manifold body shown in FIGS. 3, 4, 5 and 14 in accordance with an alternate embodiment of the present invention;
  • FIG. 15A shows a simplified cross sectional view of a substrate that has structures defined from combinatorial processing sequences for screening purposes in accordance with one embodiment of the invention; and
  • FIG. 15B is a top-down view of a substrate having material formed thereon in accordance with an alternate embodiment of the present invention.
  • FIG. 16 is a top-down view of a substrate showing segmentation of regions thereof in accordance with an embodiment of the present invention;
  • FIG. 17 is a simplified plan view of a cluster tool in which any of the processing systems shown in FIGS. 1, 9 and 10 may be included;
  • FIG. 18 is a bottom-up view of a fluid control mechanism in accordance with yet another embodiment of the present invention;
  • FIG. 19 is a simplified plan view of a system for depositing material on a substrate including the fluid control mechanism shown in FIG. 18;
  • FIG. 20 is a top down view of the system shown in FIG. 19 with the fluid control mechanism removed;
  • FIGS. 21-23 show the application of the screening process to a process sequence for a gate stack configuration in accordance with one embodiment of the invention;
  • FIGS. 24-25 show a screening technique for evaluating a metal-insulator-metal (MIM) structure for a memory device in accordance with one embodiment of the invention;
  • DETAILED DESCRIPTION
  • The embodiments described herein provide a method and system for evaluating materials, unit processes, and process integration sequences to improve semiconductor manufacturing operations. It will be obvious, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.
  • The embodiments described herein enable the application of combinatorial techniques to deposition process sequence integration in order to arrive at a globally optimal sequence of semiconductor manufacturing operations by considering interaction effects between the unit manufacturing operations on multiple regions of a substrate concurrently, Specifically, multiple process conditions may be concurrently employed to effect such unit manufacturing operations, as well as material characteristics of components utilized within the unit manufacturing operations, thereby minimizing the time required to conduct the multiple operations. A global optimum sequence order can also be derived and as part of this technique, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.
  • The embodiments are capable of analyzing a portion or sub-set of the overall deposition process sequence used to manufacture a semiconductor device. The process sequence may be one used in the manufacture of integrated circuits (IC) semiconductor devices, flat panel displays, optoelectronics devices, data storage devices, magneto electronic devices, magneto optic devices, packaged devices, and the like. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes and process sequence for that portion of the overall process identified. During the processing of some embodiments described herein, the deposition may be used to form structures or modify structures already formed on the substrate, which structures are equivalent to the structures formed during manufacturing of substrates for production. For example, structures on semiconductor substrates may include, but would not be limited to, trenches, vias, interconnect lines, capping layers, masking layers, diodes, memory elements, gate stacks, transistors, or any other series of layers or unit processes that create a structure found on semiconductor chips. The material, unit process and process sequence variations may also be used to create layers and/or unique material interfaces without creating all or part of an intended structure, which allows more basic research into properties of the resulting materials as opposed to the structures or devices created through the process steps. While the combinatorial processing varies certain materials, unit processes, or process sequences, the composition or thickness of the layers or structures or the action of the unit process is preferably substantially uniform within each region, but can vary from region to region per the combinatorial experimentation.
  • The result is a series of regions on the substrate that contain structures or results of unit process sequences that have been uniformly applied within that region and, as applicable, across different regions through the creation of an array of differently processed regions due to the design of experiment. This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, or process sequences) and not the lack of process uniformity. However, non-uniform processing of regions can also be used for certain experiments of types of screening. Namely, gradient processing or regional processing having non-uniformity outside of manufacturing specifications may be used in certain situations.
  • Combinatorial processing is generally most effective when used in a screening protocol that starts with relatively simple screening, sometimes called primary screening, and moves to more complex screening involving structures and/or electrical results, sometimes called secondary screening, and then moves to analysis of the portion of the process sequence in its entirety, sometimes called tertiary screening. The names for the screening levels and the type of processing and analysis are arbitrary and depend more on the specific experimentation being conducted. Thus, the descriptions above are not meant to be limiting in any fashion. As the screening levels progress, materials and process variations are eliminated, and information is fed back to prior stages to further refine the analysis, so that an optimal solution is derived based upon the initial specification and parameters.
  • In ALD, simple examples of conditions that may be varied, include the precursors, reagents, carrier gases, order of precursors, concentration of precursors/reagents, duration of precursor/reagent pulses, purge fluid species, purge fluid duration, partial pressures, total pressure, flow rates, growth rate per cycle, incubation period, growth rate as a function of substrate type, film thickness, film composition, nano-laminates (e.g., stacking of different ALD film types), precursor source temperatures, substrate temperatures, temperature for saturative adsorption, temperature window for ALD, temperature for thermal decomposition of the precursor(s), plasma power for plasma/ion/radical based ALD, etc. A primary screen may start with varying the precursor and purge fluid pulse durations and flows at increasing substrate temperatures to determine the ALD process window (a zone characterized by self-limiting deposition with weak temperature dependence) for a given film type. A secondary screen may entail stacking two or more such ALD films to vary the effective dielectric constant of a film stack in a simple MIM capacitor structure for example. The output of such a screen may be those candidates which yield the highest effective dielectric constant at the lowest leakage and remain stable through a high temperature (e.g. >500° C.) thermal anneal. The system and methods described below are useful to implement combinatorial experimentation as described above, and are particularly useful for ALD and CVD processing.
  • Fluid as used in this application refers to liquids, gases, vapors, i.e., a component that flows, and other types of fluids used in ALD and CVD processes and their variants and these terms are used interchangeably throughout this specification. A constituent component may be a liquid at some point in the system, the fluid may be converted to a gas, vapor or other such fluid before entering the processing chamber and being exposed to the substrate.
  • Referring to FIG. 1, a substrate processing system 10 in accordance with one embodiment of the present invention includes an enclosure assembly 12 formed from a process-compatible material, such as aluminum or anodized aluminum. Enclosure assembly 12 includes a housing 14, defining a processing chamber 16 and a vacuum lid assembly 20 covering an opening to processing chamber 16. Mounted to vacuum lid assembly 20 is a process fluid injection assembly that delivers reactive and carrier fluids into processing chamber 16. To that end, the fluid injection assembly includes a plurality of passageways 30, 31, 32 and 33 and a showerhead 90. The chamber housing 14, vacuum lid assembly 20, and showerhead 90 may be maintained within desired temperature ranges in a conventional manner. It should be appreciated that the Figures provided herein are illustrative and not necessarily drawn to scale.
  • A heater/lift assembly 46 is disposed within processing chamber 16. Heater/lift assembly 46 includes a support pedestal 48 connected to a support shaft 49. Support pedestal 48 is positioned between shaft 49 and vacuum lid assembly 20. Support pedestal 48 may be formed from any process-compatible material, including aluminum nitride and aluminum oxide (Al2O3 or alumina) and is configured to hold a substrate thereon, e.g., support pedestal 48 may be a vacuum chuck or utilize other conventional techniques such as an electrostatic chuck (ESC) or physical clamping mechanisms. Heater lift assembly 46 is adapted to be controllably moved so as to vary the distance between support pedestal 48 and the showerhead 90 to control the substrate to showerhead spacing. A sensor (not shown) provides information concerning the position of support pedestal 48 within processing chamber 16. Support pedestal 48 can be used to heat the substrate through the use of heating elements (not shown) such as resistive heating elements embedded in the pedestal assembly.
  • Referring to both FIGS. 1 and 2 a fluid supply system 69 is in fluid communication with passageways 30, 31, 32 and 33 through a sequence of conduits. Flows of processing fluids, from fluid supply system 69, within processing chamber 16 are provided, in part, by a pressure control system that may include one or more pumps, such as turbo pump 64 and roughing pump 66 both of which are in fluid communication with processing chamber 16 via a butterfly valve 67 and pump channel 68. To that end, a controller 70 regulates the operations of the various components of system 10. Controller 70 includes a processor 72 in data communication with memory, such as random access memory 74 and a hard disk drive 76 and is in signal communication with pump system 64, temperature control system 52, fluid supply system 69 and various other aspects of the system as required. System 10 may establish conditions in a region 77 of processing chamber 16 located proximate to a surface 78 of a substrate 79 disposed on support pedestal 48 to form desired material thereon, such as a thin film. To that end, housing 14 is configured to create a peripheral flow channel 71 that surrounds support pedestal 48 when placed in a processing position to provide processing region 77 with the desired dimensions based upon chemical processes to be achieved by system 10. Pump channel 68 is situated in housing 14 so that processing region 77 is positioned between pump channel 68 and showerhead 90.
  • The dimensions of peripheral flow channel 71 are defined to provide a desired conductance of processing fluids therethrough which provide flows of processing fluids over a surface 78 of substrate 79 in a substantially uniform manner and in an axi-symmetric fashion as further described below. To this end, the conductance through pump channel 68 is chosen to be larger than the conductance through peripheral flow channel 71. In one embodiment, the relative conductive of processing fluids through pump channel 68 and peripheral flow channel 71 is, for example, 10:1, wherein the conductance of pump channel 68 is established to be at least ten (10) times greater than the conductance of processing fluids through peripheral flow channel 71. Such a large disparity in the conductance, which includes other ratios (e.g., 5:1, 8:1, 15:1 and other higher and lower ratios as applicable to the chamber and application), serves to facilitate axi-symmetric flow across the surface 78 of substrate 79 as shown by flows A and B moving through processing region 77 and subsequently passing substrate 79 and support pedestal 48 toward pump channel 68.
  • Referring to FIGS. 2, 3 and 4, to facilitate the occurrence of flows A and B, showerhead 90 includes a baffle plate 80 that is formed to be radially symmetric about a central axis 82, but need not be. Baffle plate 80 has a plurality of through ports 91, 93, 95 and 97 extending therethrough. Coupled to baffle plate 80 is a manifold portion 92 having a plurality of injection ports 94 extending through manifold portion 92. Manifold portion 92 is typically disposed to be radially symmetric about axis 82. Manifold portion 92 is spaced-apart from surface 86 to define a plenum chamber 106 therebetween. Manifold portion 92 may be coupled to baffle plate 80 using any means known in the semiconductor processing art, including fasteners, welding and the like. Baffle plate 80 and shower head 90 may be formed from any known material suitable for the application, including stainless steel, aluminum, anodized aluminum, nickel, ceramics and the like.
  • Referring to FIGS. 3, 4 and 5, extending from manifold portion 92 is a fluid separation mechanism that includes a body 112 extending from manifold portion 92 toward baffle plate 80. The distance that body 112 extends from the surface is dependent upon the specific design parameters and may extend to cover part of the distance or the entire distance to create sectors within the plenum 106, as discussed more fully below. In one embodiment, body 112 may extend between the manifold 92 and baffle 80 in two orthogonal directions to create four regions, referred to as quadrants or sectors 114, 115, 116 and 117. Although four quadrants are shown, any number of sectors may be provided by adding additional body portions 112, depending upon the number of regions one wants to or can define on substrate 78. A vertex 118 of body 112 is generally aligned with axis 82. Passageways 30, 31, 32 and 33, shown in FIG. 1, are configured to direct fluid through corresponding ones of ports 91, 93, 95 and 97. In this manner, ports 91, 93, 95 and 97 are arranged to create flows of processing fluids that are associated with a corresponding one of quadrants 114-117. The body 112 provides sufficient separation to minimize, if not prevent, fluids exiting ports 91, 93, 95 and 97 from diffusing between adjacent quadrants 114-117. In this manner, each of the four ports 91, 93, 95 and 97 directs a flow of processing fluids onto one of quadrants 114-117 that differs from the quadrants 114-117 into which the remaining ports 91, 93, 95 and 97 direct flows of processing fluids.
  • FIG. 6 illustrates one possible valving and system arrangement for the distribution and flowing of a precursor or reactive reagent to one sector at a time, normally in a serial manner. Other arrangements, as discussed below, are possible for serial, semi-parallel or parallel distribution and flowing of vapor through the showerhead sectors to the corresponding regions on substrate 79. Referring to both FIGS. 1 and 6, fluid supply system 69 includes two precursor/ reagent subsystems 119 and 131 and various others valves, tubing and features. Reagent subsystem 119 includes a plurality of supplies of carrier or purge fluids or precursors 120-127 that may include nitrogen (N2), argon (Ar), water (H2O), ammonia (NH3), oxygen (O2), hydrogen, helium, ozone, silane, and any other precursor and/or carrier or purge fluid(s) (e.g., gases, vapors, etc.) used in ALD or CVD processing shown generally by additional reagents denoted by X of supply 127. A precursor distribution system 128 facilitates selective distribution between supplies 120-127 and one of two fluid lines 129 and 130. Precursor distribution system 128 facilitates selectively placing one or both of supplies 120-121 in fluid communication with (purge) fluid line 129 and facilitates selectively placing supplies 122-127 in fluid communication with (precursor) fluid line 130. Purge fluid line 129 may carry purge gases and Precursor fluid line 130 may carry precursors and/or reagents and/or their respective carrier gases. Reagent subsystem 131 allows distribution of precursors/reagents from supplies 132 and 133 to be selectively placed in fluid communication with reagent fluid lines 134 and 135, respectively. Supplies 132 and 133 may be for example, bubblers, ampoules, or solid source containers holding organometallic or halide precursors. Appropriate inert carrier gases (e.g., Ar 121 as shown) can be used to deliver precursors/reagents contained in supplies 132 and 133. Examples of precursors shown below for one embodiment include, but are not limited to, Tetrakis-ethylmethyl amido Hafnium (TEMAHf) for supply 132 and TriMethylAluminum(TMA) for supply 133. Alternate sources of Hafnium precursors include but are not limited to Tetrakis-diethylamido Hafnium (TDEAHf), Tetrakis-dimethyl amido Hafnium (TDMAHf), Hafnium tert-butoxide, Hafnium Chloride. The choice of precursors is not limited solely to those used as examples in the embodiment, namely Hafnium and Aluminum based precursors for sources 132 and 133 respectively.
  • The fluid supply system of FIG. 6 also includes first and second sets of injection valves 140-143 and 144-147, with injection valves 140-143 being selectively placed in fluid communication with reagent fluid lines 134 and 135 via reagent valve blocks 148 a and 148 b. Injection valves 144-147 are selectively placed in fluid communication with (precursor) fluid line 130 via precursor valve block 149 and with (purge) fluid line 129 via purge valve block 150. Injection valves 140-147 and valve blocks 148 a, 148 b, 149, and 150 may include any valve suitable for the deposition recipe, including hi-speed (e.g., pneumatic or piezoelectric) valves. Hi-speed valve 151 of valve block 148 a selectively places injection valves 140-143 in fluid communication with reagent fluid line 134, and hi-speed valve 158 of valve block 148 b selectively places injection valves 140-143 in fluid communication with reagent fluid line 135. Hi-speed valve 152 selectively places reagent fluid line 134 in fluid communication with a foreline 153 to exhaust reagent fluids therefrom, and hi-speed valve 159 selectively places reagent fluid line 135 in fluid communication with a foreline 153 for the same purpose. Hi-speed valve 154 of valve block 149 selectively places injection valve 144-147 in fluid communication with precursor fluid line 130, and hi-speed valve 155 selectively places precursor fluid line 130 in fluid communication with foreline 153 to exhaust reagent fluids therefrom. Purge valve block 150 also includes a pair of hi- speed valves 156 and 157, with hi-speed valve 157 selectively placing injection valves 140-143 in fluid communication with (purge) fluid line 129, and hi-speed valve 156 selectively placing injection valves 144-147 in fluid communication with (purge) fluid line 129.
  • The components of precursor/ reagent subsystems 119 and 131 may differ dependent upon the application and system specifications. In the present embodiment subsystem 119 includes a plurality of manual isolation valves 160, each of which is coupled between one of supplies 120-127 and one of a plurality of two-port valves 161. A plurality of mass flow controllers 162 are coupled between a subset of the plurality 161 of two-port valves and a subset of a plurality of three-port single out line valves 163. An optional needle valve 164 is selectively placed in fluid communication with supply 120, which may contain N2, via one of valves 163, one of valves 161 and one of isolation valves 160, thereby defining an exhaust path. Needle valve 164 selectively places the exhaust path in fluid communication to a chamber vent portion 165. Supply 126 of He may be placed in fluid communication to the backside of the substrate to facilitate thermal coupling of a temperature controlled (e.g., heated) pedestal to the wafer to facilitate uniform substrate temperature control.
  • Referring to FIGS. 1, 5, 6 and 7, substrate processing system 10 allows spatial and temporal modulation of the presence and constituent components of processing fluids upon different regions of substrate 79 to effect combinatorial process experimentation. Valves of fluid supply system 69 are operated under control of controller 70 such that processing fluids propagate and are provided to quadrants 114-117 of showerhead 90 for delivery to process chamber 16 and substrate 79 located therein. Assume that logic diagrams 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199 correspond to the operational states of valves 159, 158, 157, 156, 155, 154, 152, 151, 147, 146, 145, 144, 143, 142, 141, 140, respectively. For each of logic diagrams 184-199 a “0” logic state indicates that the corresponding valves are off precluding fluid flow between the input and output thereof, and a “1” logic state indicates that the corresponding valve has been activated allowing fluid to propagate between an input and output thereof. Logic diagrams 200, 201, 202, 203 and 204 correspond to the quantity of carrier flow for reagent 127 (e.g., ozone), reagent 122 (e.g., water vapor), purge fluid 121 (e.g., Ar), precursor 133 (e.g., Al containing precursor) and precursor 132 (e.g., Hf containing precursor), respectively. As shown, flows of precursor 133, precursor 132 and argon 121, which may function as both a carrier and a purge fluid, are maintained by fluid supply system 69 during processing. Through appropriate sequential activation and deactivation of injection valves and hi-speed valves, the choice of chemistry can be achieved above the desired substrate in process chamber 16 at the desired time and the desired quadrant(s).
  • Referring to FIG. 7, during time period 205 purge fluid 121 and precursor 132 are present in process chamber 16, however precursor 132 flows only through quadrant 514 with its carrier gas, while purge gas is made available in quadrants 515-517, as described more fully below. This result is achieved due to sequencing of hi-speed valves; valve 154 being closed and valve 155 being open directs fluids from line 130 to the foreline 153, while valve 158 being closed and valve 159 being open directs precursor 133 through line 135 to the foreline 153, thereby by-passing the process chamber 16. Valve 157 being closed and valve 156 being open directs the purge gas to valves 144-147, at which point valve 144 being closed and valves 145-147 being open causes the 750 sccm purge gas to be split evenly between quadrants 515-517. This results in 250 standard cubic centimeters per minute (sccm) of purge gas to flow through each of the quadrants 515-517 respectively, while only valve 140 being open in valve block 140-143 causes 250 sccm of carrier gas carrying precursor 132 to flow through quadrant 514 with valve 151 open and valve 152 closed. Note the total flow through the chamber during time period 205 is 1000 seem, with 250 seem each of purge gas flowing through quadrants 515-517, and 250 sccm of carrier gas containing precursor 132 flowing through quadrant 514. It is important to note that the amount of precursor vapor carried within the carrier gas is less than or equal to approximately 1 sccm equivalent in most cases due to the low vapor pressure of most precursor materials. A person skilled in the art will appreciate that the total flow is not limited to only 1000 sccm as used in this embodiment, however could be any total flow (e.g. 50 to 5000 sccm) sufficient to achieve site isolated processing dependent on chamber geometry and pumping capacity. During time period 206, purge fluid 121 is available throughout processing chamber 16, while both precursors 133 and 132 are diverted to the pumping system, thereby avoiding process chamber 16 during this time period. Excess precursor 132 is removed from the processing region 77 during this period. The precursors, reagents and purge gases used in the process are always flowing from the supply source and by manipulating the valve logic, they are either made available to flow through the chamber 16 or diverted to the pump foreline 153 (i.e., roughing pump 66 of FIG. 1). This approach avoids process inefficiencies that might occur during the flow stabilization period of the mass flow controller or liquid flow controllers for every given setpoint from off-state. At this stage, quadrant 514 has been exposed to precursor and therefore the region of surface 78 of substrate 79 corresponding to quadrant 514 has a layer of precursor 132 adsorbed to the surface thereof.
  • The valves in FIG. 6 are operated to maintain a constant flow rather than shutting off fluid flows so as to avoid bursts and maintain the desired flow rates when valves are opened to provide fluid to showerhead assembly and the processing chamber. In addition, the system is run to ensure substantially equal flows across the regions to prevent diffusion across the boundaries. For example, if quadrant 514 has a flow rate of 250 sccm of carrier gas and 1 sccm equivalent of precursor, then quadrants 515-517 should have at least 250 seem (750 sccm total) delivered to each quadrant. The 1 sccm difference added by the precursor does not affect the system as a whole because of the small flow differential and the rapid flow of the fluid (short residence time) within the processing region 77 compared to that difference. In an alternative embodiment, the flows in the quadrants that are providing purge gasses are made higher than the region being processed (e.g., containing precursors and/or reactive reagents), so that any diffusion moves from the purged areas into the region where a film is being grown (e.g., adsorbed or deposited). Since the purged areas contain inert purge gas, such diffusion does not deleteriously affect the regions being processed.
  • During time period 207, reagent 122 (e.g., H2O vapor) is made available to quadrant 514, while simultaneously quadrants 515-517 are exposed to purge fluid 121, in the absence of any additional processing fluids. During time period 207, the reagent 122 reacts with the adsorbed layer of precursor 132 on the region of surface 78 of substrate 79 corresponding to quadrant 514 to form a layer of the desired film (e.g., hafnium oxide). In time period 208, the chamber is purged and excess reagent 122 is removed from the processing region 77. Time periods 205 through 208 represent one ALD cycle and can be repeated to achieve the desired film thickness (not shown, e.g., repeated operations during time periods 205-208 prior to moving to the operation for time period 209). It is prudent to note that during time period 205-208, quadrants 515-517 are exposed to purge fluid 121, hence retaining the corresponding regions of substrate 79 in their original state, i.e., the original state being defined as the state of substrate 79 at the start of the process cycle, t=0, which corresponds to the start of time period 205. With reference to time period 209, it is apparent that quadrant 515 is exposed to precursor 133, while quadrants 514, 516-517 are exposed to purge fluid 121 in the absence of any additional processing fluids. This result is achieved by setting the correct logic states for the valve states as indicated in the logic state diagram. One skilled in the art could appreciate how such processing and film growth moves sequentially from quadrant 514 through 517 and returns to 514 for subsequent cycles of processing.
  • It should be appreciated that time periods 205-208 vs. 209-212 illustrate site isolated combinatorial processing on a substrate whereby the first precursor type is varied in addition to the location of desired processing. Time periods 213-216 illustrates variation in the duration of the second reagent pulse in addition to the location of desired processing. Time periods 217-220 illustrates variation in the type of the second reagent in addition to the location of desired processing. Through careful considerations and proper choice of precursors stored in supplies 132, 133, reagents supplied independently or in combination from supplies 122-127, and purge fluid 120-121, it is possible to modulate the film properties obtained across the four quadrants 514-517. Additionally, film thickness, film sequence, film stacking (e.g., nano-laminates), film composition, co-injection (e.g. of 2 or more source precursors within one region) can be varied in a site-isolated fashion. In addition to site isolated variation, chamber wide process variations can include, but are not limited to, flow rates, chamber pressures, conductance (e.g., via butterfly valve), pulse duration(s), precursor/reagent source temperatures, delivery line temperatures, substrate temperature, showerhead temperature, chamber body temperature, etc. Some of these variations are also possible to conduct in a site isolated fashion, such as source and delivery line temperatures as well as others.
  • Referring to FIGS. 1, 4, 8A and 8B, fluid distribution system 69 allows a carrier, precursor and reagent fluid into processing chamber 16 to provide, from the selected fluids, a volume of fluid passing over surface 78 of substrate 79. Portions of the fluid volume have different constituent components so that differing regions of surface 78 of substrate 79 may be exposed to those different constituent components at the same time. The volume of fluid passing over surface 78 is generated by processing fluids propagating via injection ports 94 into processing chamber 16. The fluid distribution system enables exposing each of regions 514-517 of surface 78 to the constituent components of the portion of the volume of fluid propagating through injection ports 94 associated with one of showerhead sectors 114-117 corresponding therewith (i.e., directly above or in superimposition with). Each region 514-517 of substrate 79 is exposed to the fluid volume from the sectors 114-117 that is corresponding therewith out being exposed to constituent components of the portion of the volume of fluid propagating through the other sectors 114-117. In the present example, sector 114 corresponds with region 514, sector 115 corresponds with region 515, sector 116 corresponds with region 516 and sector 117 corresponds with quadrant 517. The sectors can correspond with other regions of the substrate, or the corresponding sector and region can be changed during in between processing by rotating the substrate relative to the showerhead (e.g., by a full or partial region/quadrant).
  • Substrate processing system 10 operates to minimize propagation of a portion of the processing volume produced by processing fluids from injection ports 94 of quadrant 114 into the remaining quadrants 115-117. Therefore, exposure of regions 515-517 of substrate surface 78 to this portion of the processing volume is minimized. Region 514 that corresponds with quadrant 114 is exposed to substantially the entire volume of this portion. Similarly, the propagation of the processing volume produced by processing fluids from a quadrant, e.g., 115-117, into the regions, e.g., 515-517, not corresponding to, i.e., not in superimposition with, that quadrant is minimized. Thus, the region 515, 516 and 517 that corresponds with quadrant 115, 16 and 117, respectively, is exposed to substantially the entire volume of that portion. The ability to direct the flow of fluids from a sector of the showerhead to the corresponding region on the wafer without significant lateral diffusion (i.e., enough diffusion to effect the processing or make comparisons between the processing of the regions unreliable) between the regions is enabled by the showerhead design, system pressure, fluid distribution system, fluid distribution valving, fluid distribution, fluid flow, chamber design, system operation, and other features discussed herein.
  • For example, one manner in which to ensure that the processing fluids exiting injection ports 94 do not propagate into a region 514-517 of surface 78 that does not correspond to the correct one of quadrants 114-117 is by controlling the propagation of flows of processing fluids through processing chamber 16. Specifically, conditions are established in processing chamber 16 to generate flows of processing fluids along a direction 300 towards the substrate surface 78 and radially symmetric across and around substrate 79 (FIGS. 1, 2, 8A and 8B), and thereby impede or discourage movement of the processing fluids back towards showerhead 90, i.e., opposite to direction 300. This is achieved, in part, by fluid supply system 69 and pressure control system (which includes pumps 64 and 66, valve 67 and channel 68, shown in FIG. 1, and which can include other possible configurations) operating to generate an axisymmetric flow of processing fluids over surface 78. To that end, the pressure control system generates a flow in pump channel 68 that results in processing fluid propagating outwardly toward a periphery of substrate 79 shown by arrows 304 in FIGS. 8A and 8B. Thereafter, the processing fluids move past substrate 79 away from showerhead 90 and exit processing chamber 16 via pump channel 68. By controlling the flow of gases, there is little or no diffusion between the regions, as shown by region 520 in FIG. 8B.
  • In one embodiment, an outer periphery of the substrate is chosen to provide a substantially equal conductance about a periphery of substrate 79 in response to the pumping action generated by pumps 64 and 66. The dimensions of peripheral flow channel 71 are defined to provide a desired conductance of processing fluids therethrough which provide flows of processing fluids over surface 78 of substrate 79 in a substantially uniform and axi-symmetric fashion. The conductance through pump channel 68 is chosen to be larger than the conductance through peripheral flow channel 71. In one embodiment, the relative conductive of processing fluids through pump channel 68 and peripheral flow channel 71 is, for example, 10:1, wherein the conductance of pump channel 68 is established to be at least ten (10) times greater than the conductance of processing fluids through peripheral flow channel 71. Such a large disparity in the conductance, which includes other ratios, serves to facilitate axi-symmetric flow across the surface 78 of substrate 79 as shown by the vector flows in FIG. 8B and flows A and B in FIG. 2 moving through processing region 77 and subsequently passing substrate 79 and support pedestal 48 toward pump channel 68.
  • In addition, in cooperation with the evacuation of processing fluids from processing chamber 16, fluid supply system 69 controls the distribution of the processing fluids so that the total flow through the showerhead assembly is symmetric through the four quadrants although the constituent processing fluids per quadrant may be altered as a function of time in one embodiment. This serves to facilitate axi-symmetric flow. Moreover, the chamber pressure can be controlled to a fixed pressure (e.g., 1 mTorr to 10 Torr) using butterfly valve 67 during such operations. In addition, other chamber wide parameters can be controlled by known techniques.
  • Referring to FIGS. 1, 9, and 10 pump channel 68 from FIG. 1 may be placed in other areas of chamber 16 and provide the same axisymmetric flow necessary to prevent and/or reduce interdiffusion between the regions, as described elsewhere herein. For example, referring to FIG. 9, an evacuation channel 166 may be positioned so that pump channel 160 partially or totally surrounds showerhead 90. Although not necessary, in the present embodiment, part of evacuation channel 166 and pump channel 160 are formed in lid 20 and are in fluid communication with pump system 64. Pump channel 160 is configured to have processing fluid propagating outwardly toward a periphery of substrate 79 shown by arrows 304 in FIGS. 8A and 8B. Channel 266 provides an alternative route for the process gasses to exit to facilitate axisymmetrical flow in one embodiment of the invention. The evacuation route is controlled by the position of valve 67.
  • Referring to FIG. 10, opening 51 may facilitate evacuation of chamber 16 by virtue of channel 168 pumping the gases from beneath substrate pedestal 48 in a symmetric manner in order to produce a propagation of processing fluid in an axisymmetric manner to avoid interdiffusion between regional volumes across substrate 79, as shown by arrows 304 of FIG. 5A and vectors in FIG. 8B.
  • In addition to enabling combinatorial processing, the system also allows for full wafer or conventional processing of the substrate without a vacuum break. By flowing the same fluid through each of passageways 30-33, each quadrants 114-117, shown in FIGS. 3, 4, and 5 of manifold body 80 will provide a flow of the same fluid across its corresponding region of substrate 79, which creates a uniform flow of processing fluids over the surface of substrate 79. This facilitates the use of system 10 as a conventional processing system, as well as a combinatorial processing system. Therefore, the same chamber can be used to enable conventional and combinatorial processing without modification, except for turning selected valves on/off correctly to distribute the desired processing fluids into chamber 16, shown in FIG. 1. This ability enables substrate 79 to be processed with any variation in sequence of combinatorial and conventional processing without moving substrate 79 between tools or chambers within one tool. Thus, these two types of processing can be conducted without removing parts, and merely by altering the switching logic of valves which control the gases.
  • Referring to FIGS. 1, 4 and 11A another embodiment of fluid supply system 69 includes precursor/ reagent subsystems 119 and 131, valve blocks 148 a, 148 b and 149. An additional set of valves 150, 156, 157 and 170 are in fluid communication with passageways 30-33 to facilitate delivering processing gases to more than one of quadrants 114-117 concurrently. To that end, valve 151 of valve block 148 a functions to selectively place fluid line 134 in fluid communication with valves 144, 145, 146 and 147, thereby facilitating concurrent introduction to of processing fluids into processing chamber 16 from fluid lines 134 and 135. Valve 168 facilitates selectively placing processing fluids in fluid line 130 in fluid communication with valves 144-147, and valve 169 facilitates selectively placing processing fluids in fluid line 130 in fluid communication with valves 140-143. Valve 171 facilitates selectively placing processing fluids in fluid line 130 in fluid communication with valves 150, 156, 157 and 170. Greater flexibility in the constituent components in the processing volume proximate to surface 78 is afforded with this valve configuration.
  • As shown in FIG. 11B, using the fluid supply system of FIG. 11A two regions of substrate 79, shown in FIGS. 8A and 8B, can be exposed to precursors (same or different by region) at the same time (i.e., in parallel), In FIG. 11B, Regions 514 and 516 are processed in parallel in a similar fashion for the first ALD cycle (i.e., steps 205, 206, 207, 208), whereas regions 514 and 516 are processed in parallel in a different fashion (i.e., different reagents in step 209) in the second ALD cycle (i.e., steps 209, 210, 211, 212). In FIG. 11B, each precursor/reagent step is followed by a chamber purge across all regions, as shown, but Deed not be. For example in another embodiment (not shown), after regions 514 and 516, of FIG. 8, are exposed to a precursor(s), they can be purged while regions 515 and 517 are concurrently exposed to a precursor(s), etc. Other processing variations can be created using the fluid supply system of FIG. 11A. Moreover, other valving systems can also be created to allow all or any subset of the regions to receive precursors or reagents in a parallel fashion.
  • Referring to FIG. 12, in another embodiment, showerhead assembly 636 is substantially identical to showerhead assembly 90 of FIGS. 3, 4, and 5, except that body 612 extends from manifold 698 disposed opposite to baffle plate (not shown) and away therefrom. Body 612 serves the same function as body 112 and is fabricated in a similar manner. Body 612 can be chosen so as to or not to physically contact substrate surface 78 during processing. It should be understood that another embodiment of the showerhead does not require a physical barrier between the regions. Instead, as shown in FIG. 13, a plurality of apertures 712 may be present in which a curtain of inert gas is emitted to reduce, if not prevent, processing fluids introduced into one sector, e.g., quadrants 114-117, from propagating into another or an adjacent sector and thus effecting processing of the corresponding region on substrate 79.
  • FIGS. 13-1, 13-2, 13-3, and 13-4 illustrate exemplary embodiments of the showerhead of FIG. 13 in accordance with one embodiment of the invention. FIG. 13-1 illustrates a bottom perspective view of one embodiment of the showerhead of FIG. 13. Purge channels 712-1 and 712-2 extend across respective diameters of the faceplate of the showerhead, thereby dividing the faceplate into quadrants in this embodiment. Bodies 612-1 through 612-4 extend outward from the surface of the faceplate and define a border between the quadrants and the purge channels, as well as provide a physical barrier between the regions. Apertures 711 are provided for fastening the faceplate to a chamber top or a baffle plate in one embodiment. In the exemplary embodiment of FIG. 13-1 the width of purge channels 712-1 and 712-2 is about one inch. This width is exemplary and not meant to be limiting as alternative widths that are greater or less than one inch can be employed and may be dependent on the application. It should be noted that the purge channel width may be manipulated so that a test structure residing in a center portion of a substrate undergoing a deposition employing the showerhead described herein, is exposed only to the purge gas. That is, no deposition occurs on the test structure in this embodiment so that the test structure can be accessed for characterization and screening of the combinatorial processing described herein. Furthermore, while the faceplate in FIGS. 13-1 and 13-2 is illustrated in quadrants, this is not meant to be limiting, as other configurations are possible. For example, the faceplate may be divided into halves, thirds, fifths, sixths, etc., depending on the desired application.
  • FIG. 13-2 illustrates a top perspective view of one embodiment of the showerhead of FIG. 13. In one embodiment, the spacing (also referred to as distribution density) of injection ports 94-1 of the quadrants and injection ports 94-2 of the purge channel may be different. That is, the spacing of injection ports 94-2 may be smaller, greater, or equal to the corresponding spacing of injection ports 94-1. Likewise, the diameter of injection ports 94-1 and 94-2 may be the same or different, i.e., greater than or less than each other, as desired. Each of the quadrants and the purge channel of FIG. 13-2 is illustrated as being slightly recessed from sealing surface 713 in this embodiment. In FIG. 13-3, the top of the faceplate is affixed to chamber top 715 through appropriate fasteners, e.g., screws, extending through apertures 711. Chamber top 715 provides the connections and manifolding to deliver process/purge gasses to be distributed into a processing chamber through the showerhead. In FIG. 13-4 a top perspective view of the chamber top is illustrated. Chamber top 715 is provided with purge gas inlet 717 that provides an inlet port for the purge gas delivery to the injection ports of the purge channel. Process gas inlets 719-1 through 719-4 provide delivery ports for process gasses to injection ports of the corresponding quadrants. In one embodiment, the faceplate and a mating baffle plate provide a plenum for distributing the gases to the injection ports of the corresponding sectors. In this embodiment, the purge and the process gas inlets may be integrated onto the baffle plate. Should alternative configurations provide more or less than four sections, i.e., the quadrant configuration, then more or less process gas inlets may be provided, respectively. One skilled in the art will appreciate that alternative embodiments may provide more purge gas inlets. The number of purge gas inlets and process gas inlets is exemplary and any number of inlets may be employed as long as a uniform distribution of the process and purge gas is provided so that the desired isolation of adjacent regions is maintained as described herein. In addition, some of sections, e.g., quadrants, may be blanked. That is, some of the sections may not include injection ports and corresponding gas inlets. One skilled in the art will appreciate that numerous configurations are possible for the embodiments of FIGS. 13-1 through 13-4 and these configurations fall within the scope of the embodiments described herein.
  • Another alternative embodiment is shown in FIG. 14, where each injection port of the showerhead may each have concentrically disposed passageways 724 and 726 so that processing fluids are kept separated until reaching the processing chamber. These passageways could also be adjacent instead of concentric or any other spatial and physical arrangements that maintain separation of the gases prior to entry in the processing chamber.
  • Any of the chambers or systems described in FIG. 1, 9 or 10, or another chamber constructed according to or to implement the inventions described herein may include a motor 310 coupled to cause support shaft 49 and, therefore, support pedestal 48 to rotate about a central axis. A rotary vacuum seal such as a ferrofluidic seal can be used to maintain vacuum during rotation. It is understood that the showerhead in the chamber could also be rotated to create the same effect described below for the pedestal rotation. The rotating support pedestal 48 allows the creation of more regions on the substrate without adding more sectors on the showerhead (e.g., either through physical barriers, inert gas curtains, or other mechanisms). In addition, the rotation enables the easy creation of multi-layer deposition on the substrate. Specifically, the spatial orientation of regions on the substrate is varied with respect to the different portions of the volume of processing fluids, as shown in FIG. 15A, and described below in more detail. The rotation enables changing a relative angular position between the processing fluid volume and surface 78 multiple times, defining a sequence of angular rotations which represent a portion of the angular sector defined by the showerhead design.
  • For example, as shown in FIG. 16, first, second, third, and fourth regions of substrate 78 are exposed to the volume of processing fluids. The first region is bounded edges 800 and 801; the second region is bounded by edges 801 and 802; the third region is bounded by edges 802 and 803; and the fourth region is bounded by edges 800 and 803. Assume that each of the first, second, third and fourth regions are exposed to differing constituent components of the volume.
  • This process produces a first layer of a first material in the first region, a first layer of a second material in the second region, a first layer of a third material in the third region and a first layer of a fourth material in the fourth region. It should be noted that one or a subset of the regions may include the gases necessary to deposit a material or prepare the region for deposition in a subsequent step (e.g., only the first region process may result in a layer being formed while the other regions are exposed to purge gas). In one example, at a second angular position, a fifth, sixth, seventh and eighth regions may be exposed to other constituent components of another volume of processing fluid. The rotation of the substrate holder and substrate in this example enables the creation of 8 regions on the substrate using the 4 sectors defined by the showerhead. The fifth region is bounded edges 804 and 805; the sixth region is bounded by edges 805 and 806; the seventh region is bounded by edges 806 and 807; and the eighth region is bounded by edges 804 and 807. Assume that each of the fifth, sixth, seventh and eighth regions are exposed to differing constituent components of the additional volume, which may or may not contain reactive gases, such as precursors or reagents. This process produces different layers and materials in each of the 8 sectors over time since each of the original quadrants is exposed to two different fluids in the second position.
  • In another embodiment, a first pair of opposing sectors can contain first (e.g., TMAH) and second reagents (e.g., H2O) of an ALD deposition reaction bounded by purge sectors (e.g., Ar) in the remaining pair of opposing sectors. Substrate rotation is then used to deposit a substantially uniform ALD film across the entire substrate. In this embodiment, substrate rotation is used to sequence the gases that a particular region of the substrate sees as a function of time (e.g. TMAH+Ar purge+H 20+Ar purge) as opposed to only through gas valving and flow. Flow through each sector is fixed and not diverted as a function of time. This methodology has benefits of uniformity and throughput and enables the creation of a full wafer process within the same combinatorial ALD chamber. Modulation of the rotation speed can be used to control the time per ALD cycle. A rotation speed of 60 revolutions per minute corresponds to an ALD cycle time of 1 second (Reagent 1+Purge+Reagent 2+Purge). Sixty seconds of substrate rotation during processing will equate to 60 ALD cycles.
  • FIG. 15A shows a simplified cross sectional view of substrate 2179 having material formed thereon from combinatorial processing sequences for screening purposes in accordance with one embodiment of the invention employing the rotation described in FIG. 16. Substrate 2179 has an electrically conductive layer 2180 disposed thereon that functions as an electrode. Layer 2180 may be deposited using any known deposition process, including physical vapor deposition (PVD). Deposited upon layer 2180 is a combinatorial layer 2182 that includes four regions 2183, 2184, 2185 and 2186, each of which has different constituent components (each of these regions can be created in a serial, semi-parallel, or full parallel manner in accordance with the invention (as described above). As an example, region 2183 may be formed from Al2O3, region 2184 is formed from TiO2, region 2185 is formed HfO2, and region 2186 is formed from ZrO2.
  • Upon combinatorial layer 2182 is formed an additional combinatorial layer 2187 having regions 2183, 2184, 2185 and 2186. However, each of regions 2183, 2184, 2185 and 2186 in combinatorial layer 2187 is shifted with respect to regions 2183, 2184, 2185 and 2186 in combinatorial layer 2182. That is, region 2183 of combinatorial layer 2182 is in superimposition with sectors 3001-3004 of surface of conductive layer 2180; whereas, region 2183 of combinatorial layer 2187 is in superimposition with sectors 3002-3005 of surface of conductive layer 2180. This offset results from rotation of substrate 2179 with respect to showerhead 90 after formation of combinatorial layer 2182 and before formation of combinatorial layer 2187. Rotation of substrate 2179 may be undertaken between formation of each combinatorial layer, shown by the relative position of regions 2183, 2184, 2185 and 2186 of combinatorial layers 2188 and 2189. Formed upon combinatorial layer 2189 is a conductive feature 2190 that may be deposited by, for example by site isolated PVD, which may be processed in a conventional (blanket) fashion or in a combinatorial manner. This provides a film stack having multiple regions (e.g., 16) with different materials even though the showerhead only has 4 sectors. Variation (e.g., process parameters, materials, thickness, etc.) of the conductive features 2190 using site isolated combinatorial PVD processing per sector creates additional variations in the final film stack.
  • With reference to FIG. 15B it is possible to combine different types of combinatorial processing. These different types may include, for example, site isolated regions processed by a PVD mask based technique and the isolated sector based system described herein. For example, combinatorial regions 4000, 4001, 4002 may be created with the system described herein on a substrate that already contains regions 4003 formed with PVD or other techniques, such as wet processing (including electroless deposition, electrochemical deposition, cleaning, monolayer formation, etc.). By combining these combinatorial techniques additional experiments can be conducted and the number of substrates used can be reduced while the amount of information gathered is increased.
  • It should be appreciated that FIGS. 15A and 15B illustrate the abundance of data provided from a single substrate under the combinatorial processing described herein. As illustrated above, segregated portions of a fluid volume having different constituent components flow over the surface of a substrate. These segregated portions concurrently expose corresponding segregated sectors of the substrate to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed. A layer is deposited over a segregated sector on the substrate, wherein the layer is different from a layer deposited on the adjacent segregated sector. The substrate may be rotated partially, i.e., some portion of 360 degrees of rotation and a stacked structure having different stacked layers may be built as illustrated in FIG. 15A. In addition, the features disposed on the stack may have differing geometries, e.g., the segregated sectors may be pie shaped (portions of a circle), while feature 2190 is circular.
  • A simplified schematic diagram illustrating an integrated high productivity combinatorial (HPC) system in accordance with one embodiment of the invention is shown in FIG. 17. HPC system includes a frame 900 supporting a plurality of processing modules. It should be appreciated that frame 900 may be a unitary frame in accordance with one embodiment and may include multiple chambers for ease of maintaining the vacuum and/or the addition of more processing modules. In one embodiment, the environment within frame 900 is controlled. Load lock/factory interface 902 provides access into the plurality of modules of the HPC system. Robot 914 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 902. Any known modules may be attached to the HPC System, including conventional processing modules and combinatorial processing modules that are necessary to support the experiments being run or a class of structures that one wishes to test using combinatorial techniques.
  • For example, Module 904 may be an orientation/degassing module in accordance with one embodiment. Module 906 may be a clean module, either plasma or non-plasma based, in accordance with one embodiment of the invention. Module 908 may be the substrate processing system described herein. Alternatively, Module 908 may contain a plurality of masks, also referred to as processing masks, for use in other modules of the HPC System. Module 910 includes a HPC physical vapor deposition (PVD) module in accordance with one embodiment of the invention, e.g., as described in U.S. application Ser. Nos. 11/672,478, and 11/672,473. In one embodiment, a centralized controller, i.e., computing device 911, may control the processes of the HPC system. With HPC system, a plurality of methods may be employed to deposit material upon a substrate employing combinatorial processes involving PYD, ALD, CVD and pre-post processing steps or other possible alternatives. Enabling the combinatorial processing in one cluster tool provides for better contaminant control, better environment control, more precise experimentation, testing of combinatorial process sequence integration, and better throughput when compared with shuttling the substrate between different tools or locations. For example, the processing shown in FIG. 15A can be conducted in one cluster tool enabling full wafer PVD, combinatorial ALD and combinatorial PVD. The processing illustrated with reference to FIG. 15B could be implemented in such a system having both combinatorial PVD and ALD or any other combination used to create structures on substrates.
  • Another embodiment of the present invention, as shown in FIGS. 18-20 may employ a vapor control device 1000 that is disposed proximate to substrate 78 with a vapor injection apparatus 1002 disposed opposite to a vapor extraction apparatus 1004. Vapor control device 1000 includes a plurality of spaced apart bodies 1112, which may be as described above with respect to bodies 112 or may be implemented through other separation techniques such as spacing or gas flow controls. During operation, vapor injection apparatus 1002 emits processing vapors from outlets 1005, 1006, 1007 and 1008. A vapor is emitted and moves across substrate 78 assisted by a vacuum produced by vapor extraction apparatus 1004. These flows 1114, 1115, 1116, 1117 move across regions 1118, 1119, 1120, 1121 of substrate 78. Conditions may be maintained so as to produce a layer of material (or pre/post processing) in regions 1118, 1119, 1120, 1121 or one region or a subset of regions as described above. The spacing shown in FIG. 19 is maintained at the appropriate distance to enable laminar flow of the vapors to assist in keeping the vapors separate and preventing inter-diffusion between the regions on the substrate.
  • Referring to FIGS. 21, 22 and 23, the embodiments described herein may be applied to specific applications as noted below. For example, one of the embodiments may be directed to a process sequence for a gate stack configuration. As the use of high dielectric constant (referred to as High K) materials have become a viable alternative in the manufacture of semiconductor devices, especially for use as the gate oxide, there has been a great deal of interest in incorporating these materials into the process sequence for the manufacturing of semiconductor devices. However, in order to address mobility degradation and/or threshold voltage shifts that have been observed, an interfacial cap layer may be disposed between the metal gate electrode and the gate oxide to alleviate such degradation.
  • Referring to FIG. 23, silicon substrate 900 has High K gate oxide 902, interfacial cap 904 and gate 906 disposed thereon. One approach to incorporate the screening technique discussed above is to fix the High K material being disposed over the substrate in FIG. 21. In one embodiment, the High K material may be hafnium silicate or hafnium oxide. Fixing the High K component refers to performing this operation in a conventional full wafer manner (e.g., via full wafer, non-combinatorial atomic layer deposition). The process sequence for forming the metal gate is then varied combinatorially. Various metals can be used initially, such as tantalum silicon nitride, tantalum nitride, ruthenium, titanium nitride, rhenium, platinum, etc. The HPC system described in FIG. 17 can be used to effect such site isolated processing in one embodiment. The combinatorial vapor based system described herein may be used, for example, for processes including metal gate layers to adjust the effect work function of the gate electrode material. The resulting substrate is processed through a rapid thermal processing (RTP) step and the resulting structure of the metal over the insulator over the semiconductor substrate is then tested. Such tests include thermal stability, crystallization, delamination, capacitance-voltage, flat-band voltage, effective work function extrapolation, etc.
  • It may be determined that the use of a metal gate alone with the High K gate oxide is not compatible as defects are introduced into the structure as evidenced by testing results (e.g., effective work function shifts). Thus, a different process sequence is evaluated where an interfacial cap is disposed between the gate and the gate oxide. In one embodiment, the High K processing and the metal gate processing are fixed, while the interfacial cap processing is varied combinatorially. The substrate is annealed through RTP and the resulting structures are tested to identify optimum materials, unit processes and process sequences with an interfacial cap introduced between the High K material and the gate material. Examples of potential interfacial cap layers include lanthanum oxide, aluminum oxide, magnesium oxide, and scandium oxide. The combinatorial fluid system described herein may be used, for example, for processes including interfacial cap layers. The RTP processing may include rapid thermal anneal.
  • FIGS. 24 and 25 illustrate a screening technique for evaluating a metal-insulator-metal (MIM) structure for a memory device element in accordance with one embodiment of the invention. The memory device element can be, for example, a phase change, resistive change or other memory element, such as a DRAM memory element. The metal for this example may be a conductive element (e.g. W, Ta, Ni, Pt, Ir, Ru, etc.) or a conductive compound (e.g. TiN, TaN, WN, RuO2, IrO2, etc.) and forms the electrodes for the MIM structure. The insulator is a metal oxide, such as titanium oxide, niobium oxide, zirconium oxide, hafnium oxide, tantalum oxide, lanthanum oxide, silicon oxide, aluminum oxide, nickel oxide, a nano-laminate or nano-composite of any of the above oxides, and may include any other number of interfacial or other layers within the stack of memory materials. The insulator may be a binary metal oxide (BMO), a complex metal oxide (CMO), a nano-laminate, a doped or graded metal oxide, in this example. In the DRAM memory element example, it is desirable to achieve an optimum MIM stack exhibiting low leakage, low EOT, high effective dielectric constant, and good thermal stability.
  • An optimum process sequence for this example may be developed with the screening approach described herein. FIG. 24 illustrates a starting substrate and then a metal electrode M (e.g., TiN) is initially deposited uniformly over the substrate, i.e., through a conventional manufacturing process (e.g. physical vapor deposition or sputtering). Then, site isolated processing (e.g., using HPC system described in FIG. 17) is used to deposit (e.g. via combinatorial physical vapor deposition or combinatorial atomic layer deposition) the insulator layer in regions of the substrate having the metal electrode deposited thereon. As part of the insulator, interfacial layers may be deposited or multiple layers may be used to form the insulator (e.g., via ALD). Items for ALD processing that may be varied between the regions include the precursors, reagents, carrier gases, order of precursors, concentration of precursors/reagents, duration of precursor/reagent pulses, purge fluid species, purge fluid duration, partial pressures, total pressure, flow rates, film thickness, film composition, nano-laminates (e.g. stacking of different ALD film types), etc. The resulting substrate is post processed through RTP (optional step) and then tested. Thus, the substrate has a metal underlayer and the oxide is varied and then the substrate is annealed. The testing includes adhesion properties of the layers, resistance testing, dewetting, phase/crystallinity, and composition. Based on the testing a certain subset (e.g., combinations which show poor adhesion, dewetting, or have too low a film resistance, etc.) of the combinations are eliminated. Then, with this reduced subset, the effect of putting another electrode on top of the M-I structure is evaluated as depicted by FIG. 25. Here, the bottom electrode and the insulator processes may be fixed (or varied as shown by alternative arrows) and the top electrode is varied. The resulting structures are annealed and tested as described above. The testing here may include current/voltage (I/V) testing for resistance switching (e.g., no switching, mono-stable switching, bi-stable switching, etc.) since the MIM stack has been constructed. As explained above, the testing is becoming more sophisticated as the screening process proceeds to define an optimal process sequence. The screening process determines an optimal metal oxide and corresponding unit processes, and then incorporates the optimal results to determine the process interaction with a top electrode as described with reference to FIG. 25.
  • Other alternative embodiments that may be claimed include a device for distributing fluids in a semiconductor processing chamber. The device includes a baffle plate having first and second opposed sides with a plurality of throughways extending between the first and the second opposed sides. The device also includes a faceplate coupled to the baffle plate, the faceplate segmented into sectors of injection ports extending therethrough. The segmented sectors are defined through a fluid separation mechanism extending radially outwardly from an axis of the faceplate. The fluid separation mechanism facilitates sector separation of fluids propagating through the injection ports, wherein the baffle plate and the faceplate define a plenum when coupled together. In one embodiment, the number of the sectors corresponds to a number of the throughways and the fluid separation mechanism includes a body extending from a surface of the faceplate, the body configured to maintain separation of fluids propagating through adjacent sectors. The body may extend away from both the surface of the faceplate and a surface of the baffle plate, alternatively the body extends away from the surface of the faceplate and toward a surface of the baffle plate. The fluid separation mechanism is a set of injection ports disposed between adjacent sectors in one embodiment. The injection ports include first and second fluid passages, with the second fluid passage being disposed within the first fluid passage in one embodiment. The injection ports may include first and second fluid passages, with the first fluid passage having a longitudinal axis and second fluid passage being disposed within the first fluid passage and extending along the longitudinal axis.
  • In another embodiment, a showerhead for distributing fluids with a processing chamber is provided. The showerhead includes means for independently receiving a plurality of fluid flows and means for distributing the received plurality of fluid flows through segmented sectors. The means for distributing is coupled to the means for independently receiving the plurality of fluid flows. The means for distributing includes means for maintaining separation of the plurality of fluid flows propagating through the means for distributing the received plurality of fluid flows according to the segmented sectors. The number of the segmented sectors can correspond to a number of the plurality of fluid flows. A plenum may be defined by the coupling of the means for independently receiving and the means for distributing. The means for maintaining separation is selected from a group consisting of extending from a surface of the means for distributing, extending away from a surface of the means for distributing and away from a surface of the means for independently receiving, extending away from a surface of the means for distributing and towards a surface of the means for independently receiving, and means for maintaining separation includes means for propagating a fluid between segmented sectors. The means for distributing includes a first means for fluid passage defined within a second means for fluid passage and the first means and the second means share a longitudinal axis, in one embodiment.
  • In yet another embodiment a method for processing a substrate is provided. The method includes flowing segregated portions of a fluid volume having different constituent components to concurrently expose corresponding segregated sectors of the substrate to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed. The method includes depositing a layer on a segregated sector on the substrate, wherein the layer is different from a layer deposited on the adjacent segregated sector and partially rotating the substrate. The flowing and depositing are repeated, wherein a segment corresponding to the segregated sector in a first layer is offset from a corresponding segment in a next layer. The method includes varying a manufacturing parameter between the segment and the corresponding segment and depositing a feature over the next layer through a physical vapor deposition operation, and wherein the deposition of the first layer and the next layer is performed via atomic layer deposition. In one embodiment, the segregated sectors have a first geometry and the feature has a second geometry, e.g., the first geometry is a portion of a circle and the second geometry is circular. Stacked layers having different segment combinations due to the partially rotating between depositing operations are created through the method. In one embodiment, a number of different segment combinations exceeds a number of segregated portions of the fluid volume.
  • A system for processing a substrate is provided. The system includes means for flowing segregated portions of a fluid volume having different constituent components to concurrently expose corresponding segregated sectors of the substrate to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed. Means for depositing a layer on a segregated sector on the substrate, wherein the layer is different from a layer deposited on the adjacent segregated sector are included, as well as means for partially rotating the substrate in order to deposit a next layer over the layer wherein the segment corresponding to the one of the segregated sectors in the layer is offset from a corresponding segment in the next layer. Means for varying a manufacturing parameter between the segment and the corresponding segment and means for depositing a feature over the next layer through a physical vapor deposition operation are included. The segregated sectors may have a first geometry and the feature may have a second geometry. The system includes means for creating stacked layers having different segment combinations due to the partially rotating between depositing operations and wherein a number of different segment combinations exceeds a number of segregated portions of the fluid volume.
  • A substrate processing system for depositing material on a substrate is provided. The system includes a processing chamber, a fluid distribution system for introducing process fluids into the processing chamber, a pressure control system in fluid communication with the processing chamber, a rotatable support system disposed within the processing chamber, a processor in data communication with the fluid distribution system and the pressure control system, and a memory in data communication with the controller. The memory stores a program to be operated on by the processor to control operation of the substrate processing system to establish conditions in the processing chamber to deposit the material. The program includes a first sub-routine to control operation of the fluid distribution system for flowing segregated portions of a fluid volume having different constituent components to concurrently expose corresponding segregated sectors of a surface of the support system to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed. A layer deposited on a segregated sector is different than a layer deposited on the adjacent segregated sector, and the rotatable support system partially rotates between layer stacks so that adjacent layer stacks are defined by different segment combinations. The fluid distribution system includes a fluid distribution device to distribute a precursor fluid and a carrier fluid over the surface, with the fluid distribution device including a faceplate having multiple sets of injection ports extending therethrough. A fluid separation mechanism is disposed to facilitate separation of the differing portions propagating through adjacent sets of the multiple sets of injection ports. The fluid distribution system further includes a fluid distribution device to distribute a precursor fluid and a carrier fluid over the surface, with the fluid distribution device including a faceplate having multiple sets of injection ports extending therethrough, and a body extending from the faceplate to maintain separation of the differing portions propagating through adjacent sets of the multiple sets of injection ports. In one embodiment, the injection ports of one of the multiple sets are arranged along a line extending radially from a central portion the faceplate to a periphery thereof. The fluid distribution system directs process fluids toward a first side of the surface and the pressure control system evacuates the process fluids from the processing chamber from a side of the surface disposed opposite to the first side. A central portion of a substrate is radially symmetrically disposed about an axis and the fluid distribution system to generate a flow of the process fluids so that the fluid volume is radially symmetrically disposed about the axis. The fluid distribution system and the pressure control system operate to create a unidirectional movement of the fluid toward and radially across the surface. The program further includes an additional sub-routine to control operation of the fluid distribution system to introduce a carrier fluid and a precursor fluid into the processing chamber and provide, from the carrier and precursor fluids, an additional fluid volume passing over a surface of the substrate. Differing portions of the additional fluid volume have common additional constituent components so that each of the segregated sectors of the substrate are exposed to a mixture of additional constituent components that are equivalent to the additional constituent components to which an adjacent sector of the substrate is exposed.
  • In another embodiment, a method of depositing material on a substrate is provided. The method includes flowing process fluids past opposed surfaces of the substrate so as to expose segregated regions of one of the opposed surfaces to a mixture of constituent components of the process fluids that differs from constituent components of the process fluids to which adjacent regions of the one of the opposed surfaces are exposed. Conditions are established in an atmosphere proximate to the surface of at least one of the regions to generate, from the process fluids, the material. The method can include sequentially exposing the segregated regions to deposition and purge fluids. Flows of process fluids are isolated onto adjacent regions using flow velocities and pressure equilibration between portions of the process fluid having different constituent components. In one embodiment, the substrate is rotated between flowings of the process fluids. The flowing may include directing, toward the one of the opposed surfaces, a first flow of a carrier gas and a second flow containing a precursor with respective pressures being substantially equal between the first and second flows to maintain isolation of the process fluids containing different constituent components proximate to the one of the opposed surfaces. In one embodiment, the process fluids are evacuated from a side corresponding to another of the one of the opposed surfaces, wherein the conductance for the evacuating from the side is greater than the conductance over the one of the opposed surfaces and the establishing creates a flow velocity that maintains isolation of flows of the process fluids. In the method, a central portion of the substrate is radially symmetrically disposed about an axis and flowing further includes directing the fluid volume to be radially symmetrically disposed about the axis.
  • In another embodiment a semiconductor processing system is provided. The system includes a fluid supply containing a plurality of components, including carrier fluids and precursors. The fluid supply is configured to store and deliver different mixtures of the plurality of components. A chamber attached to a central frame about which multiple other chambers are oriented is included. The chamber includes a showerhead in flow communication with the fluid supply. The showerhead is configured to receive fluid flows having different constituent components and maintain a separation of the components. A substrate support and a vacuum inlet coupled to a vacuum mechanism are included. The vacuum inlet has a greater conductance than a conductance proximate to a peripheral region of the substrate support, wherein the fluid supply provides different flows with substantially equal respective pressures and the vacuum mechanism enables fluid flow velocities to maintain the fluid flows separate in a region proximate to the substrate support. In one embodiment, one of the other chambers is a physical vapor deposition (PVD) module that is configured to combinatorially process the substrate. The chamber is in flow communication with a vacuum source for exhausting excess fluid volume of the fluid flows, wherein an inlet to the vacuum source is separate from the showerhead. A conductance of the inlet to the vacuum source is greater that a conductance of a channel defined around a periphery of the substrate support through which excess fluid volume flows to the inlet of the vacuum source in one embodiment. The showerhead includes a fluid separation mechanism extending radially outward across a surface of the showerhead and may be a set of injection ports in one embodiment.
  • The embodiments include a combinatorial deposition method of forming material upon a substrate. The method includes concurrently providing a plurality of flows of differing fluids to corresponding portions of a showerhead. A fluid volume flows from the plurality of flows of differing fluids, over the substrate to form a flow pattern, wherein isolated regions of the substrate are concurrently exposed to portions of the fluid volume having different constituent parts. Process conditions are maintained suitable for depositing material from one of the plurality of flows during the flowing. A plurality of flows of equivalent fluids to corresponding portions of the showerhead are provided and process conditions are maintained suitable for depositing a material layer from flows of the equivalent fluids over multiple isolated regions of the substrate. The method includes modifying a spatial relationship between the flow pattern of the fluid volume and the isolated regions to change an exposure of at least one of the isolated regions while maintaining the showerhead stationary. The modifying may include one of rotating the substrate or manipulating valves supplying the plurality of flows of differing fluids. The method can include sequentially modifying the spatial relationship thereby creating stacked layers of deposited material over the substrate. In one embodiment, after the modifying, multiple isolated regions are exposed to differing constituent components while purging one of the isolated regions. Within a segment of the stacked layers corresponding to one of the isolated regions, the segment is composed of different material layers due to modification of the spatial relationship. After maintaining process conditions suitable for depositing material from one of the plurality of flows during the flowing, the method includes combinatorially depositing a feature over multiple segments of the stacked layers, wherein the segments spatially correspond to the isolated regions. In one embodiment, a number of isolated regions is greater than a number of flows of differing fluids. In another embodiment, a lateral diffusion region between adjacent isolated regions is maintained proximate to a border between the adjacent isolated regions. Each method operation may be performed in a common chamber without breaking vacuum between depositing material and depositing the material layer.
  • In another embodiment, a combinatorial deposition system is provided. The system includes means for concurrently providing a plurality of flows of differing fluids to a processing chamber, means for flowing a fluid volume from the plurality of flows of differing fluids, over a substrate to form a flow pattern, the means for flowing concurrently exposing isolated regions of the substrate to portions of the fluid volume having different constituent parts. The system includes means for maintaining process conditions suitable for depositing material from one of the plurality of flows during the flowing and means for depositing a substantially uniform layer of material over multiple isolated regions of the substrate. In one embodiment, means for modifying a spatial relationship between the flow pattern of the fluid volume and the isolated regions to change an exposure of at least one of the isolated regions while maintaining the showerhead stationary, means for rotating the substrate, and means for modifying delivery of the plurality of flows to the processing chamber are included. The means for flowing may be a segmented showerhead, wherein a number of segments is equal to a number of the plurality of flows of differing fluids. Means for evacuating the processing chamber, wherein the means for evacuating the process chamber includes an inlet having a first conductance, the first conductance being greater than a conductance of a channel enabling access into a processing region of the processing chamber are provided. The flow pattern is an axi-symmetrical flow pattern in one embodiment. In another embodiment, the means for flowing provides linear surface flow across the substrate from an edge of the substrate. Pressure control means in fluid communication with the processing chamber and the means for concurrently providing a plurality of flows, the pressure control means configured to generate a flow of the fluid volume in a unidirectional movement toward and radially across the surface of the substrate can be included. The means for concurrently providing a plurality of flows introduces a carrier fluid and a precursor fluid into the processing chamber and provide, from the carrier and precursor fluids, the fluid volume passing over the surface of the substrate with portions of the fluid volume having the different constituent components so that differing regions of the substrate are exposed to a mixture of constituent components that differ from the mixture of constituent components to which an adjacent region of the substrate is exposed. The means for concurrently providing a plurality of flows may be configured to produce a first flow of the carrier fluid and a second flow of the precursor fluid, impinging upon a central portion of the means for flowing, with relative flow rates of the first and second flows being established to equilibrate a pressure of the portions of the fluid volume. The means for flowing includes means for maintaining fluid separation of the plurality of flows, the means for maintaining fluid separation disposed between adjacent sectors of the means for flowing, the means for maintaining fluid separation can be arranged along a line extending radially from a central portion the means for flowing to a periphery thereof. In one embodiment, the means for maintaining fluid separation includes a body extending from the means for flowing to maintain separation of fluids propagating through adjacent sectors of the means for flowing. A central portion of the substrate is radially symmetrically disposed about an axis and wherein the flow pattern is radially symmetrically disposed about the axis in one embodiment. The substantially uniform layer may be deposited without breaking vacuum after depositing material from one of the plurality of flows.
  • In yet another embodiment, a deposition system is provided. The system includes a fluid delivery system configured to concurrently provide a plurality of flows of fluids to a processing chamber, and a showerhead in flow communication with the fluid delivery system. The showerhead is configured to distribute one of a fluid volume from the plurality of flows of differing fluids to form a flow pattern that concurrently exposes a surface of the system to segregated portions of the fluid volume having different constituent parts or a fluid volume from the plurality of flows of equivalent fluids. The system also includes a controller for alternating between process conditions in the processing chamber suitable for one of depositing material from a segregated portion of the fluid volume to a mixture of the constituent components that differ from constituent components to which adjacent regions are exposed or depositing material from multiple flows of equivalent fluids. A vacuum pump having an inlet into the processing chamber, the inlet having a conductance greater than a conductance of a channel providing access into a processing region of the processing chamber may be included. A rotatable substrate support enabling modification of a spatial relationship between the flow pattern and a surface below the flow pattern through partial rotation of the substrate support is provided in on embodiment. The showerhead may be segmented into a number of segments that is equal to a number of the plurality of flows. Modification of the spatial relationship enables multiple stacked layers to be deposited onto a surface of a substrate disposed on the rotatable substrate support, wherein two of the multiple stacked layers have corresponding isolated regions partially offset from each other due to the modification of the spatial relationship between the two of the multiple stacked layers. The fluid delivery system includes a reagent subsystem and a precursor subsystem, the fluid delivery system further includes a manifolding system enabling spatial modification of the fluid volume relative to a surface over which the fluid volume flows.
  • In summary, the embodiments described above enable rapid and efficient screening of materials, unit processes, and process sequences for semiconductor manufacturing operations. Various layers may be deposited onto a surface of a substrate combinatorially within the same plane, on top of each other or some combination of the two, through the atomic layer deposition tool described herein. In one embodiment, the combinatorial process sequencing takes a substrate out of the conventional process flow, and introduces variation of structures or devices on a substrate in an unconventional manner, i.e., combinatorially. However, actual structures or devices are formed for analysis. That is, the layer, device element, trench, via, etc., are equivalent to a layer, device element, trench, via etc. defined through a conventional process. The embodiments described herein can be incorporated with any semiconductor manufacturing operation or other associated technology, such as process operations for flat panel displays, optoelectronics devices, data storage devices, magneto electronic devices, magneto optic devices, packaged devices, and the like.
  • Although the invention has been described in terms of specific embodiments, one skilled in the art will recognize that various modifications may be made that are within the scope of the present invention. For example, although four quadrants are shown, any number of quadrants may be provided, depending upon the number of differing process fluids employed to deposit material. Additionally, it is possible to provide the processing volume with a homogenous mixture of constituent components so that the processing chamber may function as a standard processing chamber for either ALD or CVD recipes. Therefore, the scope of the invention should not be limited to the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.

Claims (20)

1. A device for distributing fluids in a semiconductor processing chamber, the device comprising:
a baffle plate having first and second opposed sides with a plurality of throughways extending between the first and the second opposed sides; and
a faceplate coupled to the baffle plate, the faceplate segmented into sectors of injection ports extending therethrough, the segmented sectors defined through a fluid separation mechanism extending radially outwardly from an axis of the faceplate, the fluid separation mechanism facilitating sector separation of fluids propagating through the injection ports, wherein the baffle plate and the faceplate define a plenum when coupled together.
2. The device of claim 1, wherein a number of the sectors corresponds to a number of the throughways.
3. The device as recited in claim 1 wherein the fluid separation mechanism includes a body extending from a surface of the faceplate, the body configured to maintain separation of fluids propagating through adjacent sectors.
4. The device as recited in claim 3 wherein the body extends one of away from both the surface of the faceplate and a surface of the baffle plate or away from the surface of the faceplate and toward a surface of the baffle plate.
5. The device as recited in claim 1 wherein the injection ports include first and second fluid passages, with the second fluid passage being disposed within the first fluid passage.
6. The device as recited in claim 1 wherein the fluid separation mechanism is a set of injection ports disposed between adjacent sectors.
7. The device as recited in claim 6 wherein the injection ports include first and second fluid passages, with the first fluid passage having a longitudinal axis and second fluid passage being disposed within the first fluid passage and extending along the longitudinal axis.
8. The device as recited in claim 6, wherein a distribution density of the set of injection ports disposed between adjacent sectors is different than a distribution density of the injection ports of the sectors.
9. The device as recited in claim 6, wherein a diameter of the injection ports disposed between adjacent sectors is different than a diameter of the injection ports of the sectors.
10. A showerhead for distributing fluids with a processing chamber, comprising:
means for independently receiving a plurality of fluid flows; and
means for distributing the received plurality of fluid flows through segmented sectors, the means for distributing coupled to the means for independently receiving the plurality of fluid flows, the means for distributing including means for maintaining separation of the plurality of fluid flows propagating through the means for distributing the received plurality of fluid flows according to the segmented sectors.
11. The showerhead of claim 10, wherein a number of the segmented sectors corresponds to a number of the plurality of fluid flows.
12. The showerhead of claim 10, wherein coupling of the means for independently receiving and the means for distributing define a plenum.
13. The showerhead of claim 10, wherein the means for maintaining separation is selected from a group consisting of extending from a surface of the means for distributing, extending away from a surface of the means for distributing and away from a surface of the means for independently receiving, and extending away from a surface of the means for distributing and towards a surface of the means for independently receiving.
14. The showerhead of claim 10, wherein the means for maintaining separation includes means for propagating a fluid between segmented sectors.
15. The showerhead of claim 10, wherein the means for distributing includes a first means for fluid passage defined within a second means for fluid passage and wherein the first means and the second means share a longitudinal axis.
16. The showerhead of claim 10, wherein the showerhead is incorporated into a combinatorial processing system configured to deposit material onto isolated regions of a substrate, the isolated regions corresponding to the segmented sectors.
17. A method of processing a substrate, comprising:
flowing segregated portions of a fluid volume having different constituent components to concurrently expose corresponding segregated sectors of the substrate to a mixture of the constituent components that differ from constituent components to which an adjacent segregated sector is exposed; and
maintaining separation of the segregated portions through a separation fluid flowing between adjacent segregated sectors.
18. The method of claim 17, wherein the separation fluid flows through injection ports having a first diameter and the segregated portions flow through injection ports having a second diameter.
19. The method of claim 17, further comprising:
depositing layers on the corresponding segregated sectors wherein at least two of the layers have different compositions.
20. The method of claim 19, wherein each of the different compositions of the at least two of the layers is substantially uniform over the corresponding segregated sectors.
US12/205,578 2007-09-05 2008-09-05 Vapor based combinatorial processing Abandoned US20090061646A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/205,578 US20090061646A1 (en) 2007-09-05 2008-09-05 Vapor based combinatorial processing
US14/253,712 US9245744B2 (en) 2008-09-05 2014-04-15 Combinatorial plasma enhanced deposition and etch techniques

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97019907P 2007-09-05 2007-09-05
US12/013,729 US8440259B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/205,578 US20090061646A1 (en) 2007-09-05 2008-09-05 Vapor based combinatorial processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/013,729 Continuation US8440259B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing

Publications (1)

Publication Number Publication Date
US20090061646A1 true US20090061646A1 (en) 2009-03-05

Family

ID=40407928

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/013,729 Active 2030-12-02 US8440259B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/013,759 Active 2031-04-06 US8334015B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/205,578 Abandoned US20090061646A1 (en) 2007-09-05 2008-09-05 Vapor based combinatorial processing
US13/332,813 Active US8409354B2 (en) 2007-09-05 2011-12-21 Vapor based combinatorial processing

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/013,729 Active 2030-12-02 US8440259B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/013,759 Active 2031-04-06 US8334015B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/332,813 Active US8409354B2 (en) 2007-09-05 2011-12-21 Vapor based combinatorial processing

Country Status (7)

Country Link
US (4) US8440259B2 (en)
EP (1) EP2186117A4 (en)
JP (1) JP5535913B2 (en)
KR (1) KR101534362B1 (en)
CN (1) CN101842873B (en)
TW (1) TWI398547B (en)
WO (1) WO2009032958A1 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090061644A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques
US20120137708A1 (en) * 2010-12-01 2012-06-07 Michael Mackey Liquid dispenser
US20120160173A1 (en) * 2010-12-23 2012-06-28 Richard Endo Vapor Based Processing System with Purge Mode
US20120315396A1 (en) * 2011-06-13 2012-12-13 Intermolecular, Inc. Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
US20120321786A1 (en) * 2011-06-17 2012-12-20 Intermolecular, Inc. System for multi-region processing
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US20130133580A1 (en) * 2011-11-29 2013-05-30 Intermolecular, Inc. High productivity vapor processing system
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US20140264871A1 (en) * 2013-03-14 2014-09-18 Intermolecular, Inc. Method to Increase Interconnect Reliability
US20150013607A1 (en) * 2010-03-25 2015-01-15 Novellus Systems, Inc. In-situ deposition of film stacks
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US20160083843A1 (en) * 2014-09-24 2016-03-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20160273105A1 (en) * 2015-03-17 2016-09-22 Asm Ip Holding B.V. Atomic layer deposition apparatus
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US20190040529A1 (en) * 2017-08-04 2019-02-07 Asm Ip Holding B.V. Showerhead assembly for distributing a gas within a reaction chamber and a method for controlling the temperature uniformity of a showerhead assembly
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US20190295826A1 (en) * 2010-10-15 2019-09-26 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10458019B2 (en) 2012-11-02 2019-10-29 Industrial Technology Research Institute Film deposition apparatus having a peripheral spiral gas curtain
US10590530B2 (en) * 2015-06-17 2020-03-17 Applied Materials, Inc. Gas control in process chamber
US20200087790A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US20210313169A1 (en) * 2020-04-02 2021-10-07 Samsung Electronics Co., Ltd. Apparatuses for manufacturing semiconductor devices
US11220750B2 (en) * 2018-06-28 2022-01-11 Meidensha Corporation Shower head and processing device
US11746419B2 (en) * 2018-10-25 2023-09-05 Aixtron Se Shield plate for a CVD reactor

Families Citing this family (406)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
KR101376336B1 (en) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 Atomic layer deposition apparatus
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (en) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
JP5336968B2 (en) * 2009-07-30 2013-11-06 東京エレクトロン株式会社 Electrode for plasma processing apparatus and plasma processing apparatus
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101108879B1 (en) 2009-08-31 2012-01-30 주식회사 원익아이피에스 Gas injecting device and Substrate processing apparatus using the same
KR101625078B1 (en) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 Gas injecting device and Substrate processing apparatus using the same
EP2501839B1 (en) * 2009-11-16 2016-01-27 FEI Company Gas delivery for beam processing systems
KR20110054840A (en) * 2009-11-18 2011-05-25 주식회사 아토 Shower-head assembly and thin film deposition apparatus having the same
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US20120149209A1 (en) * 2010-12-14 2012-06-14 Ed Haywood Process sequencing for hpc ald system
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120312234A1 (en) * 2011-06-11 2012-12-13 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8609519B2 (en) * 2011-11-22 2013-12-17 Intermolecular, Inc. Combinatorial approach for screening of ALD film stacks
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (en) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ Inspection device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140110764A1 (en) * 2012-10-19 2014-04-24 Intermolecular Inc. Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US9023438B2 (en) 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
US20140178583A1 (en) * 2012-12-20 2014-06-26 Intermolecular, Inc. Combinatorial Methods and Systems for Developing Thermochromic Materials and Devices
US20140178578A1 (en) * 2012-12-26 2014-06-26 Intermolecular, Inc. Barrier Layers for Silver Reflective Coatings and HPC Workflows for Rapid Screening of Materials for Such Barrier Layers
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
TW201443272A (en) * 2013-02-20 2014-11-16 Applied Materials Inc Apparatus and methods for differential pressure chucking of substrates
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI627305B (en) * 2013-03-15 2018-06-21 應用材料股份有限公司 Atmospheric lid with rigid plate for carousel processing chambers
JP6134191B2 (en) * 2013-04-07 2017-05-24 村川 惠美 Rotary semi-batch ALD equipment
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6334880B2 (en) * 2013-10-03 2018-05-30 Jswアフティ株式会社 Atomic layer deposition apparatus and atomic layer deposition method
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US9087864B2 (en) 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
US20150184287A1 (en) * 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
DE102014106523A1 (en) * 2014-05-09 2015-11-12 Aixtron Se Apparatus and method for supplying a CVD or PVD coating device with a process gas mixture
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6305314B2 (en) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 Film forming apparatus and shower head
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
TW201634738A (en) * 2015-01-22 2016-10-01 應用材料股份有限公司 Improved injector for spatially separated atomic layer deposition chamber
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (en) * 2015-10-30 2022-11-04 삼성전자주식회사 Gas Supply Unit and Substrate Treating Apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US11411168B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via sputtering
US11411169B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
JP6608332B2 (en) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 Deposition equipment
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102625420B1 (en) * 2016-05-27 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Semiconductor wafer processing equipment
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11895920B2 (en) 2016-08-15 2024-02-06 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI609720B (en) * 2016-09-30 2018-01-01 漢民科技股份有限公司 Gas injector device used for semiconductor equipment
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6376483B2 (en) * 2017-01-10 2018-08-22 大日本印刷株式会社 Vapor deposition mask manufacturing method, vapor deposition mask device manufacturing method, and vapor deposition mask quality determination method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (en) * 2017-03-23 2020-02-05 キオクシア株式会社 Semiconductor manufacturing equipment
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR101880852B1 (en) * 2017-05-16 2018-07-20 (주)어플라이드플라즈마 Atmospheric Plasma Device
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11856858B2 (en) 2017-10-16 2023-12-26 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via MOCVD and related doped crystalline piezoelectric thin films
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
JP7088732B2 (en) * 2018-04-27 2022-06-21 株式会社堀場エステック Program for board processing device and board processing device
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
EP3822387A4 (en) * 2018-07-09 2022-05-04 Dai Nippon Printing Co., Ltd. Vapor-deposition mask defective determination method, vapor-deposition mask manufacturing method, vapor deposition mask device manufacturing method, vapor-deposition mask selection method, and vapor-deposition mask
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
WO2020232458A1 (en) * 2019-05-10 2020-11-19 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via mocvd and related doped crystalline piezoelectric thin films
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
KR20220038152A (en) * 2019-07-26 2022-03-25 어플라이드 머티어리얼스, 인코포레이티드 Vaporizer chamber for forming films on substrates
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102019129789A1 (en) * 2019-11-05 2021-05-06 Aixtron Se Process for depositing a two-dimensional layer and CVD reactor
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128661A (en) 2020-04-17 2021-10-27 삼성전자주식회사 Semiconductor device
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US11473199B2 (en) 2020-06-10 2022-10-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11702750B2 (en) 2020-06-10 2023-07-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
WO2021252019A1 (en) * 2020-06-10 2021-12-16 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US20240003010A1 (en) * 2020-12-03 2024-01-04 Lam Research Corporation Backside deposition and local stress modulation for wafer bow compensation
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2778743A (en) * 1954-11-16 1957-01-22 Bell Telephone Labor Inc Method of making electrical carbonfilm resistors
US4508054A (en) * 1981-03-06 1985-04-02 Battelle Memorial Institute Device for depositing a mineral oxide coating on a substrate
US4961399A (en) * 1988-03-22 1990-10-09 U.S. Philips Corporation Epitaxial growth reactor provided with a planetary support
US5958140A (en) * 1995-07-27 1999-09-28 Tokyo Electron Limited One-by-one type heat-processing apparatus
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US20010010207A1 (en) * 1999-12-07 2001-08-02 Naoko Yamamoto Plasma process apparatus
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20040134611A1 (en) * 2001-01-22 2004-07-15 Tokyo Electron Limited Plasma process system and plasma process method
US20040255855A1 (en) * 2003-06-23 2004-12-23 Venkat Selvamanickam Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
WO2005041285A1 (en) * 2003-10-23 2005-05-06 Tokyo Electron Limited Shower head and film-forming device using the same
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US7105060B2 (en) * 2002-02-06 2006-09-12 Tokyo Electron Limited Method of forming an oxidation-resistant TiSiN film
US20070084079A1 (en) * 2005-01-11 2007-04-19 Xuyen Pham Multi-zone shower head for drying single semiconductor substrate
US20090061083A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US20090117746A1 (en) * 2007-11-02 2009-05-07 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20100029065A1 (en) * 2006-09-08 2010-02-04 Toru Nagashima Method and apparatus for producing group iii nitride
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US20110067632A1 (en) * 2009-09-21 2011-03-24 Sierra Solar Power, Inc. Stackable multi-port gas nozzles
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8152923B2 (en) * 2007-01-12 2012-04-10 Veeco Instruments Inc. Gas treatment systems
US8277888B2 (en) * 2008-12-30 2012-10-02 Intermolecular, Inc. Dual path gas distribution device

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US644039A (en) * 1898-08-29 1900-02-20 American Type Founders Co Flexible pipe.
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US6726776B1 (en) * 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
AU6336700A (en) * 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6911129B1 (en) * 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
JP3762985B2 (en) * 2002-03-25 2006-04-05 独立行政法人科学技術振興機構 Plasma deposition method
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
WO2005124859A2 (en) * 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
KR100558922B1 (en) * 2004-12-16 2006-03-10 (주)퓨전에이드 Apparatus and method for thin film deposition
US20060269690A1 (en) * 2005-05-27 2006-11-30 Asm Japan K.K. Formation technology for nanoparticle films having low dielectric constant
JP4356113B2 (en) * 2005-08-08 2009-11-04 セイコーエプソン株式会社 Film forming method, patterning method, optical device manufacturing method, and electronic device manufacturing method
DE102005055468A1 (en) * 2005-11-22 2007-05-24 Aixtron Ag Coating one or more substrates comprises supplying gases to process chamber via chambers with gas outlet openings

Patent Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2778743A (en) * 1954-11-16 1957-01-22 Bell Telephone Labor Inc Method of making electrical carbonfilm resistors
US4508054A (en) * 1981-03-06 1985-04-02 Battelle Memorial Institute Device for depositing a mineral oxide coating on a substrate
US4961399A (en) * 1988-03-22 1990-10-09 U.S. Philips Corporation Epitaxial growth reactor provided with a planetary support
US5958140A (en) * 1995-07-27 1999-09-28 Tokyo Electron Limited One-by-one type heat-processing apparatus
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US20010010207A1 (en) * 1999-12-07 2001-08-02 Naoko Yamamoto Plasma process apparatus
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7481886B2 (en) * 2001-01-22 2009-01-27 Tokyo Electron Limited Plasma process system and plasma process method
US20040134611A1 (en) * 2001-01-22 2004-07-15 Tokyo Electron Limited Plasma process system and plasma process method
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US7105060B2 (en) * 2002-02-06 2006-09-12 Tokyo Electron Limited Method of forming an oxidation-resistant TiSiN film
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US7435445B2 (en) * 2002-09-17 2008-10-14 Moohan Co., Ltd. Method for manufacturing semiconductor device
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040255855A1 (en) * 2003-06-23 2004-12-23 Venkat Selvamanickam Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
US7931749B2 (en) * 2003-10-23 2011-04-26 Tokyo Electron Limited Shower head and film-forming device using the same
WO2005041285A1 (en) * 2003-10-23 2005-05-06 Tokyo Electron Limited Shower head and film-forming device using the same
US20070084079A1 (en) * 2005-01-11 2007-04-19 Xuyen Pham Multi-zone shower head for drying single semiconductor substrate
US20100029065A1 (en) * 2006-09-08 2010-02-04 Toru Nagashima Method and apparatus for producing group iii nitride
US8152923B2 (en) * 2007-01-12 2012-04-10 Veeco Instruments Inc. Gas treatment systems
US20090061083A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US20120090545A1 (en) * 2007-09-05 2012-04-19 Intermolecular, Inc. Vapor based combinatorial processing
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090117746A1 (en) * 2007-11-02 2009-05-07 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US8277888B2 (en) * 2008-12-30 2012-10-02 Intermolecular, Inc. Dual path gas distribution device
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US20110067632A1 (en) * 2009-09-21 2011-03-24 Sierra Solar Power, Inc. Stackable multi-port gas nozzles
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US20090061644A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US20150144061A1 (en) * 2008-01-14 2015-05-28 Intermolecular, Inc. Combinatorial Plasma Enhanced Deposition Techniques
US20090275210A1 (en) * 2008-05-02 2009-11-05 Sunil Shanker Combinatorial plasma enhanced deposition techniques
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US10214816B2 (en) * 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US20150013607A1 (en) * 2010-03-25 2015-01-15 Novellus Systems, Inc. In-situ deposition of film stacks
US20190295826A1 (en) * 2010-10-15 2019-09-26 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10371319B2 (en) 2010-12-01 2019-08-06 Gp Strategies Corporation Liquid dispenser
US20120137708A1 (en) * 2010-12-01 2012-06-07 Michael Mackey Liquid dispenser
US9052065B2 (en) * 2010-12-01 2015-06-09 Gp Strategies Corporation Liquid dispenser
US20120160173A1 (en) * 2010-12-23 2012-06-28 Richard Endo Vapor Based Processing System with Purge Mode
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US20120315396A1 (en) * 2011-06-13 2012-12-13 Intermolecular, Inc. Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
US20120321786A1 (en) * 2011-06-17 2012-12-20 Intermolecular, Inc. System for multi-region processing
US9175392B2 (en) * 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US20130133580A1 (en) * 2011-11-29 2013-05-30 Intermolecular, Inc. High productivity vapor processing system
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US10458019B2 (en) 2012-11-02 2019-10-29 Industrial Technology Research Institute Film deposition apparatus having a peripheral spiral gas curtain
US20140264871A1 (en) * 2013-03-14 2014-09-18 Intermolecular, Inc. Method to Increase Interconnect Reliability
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US20160083843A1 (en) * 2014-09-24 2016-03-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20160273105A1 (en) * 2015-03-17 2016-09-22 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10590530B2 (en) * 2015-06-17 2020-03-17 Applied Materials, Inc. Gas control in process chamber
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US10815569B2 (en) * 2015-08-28 2020-10-27 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US20190040529A1 (en) * 2017-08-04 2019-02-07 Asm Ip Holding B.V. Showerhead assembly for distributing a gas within a reaction chamber and a method for controlling the temperature uniformity of a showerhead assembly
US11220750B2 (en) * 2018-06-28 2022-01-11 Meidensha Corporation Shower head and processing device
US20200087790A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11746419B2 (en) * 2018-10-25 2023-09-05 Aixtron Se Shield plate for a CVD reactor
US20210313169A1 (en) * 2020-04-02 2021-10-07 Samsung Electronics Co., Ltd. Apparatuses for manufacturing semiconductor devices

Also Published As

Publication number Publication date
US8334015B2 (en) 2012-12-18
JP2010538498A (en) 2010-12-09
EP2186117A1 (en) 2010-05-19
EP2186117A4 (en) 2016-07-06
TWI398547B (en) 2013-06-11
US8409354B2 (en) 2013-04-02
CN101842873B (en) 2012-06-13
CN101842873A (en) 2010-09-22
JP5535913B2 (en) 2014-07-02
KR20100070339A (en) 2010-06-25
US20120090545A1 (en) 2012-04-19
TW200932944A (en) 2009-08-01
KR101534362B1 (en) 2015-07-06
US20090061644A1 (en) 2009-03-05
US20090061083A1 (en) 2009-03-05
WO2009032958A1 (en) 2009-03-12
US8440259B2 (en) 2013-05-14

Similar Documents

Publication Publication Date Title
US8334015B2 (en) Vapor based combinatorial processing
US6773507B2 (en) Apparatus and method for fast-cycle atomic layer deposition
JP4629110B2 (en) Thin film deposition apparatus and method
US8129288B2 (en) Combinatorial plasma enhanced deposition techniques
TWI486481B (en) Film deposition apparatus, film deposition method, and computer readable storage medium
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
US20060073276A1 (en) Multi-zone atomic layer deposition apparatus and method
JP7094367B2 (en) Selective atomic layer deposition of ruthenium
JP2007247066A (en) Semiconductor-processing apparatus with rotating susceptor
KR20100028490A (en) Film formation apparatus, substrate processing apparatus, film formation method and storage medium
US8906160B2 (en) Vapor based processing system with purge mode
TW201840894A (en) Film formation device and film formation method
US10472719B2 (en) Nozzle and substrate processing apparatus using same
US20120149209A1 (en) Process sequencing for hpc ald system
US9506146B2 (en) Thin film vapor deposition method and thin film vapor deposition apparatus
KR20150091849A (en) The apparatus for depositing the atomic layer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION