US20090068835A1 - Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias - Google Patents

Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias Download PDF

Info

Publication number
US20090068835A1
US20090068835A1 US11/853,139 US85313907A US2009068835A1 US 20090068835 A1 US20090068835 A1 US 20090068835A1 US 85313907 A US85313907 A US 85313907A US 2009068835 A1 US2009068835 A1 US 2009068835A1
Authority
US
United States
Prior art keywords
layer
dielectric
top surface
opening
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/853,139
Other versions
US7704869B2 (en
Inventor
Douglas C. La Tulipe, Jr.
Mark Todhunter Robson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Auriga Innovations Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LA TULIPE, DOUGLAS C., JR., ROBSON, MARK TODHUNTER
Priority to US11/853,139 priority Critical patent/US7704869B2/en
Priority to PCT/EP2008/058306 priority patent/WO2009033837A2/en
Priority to TW097126073A priority patent/TW200924058A/en
Publication of US20090068835A1 publication Critical patent/US20090068835A1/en
Priority to US12/540,457 priority patent/US7955967B2/en
Publication of US7704869B2 publication Critical patent/US7704869B2/en
Application granted granted Critical
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to AURIGA INNOVATIONS, INC. reassignment AURIGA INNOVATIONS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the field of integrated circuits; more specifically, it relates to structures of and methods for fabricating ultra-deep vias in integrated circuits and structures of and methods for fabricating three-dimensional integrated circuits.
  • Three-dimensional integrated circuit structures In order to reduce the footprint and improve the speed of integrated circuits various three-dimensional integrated circuit structures have been proposed.
  • Traditional integrated circuit structures have been two dimensional, in that all the active devices have been formed in a same plane in a same semiconductor layer.
  • Three-dimensional integrated circuits utilize vertically stacked semiconductor layers with active devices formed in each of the stacked semiconductor layers.
  • the fabrication of three-dimensional integrated circuits poses many challenges particularly in the methodology for interconnecting devices in the different semiconductor layers together.
  • the total depth of these interconnects can exceed 1.5 um with diameters in the sub 0.2 um range.
  • the metal fill of large aspect ratio and very deep vias often contain voids which can increase the resistance of the via and result in yield loss as well as reduce the reliability of the device. Accordingly, there exists a need in the art to overcome the deficiencies and limitations described hereinabove.
  • a first aspect of the present invention is a method, comprising: forming an etch stop layer on a top surface of a substrate; forming a first dielectric layer on a top surface of the etch stop layer; forming a profile modulation layer on a top surface of the first dielectric layer; forming a second dielectric layer on a top surface of the profile modulation layer; forming a photo-imaging layer on a top surface of the second dielectric layer; forming an opening in the photo-imaging layer, a region of the top surface of the second dielectric layer exposed in a bottom of the opening; reactive ion etching the second dielectric layer with a first etch chemistry selective to the profile modulation layer to form an opening through the second dielectric layer; reactive ion etching the profile modulation layer with a second etch chemistry selective to the first and second dielectric layers to extend the opening through the profile modulation layer; reactive ion etching the first dielectric layer with a third etch chemistry selective to the profile modulation layer and selective
  • a second aspect of the present invention is the first aspect, wherein the third etch chemistry is not selective to the second dielectric layer.
  • a third aspect of the present invention is the first aspect, wherein the first and third etch chemistries are a same chemistry.
  • a fourth aspect of the present invention is the first aspect, wherein the second and fourth etch chemistries are a same chemistry.
  • a fifth aspect of the present invention is the first aspect, wherein the removing the photo-imaging layer is performed between the reactive ion etching the first dielectric layer and the reactive ion etching the etch stop layer.
  • a sixth aspect of the present invention is the first aspect, wherein the first dielectric layer and second dielectric layer comprise silicon oxide and the profile modulation layer and the etch stop layer comprise silicon nitride.
  • a seventh aspect of the present invention is the first aspect, wherein: a first width of the opening measured in first direction parallel to the top surface of the second dielectric layer at the top surface of the second dielectric layer is greater than a second width of the opening measured in the first direction at the top surface of the profile modulation layer and greater than a third width of the opening measured in the first direction at the top surface of the substrate, the second width greater than or equal to the third width; and wherein a ratio of a depth of the opening measured in a second direction perpendicular to the first direction from the top surface of the second dielectric layer to the top surface of the substrate to the first width is equal to or greater than five.
  • An eighth second aspect of the present invention is the first aspect, further including: after the removing the photo-imaging layer, filling the opening with the electrical conductor.
  • a ninth aspect of the present invention is the eighth aspect, wherein the filling the opening with an electrical conductor comprises: depositing a tantalum nitride layer over sidewalls and a bottom of the opening; depositing a tantalum layer on the tantalum nitride layer; depositing a seed copper layer the tantalum layer; electroplating an electroplated copper layer on the seed copper layer, the electroplated copper layer completely filling remaining spaces in the opening; and performing a chemical-mechanical-polish to remove the tantalum nitride layer, the tantalum layer, the seed copper layer and the electroplated copper layer from over the top surface of the second dielectric layer.
  • a tenth aspect of the present invention is the first aspect, wherein the photo-imaging layer includes a photoresist layer over an antireflective coating on the top surface of the first dielectric layer and the forming the opening in the photo-imaging layer comprises exposing the photoresist layer to actinic radiation through a patterned photomask, developing the exposed photoresist layer and reactive ion etching the antireflective coating with an initial etch chemistry where the anti-reflective coating is not protected by the photoresist layer.
  • An eleventh second aspect of the present invention is the tenth aspect, wherein the initial etch chemistry is selective to the photoresist layer and the first dielectric layer and wherein the initial, second and fourth etch chemistries are a same chemistry.
  • a twelfth aspect of the present invention is a structure comprising: forming a first substrate, the first substrate including: first transistors electrically connected to a set of wiring levels, each wiring level including electrically conductive wires in a respective dielectric layer; an etch stop layer on a top surface of an uppermost wiring level of the set of wiring levels that is furthest from the substrate, the etch stop layer in contact with a wire of the uppermost wiring level; and a first dielectric bonding layer on a top surface of the etch stop layer; forming a second substrate, the second substrate including: a second dielectric bonding layer; a buried oxide layer on a top surface of the second dielectric bonding layer; a semiconductor layer on a top surface of the buried oxide layer, the semiconductor layer including second transistors electrically isolated from each other by dielectric isolation in the silicon layer; a profile modulation layer on a top of the silicon layer and on a top surface of the dielectric isolation; and a first dielectric layer on a top surface of the profile modulation
  • FIGS. 1A through 1J are cross-sections of the fabrication of an exemplary electrically conductive via according to embodiments of the present invention.
  • FIGS. 2A through 2C are cross-sections of the fabrication of a first exemplary three dimensional integrated circuit according to embodiments of the present invention.
  • FIG. 3 is a cross-section of additional fabrication steps in the fabrication of three-dimensional integrated circuit according to embodiments of the present.
  • FIGS. 1A through 1J are cross-sections of the fabrication of an exemplary electrically conductive via according to embodiments of the present invention.
  • a metal wire 105 Formed on a top surface 110 of substrate 100 is a dielectric etch stop layer 115 .
  • Formed on top of etch stop layer 115 is a first dielectric layer 120 .
  • Formed on first dielectric layer 120 is a second dielectric layer 125 .
  • Formed on second dielectric layer 125 is a third dielectric layer 130 .
  • Formed on top of third dielectric layer 130 is a profile modulation layer 135 .
  • Formed on profile modulation layer 135 is a fourth dielectric layer 140 .
  • Semiconductor substrate 100 may comprise, for example, Si, SiGe, Ge, GaAs or InP.
  • etch stop layer 115 and first dielectric layer 120 represent layers on a lower semiconductor substrate and second dielectric layer 125 , third dielectric layer 130 , profile modulation layer 135 and fourth dielectric layer 140 represent layers on an upper semiconductor layers with first and second dielectric layers 120 and 125 representing oxide bonding layers that bond the two substrates together.
  • Third dielectric layer 130 represents a dielectric trench isolation (TI) or dielectric shallow trench isolation (STI) on a buried oxide layer (BOX) of a silicon-on-insulator (SOI) substrate.
  • etch stop layer 115 is silicon nitride and in one example is about 500 ⁇ thick
  • first dielectric layer 120 is low temperature silicon oxide (LTO) and in one example is between about 2500 ⁇ and about 3500 ⁇ thick
  • second dielectric layer 125 is LTO and in one example is between about 2500 ⁇ and about 3500 ⁇ thick
  • third dielectric layer 130 is high density plasma silicon (HDP) oxide thermal oxide and in one example is about 3600 ⁇ thick
  • profile modulation layer 135 is silicon nitride and in one example is about 500 ⁇ thick
  • fourth dielectric layer 140 is HDP oxide and in one example is about 4700 ⁇ thick.
  • metal wire 105 comprises copper.
  • the HDP oxide of third dielectric layer 130 and fourth dielectric layer 140 may be independently replaced with plasma enhanced chemical vapor deposition (PECVD) oxide, ultrahigh density plasma (UHP) oxide, tetraethoxysilane (TEOS) oxide or spin-on-oxide.
  • PECVD plasma enhanced chemical vapor deposition
  • UHP ultrahigh density plasma
  • TEOS tetraethoxysilane
  • the silicon nitride of etch stop layer 115 and profile modulation layer 135 may be independently replaced with silicon carbide, silicon oxy nitride, silicon oxy carbide or Nblock (SiCNH).
  • first and second dielectric layer are LTO, but in other application may be independently thermal oxide, HDP oxide, PECVD oxide, UDP oxide, TEOS oxide or spin-on-oxide.
  • thicknesses of etch stop layer 115 and profile modulation layer 135 are independently about 5 times less than a thickness of either fourth dielectric layer 140 or a combined
  • LTO oxide is a silicon oxide that is formed at temperatures below about 350° C.
  • LTO oxides are formed using N 2 O in a plasma enhanced chemical vapor deposition (PECVD) process.
  • PECVD plasma enhanced chemical vapor deposition
  • An HDP oxide are specifically prepared to be fusion bonded to each other.
  • First second, third and fourth dielectric layers 120 , 125 , 130 and 140 are advantageously first similar materials (e.g., silicon oxides) and etch stop layer 115 and profile modulation layer 135 are advantageously second similar materials (e.g. silicon nitrides), where the second materials may be selectively plasma etched relative to the first materials.
  • first similar materials e.g., silicon oxides
  • second similar materials e.g. silicon nitrides
  • an optional antireflective coating (ARC) 145 is formed on fourth dielectric layer and a photoresist layer 150 formed on top of the ARC.
  • An opening 155 is formed in photoresist layer 150 photolithographically by exposing photoresist layer 150 to actinic radiation through a patterned photomask and then developing the photoresist layer to transfer the pattern of the photomask into the photoresist layer.
  • a region of ARC 145 is exposed in the bottom of opening 155 .
  • ARC 145 is a bottom ARC or BARC since it is formed under photoresist layer 150 .
  • a top ARC (TARC) formed over the photoresist may be substituted or both a TARC and BARC may be used.
  • the combination of a photoresist layer and an ARC i.e., BARC, TARC or both BARC and TARC is defined as a photo-imaging layer.
  • the region of ARC 145 exposed in opening 155 of FIG. 1B is removed using a reactive ion etch (RIE) that etches ARC 145 faster than photoresist layer 150 (i.e., ARC 145 is RIE'd selective to photoresist layer 150 ) to expose a region of fourth dielectric layer 140 in the bottom of an opening 155 A.
  • RIE reactive ion etch
  • An example RIE process for etching ARC 145 includes etching with a mixed CF 4 /CHF 3 /Ar/O 2 gas derived plasma.
  • the region of fourth dielectric layer 140 exposed in opening 155 A of FIG. 1C is removed using an RIE that etches fourth dielectric layer 140 faster than profile modulation layer 135 (i.e., fourth dielectric layer 140 is RIE'd selective to profile modulation layer 135 ) to expose a region of the profile modulation layer in the bottom of an opening 155 B.
  • photoresist layer 150 and ARC 145 are eroded by the fourth dielectric layer 140 RIE etch.
  • the opening in the top surface of photoresist layer 150 is larger than the opening in the bottom surface of the photoresist layer.
  • An example RIE process for etching fourth dielectric layer includes etching with a mixed CO/C 4 F 8 /Ar gas derived plasma. This chemistry (at the proper bias, forward and reverse power, pressure and gas flows) etches silicon oxide about 25 times faster than silicon nitride.
  • the region of profile modulation layer 135 exposed in opening 155 B of FIG. 1D is removed using an RIE that etches profile modulation layer 135 faster than third dielectric layer 130 (i.e., profile modulation layer 135 is RIE'd selective to third dielectric layer 130 ) to expose a region of the third dielectric layer in the bottom of an opening 155 C.
  • An example RIE process for etching profile modulation layer includes etching with a mixed CHF 3 /CF 4 /Ar gas derived plasma. This chemistry (at the proper bias, forward and reverse power, pressure and gas flows) etches silicon nitride about 4 times faster than silicon oxide. It is advantageous to keep profile modulation layer 135 (and etch stop layer 115 ) as thin as possible.
  • the region of third dielectric layer 130 exposed in opening 155 C of FIG. 1E is removed along with regions of second and first dielectric layers 125 and 120 aligned under opening 155 C of FIG. 1E using an RIE that etches third, second and first dielectric layers 130 , 125 and 120 faster than etch stop layer 115 and profile modulation layer 135 (i.e., third dielectric layer 130 is RIE'd selective to etch stop layer 115 and profile modulation layer 135 ) to expose a region of the etch stop layer in the bottom of an opening 155 D.
  • third dielectric layer 130 is RIE'd selective to etch stop layer 115 and profile modulation layer 135
  • An example RIE process for etching third, second and first dielectric layers 130 , 125 and 120 includes etching with a mixed CO/C 4 F 8 /Ar gas derived plasma. Note, photoresist layer 150 and ARC 145 are further eroded by the third dielectric layer 130 , second dielectric layer 125 and first dielectric 120 RIE etches. This etch is not selective to fourth dielectric layer 140 and in combination with the further erosion of photoresist layer 150 and ARC 145 , a tapered upper region 160 of opening 155 D is formed in the region of opening 155 D formed through fourth dielectric layer 140 .
  • opening 155 D in region 160 taper at an angle “a” measured between the sidewall and a plane parallel to top surface 110 of substrate 100 .
  • a lower region 165 of opening 155 D is formed through profile modulation layer 135 and third, second and first dielectric layers 130 , 125 and 120 .
  • the sidewall of opening 155 D in region 165 is at an angle “b” measured between the sidewall and a plane parallel to top surface 110 of substrate 100 .
  • Opening 155 D has width W 1 measured at the top surface of fourth dielectric layer 140 , a width W 2 measured at a top surface of profile modulation layer 135 and a width W 3 , measured at a top surface of etch stop layer 115 .
  • W 1 is greater than W 2 . In one example W 1 is about 0.28 microns and W 3 is about 0.16 microns.
  • W 2 is equal to W 3 and angle “b” is between about 87° and no greater than 90°. In one example W 2 is greater than W 3 , however angle “b” is less than angle “a.”
  • the presence of profile modulation layer 135 allows the widening of opening 155 D at the top surface of fourth dielectric layer 140 in upper region 160 due to the controlled erosion of photoresist layer 150 while facilitating formation of a straight or sidewall in lower region 165 .
  • profile modulation layer 135 either opening 155 D would be to narrow at the top to be filled with metal without incorporating large voids in the metal fill, or the value of W 1 would need to be much greater to maintain the same value of W 3 obtained with the presence of the profile modulation layer.
  • photoresist layer 150 and arc 145 are removed using an oxygen ash process (i.e., O 2 plasma etch). Alternatively, this step may be performed after the process illustrated in FIG. 1H . It is advantageous to perform the photoresist removal step with etch stop layer 115 intact to prevent the photoresist removal process from oxidizing wire 105 particularly when wire 105 comprises copper.
  • etch stop layer 115 is RIE'd selective to first, second and third dielectric layers 120 , 125 and 130 , metal wire 105 and optionally fourth dielectric layer 140 ) to expose a region of metal wire 105 in the bottom of an opening 155 E.
  • An example RIE process for etching etch stop layer 115 includes etching with a mixed CF 4 /CHF 3 /Ar/O 2 gas derived plasma.
  • Region 160 has a height H 1 measured from the top surface of fourth dielectric layer 140 to the top surface of profile modulation layer 135 in a direction perpendicular to the top surface of wire 105 in substrate 100 .
  • Region 165 has a height H 2 measured from the top surface of profile modulation layer 134 to the top surface of wire 105 in substrate 100 in a direction perpendicular to the top surface of wire 105 in substrate 100 .
  • H 1 is about 0.4 microns and H 2 is between about 1 micron an and about 1.6 microns for total opening depth (i.e., H 1 +H 2 ) of between about 1.4 microns and about 2.0 microns.
  • W 3 see FIG.
  • H 1 +H 2 is equal to or greater than about 1 micron. In one example, H 1 +H 2 is equal to or greater than about 2 microns. In one example (H 1 +H 2 )/W 1 is greater than or equal to 5. In one example (H 1 +H 2 )/W 1 is greater than or equal to 8.
  • an optional direct current (DC) clean (e.g., sputter cleaning with an inert gas) is performed followed by formation of an electrically conductive liner 170 on the sidewall of opening 155 E and top surface of fourth dielectric layer 140 followed by overfilling the opening 155 E with an electrically conductive core conductor 175 .
  • conductive liner 170 comprises, in the order of deposition, a layer of TaN, a layer of Ta and a layer of Cu and core conductor 175 comprises electroplated copper.
  • a chemical-mechanical-polish is performed to remove liner 170 and core conductor 175 from over fourth dielectric layer 140 to form an electrically conductive via 180 extending from a top surface 185 of the fourth dielectric layer to a top surface of wire 105 (making electrical contact with wire 105 ).
  • CMP chemical-mechanical-polish
  • a top surface 190 of via 180 is coplanar with top surface 185 of fourth dielectric layer 140 .
  • first, second and third dielectric layers 120 , 125 and 130 of FIG. 1 may be replaced with a single dielectric layer.
  • their may be more than three dielectric layers in the stack represented by first, second and third dielectric layers 120 , 125 and 130 of FIG. 1 , though they should all be similar materials (e.g., silicon oxides) or have similar selectivity's to the RIE used to etch stop and profile modulation layers.
  • FIGS. 2A through 2C are cross-sections of the fabrication of a first exemplary three-dimensional integrated circuit according to embodiments of the present invention.
  • an upper semiconductor substrate 200 includes a silicon oxide bonding layer 205 , a BOX layer 210 on the bonding layer, a semiconductor layer 215 including semiconductor regions 220 and STI 225 formed in the semiconductor layer, a profile modulation layer 230 on top of semiconductor layer 215 and a dielectric layer 235 on the passivation layer.
  • Exemplary, field effect transistors (FETs) 240 comprising source/drains (S/D) formed in semiconductor regions 220 and gates formed over the silicon regions between the S/Ds are formed in substrate 200 .
  • Semiconductor layer 215 may comprise, for example, Si, SiGe, Ge, GaAs or InP.
  • Etch stop layer may also serve as a diffusion barrier layer for copper and/or as a passivation layer.
  • a substrate 300 includes a semiconductor base later 305 , a BOX layer 310 on the base silicon layer, a semiconductor layer 315 including semiconductor regions 320 and STI 325 formed in the silicon layer, an interlevel dielectric (ILD) wiring set 330 including contacts 335 and wires 340 and 350 formed in respective dielectric layers of dielectric layers 355 of ILD wiring set 330 .
  • Semiconductor base layer 305 may comprise, for example, Si, SiGe, Ge, GaAs or InP.
  • Semiconductor layer 315 may comprise, for example, Si, SiGe, Ge, GaAs or InP.
  • An ILD wiring level comprises a dielectric layer and one or more wires, vias or contacts embedded therein.
  • ILD wiring set 330 is illustrated having three ILD wiring levels.
  • ILD wiring set 330 may include more or less ILD levels (down to one level containing contacts 335 ) or as many levels as required by the integrated circuit design.
  • the ILD wiring levels of ILD wiring set 330 are, by way of example, damascene and dual-damascene ILD levels formed by damascene and dual-damascene processes.
  • a damascene process is one in which wire trenches or via openings are formed in a dielectric layer, an electrical conductor of sufficient thickness to fill the trenches is deposited on a top surface of the dielectric, and a chemical-mechanical-polish (CMP) process is performed to remove excess conductor and make the surface of the conductor co-planar with the surface of the dielectric layer to form damascene wires (or damascene vias).
  • CMP chemical-mechanical-polish
  • a dual-damascene process is one in which via openings are formed through the entire thickness of a dielectric layer followed by formation of trenches part of the way through the dielectric layer in any given cross-sectional view. All via openings are intersected by integral wire trenches above and by a wire trench below, but not all trenches need intersect a via opening.
  • An electrical conductor of sufficient thickness to fill the trenches and via opening is deposited on a top surface of the dielectric and a CMP process is performed to make the surface of the conductor in the trench co-planar with the surface the dielectric layer to form dual-damascene wires and dual-damascene wires having integral dual-damascene vias.
  • FETs field effect transistors
  • substrate 300 further includes an etch stop layer 360 on top of ILD wiring set 355 and a silicon oxide bonding layer 365 on the etch stop layer. Bonding layers 205 and 365 bond substrates 200 and 300 into a single structure. The bonding process includes placing the bonding layers 205 and 365 in contact at a temperature above room temperature but below, for example, 350° C.
  • dielectric layers 235 , 355 and STI 225 are independently selected from the group consisting of thermal oxide, HDP oxide, PECVD oxide, UDP oxide, TEOS oxide and spin-on-oxide, and bonding layers 205 and 365 are LTO.
  • profile modulation layer 230 and etch stop layer 360 are independently selected from the group consisting of silicon nitride, silicon carbide, silicon oxy nitride or silicon oxy carbide.
  • dielectric layers 235 , 355 and STI 225 and bonding layers 205 and 365 are advantageously first similar materials (e.g., silicon oxides) and etch stop layer 360 and profile modulation layer 230 are advantageously second similar materials (e.g.
  • dielectric layer 235 is between about 2500 ⁇ and about 7500 ⁇ thick.
  • profile modulation layer 230 is between about 250 ⁇ and about 1000 ⁇ thick.
  • STI 225 is between about 1500 ⁇ and about 2500 ⁇ thick.
  • BOX layer 210 is between about 1500 ⁇ and about 2500 ⁇ thick.
  • bonding layer 210 is between about 2500 ⁇ and about 3500 ⁇ thick.
  • bonding layer 365 is between about 2500 ⁇ and about 3500 ⁇ thick.
  • etch stop layer 360 is between about 250 ⁇ and about 1000 ⁇ thick.
  • Substrate 200 may be formed from an SOI substrate by removal of the semiconductor (e.g., silicon) base layer under BOX layer 210 after formation of FETs 240 followed by a deposition of a layer of LTO to form bonding layer 205 on BOX layer 225 .
  • Substrate 300 may be formed from an SOI substrate complete with ILD wiring set 330 followed by deposition of etch stop layer 360 and a deposition of a layer of LTO to form bonding layer 365 .
  • a photoresist layer 400 is formed on dielectric layer and patterned to form an opening 405 in the photoresist layer in a manner similar to that described supra for opening 155 in photoresist 150 of FIG. 1B . While no ARC (TARC or BARC) is illustrated in FIG. 2A , an ARC (TARC and/or BARC) may be used.
  • an opening 410 is formed through dielectric layer 235 , profile modulation layer 230 , STI layer 225 , BOX layer 210 , bonding layers 205 and 365 and etch stop layer 360 to expose a top surface of wire 350 .
  • photoresist layer 400 (see FIG. 2A ) is removed.
  • First dielectric layer 235 is RIE'd selective to profile modulation layer 230 using for example, a mixed CO/C 4 F 8 /Ar gas derived plasma when dielectric layer 235 is silicon oxide and profile modulation layer 230 is silicon nitride.
  • profile modulation layer 230 is RIE'd selective to dielectric layer 235 and STI 225 , using, for example; a mixed CHF 3 /CF 4 /Ar gas derived plasma when dielectric layers 235 and STI 225 are silicon dioxide and profile modulation layer is silicon nitride.
  • This chemistry (at the proper bias, forward and reverse power, pressure and gas flows) etches silicon nitride about 4 times faster than silicon oxide. It is advantageous to keep profile modulation layer 230 (and etch stop layer 360 ) as thin as possible.
  • STI 235 , BOX layer 210 , bonding layers 205 and 365 are RIE'd selective profile modulation layer 230 and etch stop layer 360 using, for example, a mixed CO/C 4 F 8 /Ar gas derived plasma when STI 235 , BOX layer 210 , bonding layers 205 and 365 are silicon oxide and profile passivation layer 230 and etch stop layer 360 are silicon nitride.
  • the third RIE process is not selective to dielectric layer 235 so opening 410 has a tapered profile in dielectric layer 235 , a substantially straight or slightly tapered profile in STI 225 , BOX 210 , and bonding layers 205 and 365 (compared to the taper of opening 410 in dielectric layer 235 ) because of the presence of profile modulation layer 230 .
  • photoresist layer 400 (see FIG. 2A ) is removed using an oxygen ash process.
  • etch stop layer 360 is RIE'd selective to dielectric layer 235 .
  • STI 225 , BOX layer 210 and bonding layers 205 and 365 using, for example, a mixed CF 4 /CHF 3 /Ar/O 2 gas derived plasma when etch stop layer 360 and profile modulation layer 230 are silicon nitride and dielectric layer 210 , STI 225 , BOX layer 225 and bonding layers 205 and 365 are silicon oxide.
  • a mixed CF 4 /CHF 3 /Ar/O 2 gas derived plasma when etch stop layer 360 and profile modulation layer 230 are silicon nitride and dielectric layer 210 , STI 225 , BOX layer 225 and bonding layers 205 and 365 are silicon oxide.
  • an optional DC clean using N 2 and H 2 i.e. a mixed N 2 /H 2 gas derived plasma etch is performed.
  • opening 410 is filled with an electrical conductor for an electrically conductive via 420 in electrical contact with wire 350 .
  • via 420 is formed by deposition of an electrically conductive liner on the sidewall of opening 410 (see FIG. 2B ) and top surface of dielectric layer 235 followed by overfilling the opening with an electrically conductive core conductor.
  • the conductive liner comprises, in the order of deposition, a layer of TaN, a layer of Ta and a layer of Cu and the core conductor comprises electroplated copper.
  • a CMP is performed to remove the liner and core conductor from over dielectric layer 235 to form the via 420 extending from a top surface 425 of dielectric layer 235 to a top surface of wire 350 .
  • a top surface 430 of via 420 is coplanar with top surface 425 of dielectric layer 235 .
  • via 420 is a damascene via.
  • Electrically conductive contacts may be made through dielectric layer 235 to the S/Ds and gates of FETs 240 . Alternatively, the contacts may be formed prior to formation of photoresist layer 400 (see FIG. 2A ). Additional interlevel dielectric layer containing wires may be formed on top of dielectric layer 235 , the wires therein electrically connecting via 420 to FETs 240 and FETs 345 into circuits. See FIG. 34 .
  • FIG. 3 is a cross-section of additional fabrication steps in the fabrication of three-dimensional integrated circuit according to embodiments of the present.
  • an electrically conductive contact 440 is formed to one of FETs 240 and an ILD wiring set 445 is formed on dielectric layer 235 .
  • ILD wiring level set 445 includes wires 450 and a terminal pad 455 .
  • ILD wiring set 445 is illustrated having two ILD wiring levels. ILD wiring level set 445 may include more or less ILD levels (down to one level containing wires/terminal pads 455 ) or as many levels as required by the integrated circuit design.
  • the ILD wiring levels of ILD wiring set 445 are, by way of example, damascene and dual-damascene ILD levels formed by damascene and dual-damascene processes.
  • Contact 440 is illustrated as a damascene contact.
  • One wire 450 connects contact 440 to contact 420 .
  • a three-dimensional integrated circuit is formed comprising FETs 240 and FETs 345 .
  • ILD wiring level set may be formed over dielectric layer 235 of FIG. 2C to generate a structure similar to that illustrated in FIG. 3 , but where the upper substrate is a bulk silicon substrate instead of an SOI substrate.
  • silicon layer 215 and BOX 210 is an SOI substrate and silicon layer 315 and BOX is an SOI substrate. It should be understood that substrate 300 may be replaced with a bulk silicon substrate.
  • the embodiments provide a process methodology for deep vias and semiconductor devices using deep via structures that have profiles that are less susceptible to metal fill problems.

Abstract

A method of forming a high aspect ratio via opening through multiple dielectric layers, a high aspect ratio electrically conductive via, methods of forming three-dimension integrated circuits, and three-dimensional integrated circuits. The methods include forming a stack of at least four dielectric layers and etching the first and third dielectric layers with processes selective to the second and fourth dielectric layers, etching the second and third dielectric layers with processes selective to the first and second dielectric layers. Advantageously the process used to etch the third dielectric layer is not substantially selective to the first dielectric layer.

Description

    RELATED APPLICATIONS
  • This Application is related to application Ser. No. ______ filed on ______.
  • FIELD OF THE INVENTION
  • The present invention relates to the field of integrated circuits; more specifically, it relates to structures of and methods for fabricating ultra-deep vias in integrated circuits and structures of and methods for fabricating three-dimensional integrated circuits.
  • BACKGROUND OF THE INVENTION
  • In order to reduce the footprint and improve the speed of integrated circuits various three-dimensional integrated circuit structures have been proposed. Traditional integrated circuit structures have been two dimensional, in that all the active devices have been formed in a same plane in a same semiconductor layer. Three-dimensional integrated circuits utilize vertically stacked semiconductor layers with active devices formed in each of the stacked semiconductor layers.
  • The fabrication of three-dimensional integrated circuits poses many challenges particularly in the methodology for interconnecting devices in the different semiconductor layers together. The total depth of these interconnects can exceed 1.5 um with diameters in the sub 0.2 um range. It is difficult to fill vias having such large depth to width aspect ratios with high quality, defect free metal. In particular, the metal fill of large aspect ratio and very deep vias often contain voids which can increase the resistance of the via and result in yield loss as well as reduce the reliability of the device. Accordingly, there exists a need in the art to overcome the deficiencies and limitations described hereinabove.
  • SUMMARY OF THE INVENTION
  • A first aspect of the present invention is a method, comprising: forming an etch stop layer on a top surface of a substrate; forming a first dielectric layer on a top surface of the etch stop layer; forming a profile modulation layer on a top surface of the first dielectric layer; forming a second dielectric layer on a top surface of the profile modulation layer; forming a photo-imaging layer on a top surface of the second dielectric layer; forming an opening in the photo-imaging layer, a region of the top surface of the second dielectric layer exposed in a bottom of the opening; reactive ion etching the second dielectric layer with a first etch chemistry selective to the profile modulation layer to form an opening through the second dielectric layer; reactive ion etching the profile modulation layer with a second etch chemistry selective to the first and second dielectric layers to extend the opening through the profile modulation layer; reactive ion etching the first dielectric layer with a third etch chemistry selective to the profile modulation layer and selective to the etch stop layer to extend the opening through the first dielectric layer; reactive ion etching the etch stop layer with a fourth etch chemistry selective to the first and second dielectric layers to extend the opening through the etch stop layer; and removing the photo-imaging layer, after the removing the photo-imaging layer, the opening extending from the top surface of the second dielectric layer, through the second dielectric layer, through the profile modulation layer, through the first dielectric layer and through the etch stop layer to the top surface of the substrate.
  • A second aspect of the present invention is the first aspect, wherein the third etch chemistry is not selective to the second dielectric layer.
  • A third aspect of the present invention is the first aspect, wherein the first and third etch chemistries are a same chemistry.
  • A fourth aspect of the present invention is the first aspect, wherein the second and fourth etch chemistries are a same chemistry.
  • A fifth aspect of the present invention is the first aspect, wherein the removing the photo-imaging layer is performed between the reactive ion etching the first dielectric layer and the reactive ion etching the etch stop layer.
  • A sixth aspect of the present invention is the first aspect, wherein the first dielectric layer and second dielectric layer comprise silicon oxide and the profile modulation layer and the etch stop layer comprise silicon nitride.
  • A seventh aspect of the present invention is the first aspect, wherein: a first width of the opening measured in first direction parallel to the top surface of the second dielectric layer at the top surface of the second dielectric layer is greater than a second width of the opening measured in the first direction at the top surface of the profile modulation layer and greater than a third width of the opening measured in the first direction at the top surface of the substrate, the second width greater than or equal to the third width; and wherein a ratio of a depth of the opening measured in a second direction perpendicular to the first direction from the top surface of the second dielectric layer to the top surface of the substrate to the first width is equal to or greater than five.
  • An eighth second aspect of the present invention is the first aspect, further including: after the removing the photo-imaging layer, filling the opening with the electrical conductor.
  • A ninth aspect of the present invention is the eighth aspect, wherein the filling the opening with an electrical conductor comprises: depositing a tantalum nitride layer over sidewalls and a bottom of the opening; depositing a tantalum layer on the tantalum nitride layer; depositing a seed copper layer the tantalum layer; electroplating an electroplated copper layer on the seed copper layer, the electroplated copper layer completely filling remaining spaces in the opening; and performing a chemical-mechanical-polish to remove the tantalum nitride layer, the tantalum layer, the seed copper layer and the electroplated copper layer from over the top surface of the second dielectric layer.
  • A tenth aspect of the present invention is the first aspect, wherein the photo-imaging layer includes a photoresist layer over an antireflective coating on the top surface of the first dielectric layer and the forming the opening in the photo-imaging layer comprises exposing the photoresist layer to actinic radiation through a patterned photomask, developing the exposed photoresist layer and reactive ion etching the antireflective coating with an initial etch chemistry where the anti-reflective coating is not protected by the photoresist layer.
  • An eleventh second aspect of the present invention is the tenth aspect, wherein the initial etch chemistry is selective to the photoresist layer and the first dielectric layer and wherein the initial, second and fourth etch chemistries are a same chemistry.
  • A twelfth aspect of the present invention is a structure comprising: forming a first substrate, the first substrate including: first transistors electrically connected to a set of wiring levels, each wiring level including electrically conductive wires in a respective dielectric layer; an etch stop layer on a top surface of an uppermost wiring level of the set of wiring levels that is furthest from the substrate, the etch stop layer in contact with a wire of the uppermost wiring level; and a first dielectric bonding layer on a top surface of the etch stop layer; forming a second substrate, the second substrate including: a second dielectric bonding layer; a buried oxide layer on a top surface of the second dielectric bonding layer; a semiconductor layer on a top surface of the buried oxide layer, the semiconductor layer including second transistors electrically isolated from each other by dielectric isolation in the silicon layer; a profile modulation layer on a top of the silicon layer and on a top surface of the dielectric isolation; and a first dielectric layer on a top surface of the profile modulation layer; bonding a top surface of the first dielectric bonding layer to a bottom surface of the second dielectric bonding layer, the first and second dielectric bonding layers, the buried oxide layer and the dielectric isolation comprising a multilayer second dielectric layer; forming a photo-imaging layer on a top surface of the first dielectric layer; forming an opening in the photo-imaging layer, a region of the top surface of the first dielectric layer exposed in a bottom of the opening; reactive ion etching the first dielectric layer with a first etch chemistry selective to the profile modulation layer to form an opening through the first dielectric layer; reactive ion etching the profile modulation layer with a second etch chemistry selective to the first and second dielectric layers to extend the opening through the profile modulation layer; reactive ion etching the second dielectric layer with a third etch chemistry selective to the profile modulation layer and selective to the etch stop layer to extend the opening through the second dielectric layer; reactive ion etching the etch stop layer with a fourth etch chemistry selective to the first and second dielectric layers and to the wire to extend the opening through the etch stop layer; removing the photo-imaging layer, after the removing the photo-imaging layer the opening extending from the top surface of the first dielectric layer, through the profile modulation layer, through the second dielectric layer, through the second dielectric layer and through the etch stop layer to a top surface of the wire; and filling the opening with an electrical conductor, the electrical conductor in electrical contact with the wire.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:
  • FIGS. 1A through 1J are cross-sections of the fabrication of an exemplary electrically conductive via according to embodiments of the present invention;
  • FIGS. 2A through 2C are cross-sections of the fabrication of a first exemplary three dimensional integrated circuit according to embodiments of the present invention; and
  • FIG. 3 is a cross-section of additional fabrication steps in the fabrication of three-dimensional integrated circuit according to embodiments of the present.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIGS. 1A through 1J are cross-sections of the fabrication of an exemplary electrically conductive via according to embodiments of the present invention. In FIG. 1A, formed in a semiconductor substrate 100 is a metal wire 105. Formed on a top surface 110 of substrate 100 is a dielectric etch stop layer 115. Formed on top of etch stop layer 115 is a first dielectric layer 120. Formed on first dielectric layer 120 is a second dielectric layer 125. Formed on second dielectric layer 125 is a third dielectric layer 130. Formed on top of third dielectric layer 130 is a profile modulation layer 135. Formed on profile modulation layer 135 is a fourth dielectric layer 140. Semiconductor substrate 100 may comprise, for example, Si, SiGe, Ge, GaAs or InP.
  • The stack of dielectric materials consisting of dielectric etch stop layer 115, first dielectric layer 120, second dielectric layer 125, third dielectric layer 130, profile modulation layer 135 and fourth dielectric layer 140 simulates a structure that conductive vias are formed through in fabrication of a three-dimensional integrated circuit according to embodiments of the present invention described infra. Therefore in one example, etch stop layer 115 and first dielectric layer 120 represent layers on a lower semiconductor substrate and second dielectric layer 125, third dielectric layer 130, profile modulation layer 135 and fourth dielectric layer 140 represent layers on an upper semiconductor layers with first and second dielectric layers 120 and 125 representing oxide bonding layers that bond the two substrates together. Third dielectric layer 130 represents a dielectric trench isolation (TI) or dielectric shallow trench isolation (STI) on a buried oxide layer (BOX) of a silicon-on-insulator (SOI) substrate.
  • In accordance with the simulation of a three-dimensional integrated circuit according to embodiments of the present invention, etch stop layer 115 is silicon nitride and in one example is about 500 Å thick, first dielectric layer 120 is low temperature silicon oxide (LTO) and in one example is between about 2500 Å and about 3500 Å thick, second dielectric layer 125 is LTO and in one example is between about 2500 Å and about 3500 Å thick, third dielectric layer 130 is high density plasma silicon (HDP) oxide thermal oxide and in one example is about 3600 Å thick, profile modulation layer 135 is silicon nitride and in one example is about 500 Å thick and fourth dielectric layer 140 is HDP oxide and in one example is about 4700 Å thick. In one example, metal wire 105 comprises copper. The HDP oxide of third dielectric layer 130 and fourth dielectric layer 140 may be independently replaced with plasma enhanced chemical vapor deposition (PECVD) oxide, ultrahigh density plasma (UHP) oxide, tetraethoxysilane (TEOS) oxide or spin-on-oxide. The silicon nitride of etch stop layer 115 and profile modulation layer 135 may be independently replaced with silicon carbide, silicon oxy nitride, silicon oxy carbide or Nblock (SiCNH). In oxide fusion bonding applications, first and second dielectric layer are LTO, but in other application may be independently thermal oxide, HDP oxide, PECVD oxide, UDP oxide, TEOS oxide or spin-on-oxide. In one example, thicknesses of etch stop layer 115 and profile modulation layer 135 are independently about 5 times less than a thickness of either fourth dielectric layer 140 or a combined thickness of first, second and third dielectric layers 120, 125 and 130.
  • An LTO oxide is a silicon oxide that is formed at temperatures below about 350° C. In one example, LTO oxides are formed using N2O in a plasma enhanced chemical vapor deposition (PECVD) process. An HDP oxide are specifically prepared to be fusion bonded to each other.
  • First second, third and fourth dielectric layers 120, 125, 130 and 140 are advantageously first similar materials (e.g., silicon oxides) and etch stop layer 115 and profile modulation layer 135 are advantageously second similar materials (e.g. silicon nitrides), where the second materials may be selectively plasma etched relative to the first materials.
  • In FIG. 1B, an optional antireflective coating (ARC) 145 is formed on fourth dielectric layer and a photoresist layer 150 formed on top of the ARC. An opening 155 is formed in photoresist layer 150 photolithographically by exposing photoresist layer 150 to actinic radiation through a patterned photomask and then developing the photoresist layer to transfer the pattern of the photomask into the photoresist layer. A region of ARC 145 is exposed in the bottom of opening 155. ARC 145 is a bottom ARC or BARC since it is formed under photoresist layer 150. A top ARC (TARC) formed over the photoresist may be substituted or both a TARC and BARC may be used. The combination of a photoresist layer and an ARC (i.e., BARC, TARC or both BARC and TARC) is defined as a photo-imaging layer.
  • In FIG. 1C, the region of ARC 145 exposed in opening 155 of FIG. 1B is removed using a reactive ion etch (RIE) that etches ARC 145 faster than photoresist layer 150 (i.e., ARC 145 is RIE'd selective to photoresist layer 150) to expose a region of fourth dielectric layer 140 in the bottom of an opening 155A. An example RIE process for etching ARC 145 includes etching with a mixed CF4/CHF3/Ar/O2 gas derived plasma.
  • In FIG. 1D, the region of fourth dielectric layer 140 exposed in opening 155A of FIG. 1C is removed using an RIE that etches fourth dielectric layer 140 faster than profile modulation layer 135 (i.e., fourth dielectric layer 140 is RIE'd selective to profile modulation layer 135) to expose a region of the profile modulation layer in the bottom of an opening 155B. Note, photoresist layer 150 and ARC 145 are eroded by the fourth dielectric layer 140 RIE etch. The opening in the top surface of photoresist layer 150 is larger than the opening in the bottom surface of the photoresist layer. An example RIE process for etching fourth dielectric layer includes etching with a mixed CO/C4F8/Ar gas derived plasma. This chemistry (at the proper bias, forward and reverse power, pressure and gas flows) etches silicon oxide about 25 times faster than silicon nitride.
  • In FIG. 1E, the region of profile modulation layer 135 exposed in opening 155B of FIG. 1D is removed using an RIE that etches profile modulation layer 135 faster than third dielectric layer 130 (i.e., profile modulation layer 135 is RIE'd selective to third dielectric layer 130) to expose a region of the third dielectric layer in the bottom of an opening 155C. An example RIE process for etching profile modulation layer includes etching with a mixed CHF3/CF4/Ar gas derived plasma. This chemistry (at the proper bias, forward and reverse power, pressure and gas flows) etches silicon nitride about 4 times faster than silicon oxide. It is advantageous to keep profile modulation layer 135 (and etch stop layer 115) as thin as possible.
  • In FIG. 1F, the region of third dielectric layer 130 exposed in opening 155C of FIG. 1E is removed along with regions of second and first dielectric layers 125 and 120 aligned under opening 155C of FIG. 1E using an RIE that etches third, second and first dielectric layers 130, 125 and 120 faster than etch stop layer 115 and profile modulation layer 135 (i.e., third dielectric layer 130 is RIE'd selective to etch stop layer 115 and profile modulation layer 135) to expose a region of the etch stop layer in the bottom of an opening 155D. An example RIE process for etching third, second and first dielectric layers 130, 125 and 120 includes etching with a mixed CO/C4F8/Ar gas derived plasma. Note, photoresist layer 150 and ARC 145 are further eroded by the third dielectric layer 130, second dielectric layer 125 and first dielectric 120 RIE etches. This etch is not selective to fourth dielectric layer 140 and in combination with the further erosion of photoresist layer 150 and ARC 145, a tapered upper region 160 of opening 155D is formed in the region of opening 155D formed through fourth dielectric layer 140. The sidewall of opening 155D in region 160 taper at an angle “a” measured between the sidewall and a plane parallel to top surface 110 of substrate 100. A lower region 165 of opening 155D is formed through profile modulation layer 135 and third, second and first dielectric layers 130, 125 and 120. The sidewall of opening 155D in region 165 is at an angle “b” measured between the sidewall and a plane parallel to top surface 110 of substrate 100. Opening 155D has width W1 measured at the top surface of fourth dielectric layer 140, a width W2 measured at a top surface of profile modulation layer 135 and a width W3, measured at a top surface of etch stop layer 115. W1 is greater than W2. In one example W1 is about 0.28 microns and W3 is about 0.16 microns.
  • In one example, W2 is equal to W3 and angle “b” is between about 87° and no greater than 90°. In one example W2 is greater than W3, however angle “b” is less than angle “a.” Again, the presence of profile modulation layer 135 allows the widening of opening 155D at the top surface of fourth dielectric layer 140 in upper region 160 due to the controlled erosion of photoresist layer 150 while facilitating formation of a straight or sidewall in lower region 165. Without profile modulation layer 135, either opening 155D would be to narrow at the top to be filled with metal without incorporating large voids in the metal fill, or the value of W1 would need to be much greater to maintain the same value of W3 obtained with the presence of the profile modulation layer.
  • In FIG. 1G, photoresist layer 150 and arc 145 (See FIG. 1F) are removed using an oxygen ash process (i.e., O2 plasma etch). Alternatively, this step may be performed after the process illustrated in FIG. 1H. It is advantageous to perform the photoresist removal step with etch stop layer 115 intact to prevent the photoresist removal process from oxidizing wire 105 particularly when wire 105 comprises copper.
  • In FIG. 1H, the region of etch stop layer 115 exposed in opening 155D of FIG. 1G is removed using an RIE that etches stop layer 115 faster than first, second, third dielectric layers 120, 125 and 130 (i.e., etch stop layer 115 is RIE'd selective to first, second and third dielectric layers 120, 125 and 130, metal wire 105 and optionally fourth dielectric layer 140) to expose a region of metal wire 105 in the bottom of an opening 155E. An example RIE process for etching etch stop layer 115 includes etching with a mixed CF4/CHF3/Ar/O2 gas derived plasma. Region 160 has a height H1 measured from the top surface of fourth dielectric layer 140 to the top surface of profile modulation layer 135 in a direction perpendicular to the top surface of wire 105 in substrate 100. Region 165 has a height H2 measured from the top surface of profile modulation layer 134 to the top surface of wire 105 in substrate 100 in a direction perpendicular to the top surface of wire 105 in substrate 100. In one example H1 is about 0.4 microns and H2 is between about 1 micron an and about 1.6 microns for total opening depth (i.e., H1+H2) of between about 1.4 microns and about 2.0 microns. With a value of W3 (see FIG. 1F) of about 0.16 microns the depth to width ratio of opening 155E is between about 1.4/0.16 about 8.75 and about 2.0/0.16=about 12.5. In one example, H1+H2 is equal to or greater than about 1 micron. In one example, H1+H2 is equal to or greater than about 2 microns. In one example (H1+H2)/W1 is greater than or equal to 5. In one example (H1+H2)/W1 is greater than or equal to 8.
  • In FIG. 1I, an optional direct current (DC) clean (e.g., sputter cleaning with an inert gas) is performed followed by formation of an electrically conductive liner 170 on the sidewall of opening 155E and top surface of fourth dielectric layer 140 followed by overfilling the opening 155E with an electrically conductive core conductor 175. In one example, conductive liner 170 comprises, in the order of deposition, a layer of TaN, a layer of Ta and a layer of Cu and core conductor 175 comprises electroplated copper.
  • In FIG. 1J, a chemical-mechanical-polish (CMP) is performed to remove liner 170 and core conductor 175 from over fourth dielectric layer 140 to form an electrically conductive via 180 extending from a top surface 185 of the fourth dielectric layer to a top surface of wire 105 (making electrical contact with wire 105). After the CMP, a top surface 190 of via 180 is coplanar with top surface 185 of fourth dielectric layer 140.
  • It should be understood in the simplest form, embodiments of the present invention may be practiced on a dielectric stack where first, second and third dielectric layers 120, 125 and 130 of FIG. 1 are replaced with a single dielectric layer. In other embodiments, their may be more than three dielectric layers in the stack represented by first, second and third dielectric layers 120, 125 and 130 of FIG. 1, though they should all be similar materials (e.g., silicon oxides) or have similar selectivity's to the RIE used to etch stop and profile modulation layers.
  • FIGS. 2A through 2C are cross-sections of the fabrication of a first exemplary three-dimensional integrated circuit according to embodiments of the present invention. In FIG. 2A, an upper semiconductor substrate 200 includes a silicon oxide bonding layer 205, a BOX layer 210 on the bonding layer, a semiconductor layer 215 including semiconductor regions 220 and STI 225 formed in the semiconductor layer, a profile modulation layer 230 on top of semiconductor layer 215 and a dielectric layer 235 on the passivation layer. Exemplary, field effect transistors (FETs) 240 comprising source/drains (S/D) formed in semiconductor regions 220 and gates formed over the silicon regions between the S/Ds are formed in substrate 200. Semiconductor layer 215 may comprise, for example, Si, SiGe, Ge, GaAs or InP.
  • Etch stop layer may also serve as a diffusion barrier layer for copper and/or as a passivation layer.
  • A substrate 300 includes a semiconductor base later 305, a BOX layer 310 on the base silicon layer, a semiconductor layer 315 including semiconductor regions 320 and STI 325 formed in the silicon layer, an interlevel dielectric (ILD) wiring set 330 including contacts 335 and wires 340 and 350 formed in respective dielectric layers of dielectric layers 355 of ILD wiring set 330. Semiconductor base layer 305 may comprise, for example, Si, SiGe, Ge, GaAs or InP. Semiconductor layer 315 may comprise, for example, Si, SiGe, Ge, GaAs or InP.
  • An ILD wiring level comprises a dielectric layer and one or more wires, vias or contacts embedded therein. ILD wiring set 330 is illustrated having three ILD wiring levels. ILD wiring set 330 may include more or less ILD levels (down to one level containing contacts 335) or as many levels as required by the integrated circuit design. The ILD wiring levels of ILD wiring set 330 are, by way of example, damascene and dual-damascene ILD levels formed by damascene and dual-damascene processes.
  • A damascene process is one in which wire trenches or via openings are formed in a dielectric layer, an electrical conductor of sufficient thickness to fill the trenches is deposited on a top surface of the dielectric, and a chemical-mechanical-polish (CMP) process is performed to remove excess conductor and make the surface of the conductor co-planar with the surface of the dielectric layer to form damascene wires (or damascene vias). When only a trench and a wire (or a via opening and a via) is formed the process is called single-damascene.
  • A dual-damascene process is one in which via openings are formed through the entire thickness of a dielectric layer followed by formation of trenches part of the way through the dielectric layer in any given cross-sectional view. All via openings are intersected by integral wire trenches above and by a wire trench below, but not all trenches need intersect a via opening. An electrical conductor of sufficient thickness to fill the trenches and via opening is deposited on a top surface of the dielectric and a CMP process is performed to make the surface of the conductor in the trench co-planar with the surface the dielectric layer to form dual-damascene wires and dual-damascene wires having integral dual-damascene vias.
  • Returning to FIG. 2A, exemplary, field effect transistors (FETs) 345 comprising source/drains (S/D) formed in semiconductor regions 320 and gates formed over the silicon regions between the S/Ds are formed in substrate 300. Contacts 335 and wires 340 electrically connect FETs 345 into circuits or portions of circuits. Substrate 300 further includes an etch stop layer 360 on top of ILD wiring set 355 and a silicon oxide bonding layer 365 on the etch stop layer. Bonding layers 205 and 365 bond substrates 200 and 300 into a single structure. The bonding process includes placing the bonding layers 205 and 365 in contact at a temperature above room temperature but below, for example, 350° C.
  • In one example, dielectric layers 235, 355 and STI 225 are independently selected from the group consisting of thermal oxide, HDP oxide, PECVD oxide, UDP oxide, TEOS oxide and spin-on-oxide, and bonding layers 205 and 365 are LTO. In one example profile modulation layer 230 and etch stop layer 360 are independently selected from the group consisting of silicon nitride, silicon carbide, silicon oxy nitride or silicon oxy carbide. In a second example, dielectric layers 235, 355 and STI 225 and bonding layers 205 and 365 are advantageously first similar materials (e.g., silicon oxides) and etch stop layer 360 and profile modulation layer 230 are advantageously second similar materials (e.g. silicon nitrides), where the first and second materials may be selectively plasma etched relative to each other. In one example, dielectric layer 235 is between about 2500 Å and about 7500 Å thick. In one example, profile modulation layer 230 is between about 250 Å and about 1000 Å thick. In one example, STI 225 is between about 1500 Å and about 2500 Å thick. In one example, BOX layer 210 is between about 1500 Å and about 2500 Å thick. In one example, bonding layer 210 is between about 2500 Å and about 3500 Å thick. In one example, bonding layer 365 is between about 2500 Å and about 3500 Å thick. In one example, etch stop layer 360 is between about 250 Å and about 1000 Å thick.
  • Substrate 200 may be formed from an SOI substrate by removal of the semiconductor (e.g., silicon) base layer under BOX layer 210 after formation of FETs 240 followed by a deposition of a layer of LTO to form bonding layer 205 on BOX layer 225. Substrate 300 may be formed from an SOI substrate complete with ILD wiring set 330 followed by deposition of etch stop layer 360 and a deposition of a layer of LTO to form bonding layer 365.
  • In FIG. 2A, a photoresist layer 400 is formed on dielectric layer and patterned to form an opening 405 in the photoresist layer in a manner similar to that described supra for opening 155 in photoresist 150 of FIG. 1B. While no ARC (TARC or BARC) is illustrated in FIG. 2A, an ARC (TARC and/or BARC) may be used.
  • In FIG. 2B, an opening 410 is formed through dielectric layer 235, profile modulation layer 230, STI layer 225, BOX layer 210, bonding layers 205 and 365 and etch stop layer 360 to expose a top surface of wire 350. Then photoresist layer 400 (see FIG. 2A) is removed. The methodology is similar to that described supra with respect to the formation of opening 155E of FIG. 1H. First dielectric layer 235 is RIE'd selective to profile modulation layer 230 using for example, a mixed CO/C4F8/Ar gas derived plasma when dielectric layer 235 is silicon oxide and profile modulation layer 230 is silicon nitride. This chemistry (at the proper bias, forward and reverse power, pressure and gas flows) etches silicon oxide about 25 times faster than silicon nitride. Second, profile modulation layer 230 is RIE'd selective to dielectric layer 235 and STI 225, using, for example; a mixed CHF3/CF4/Ar gas derived plasma when dielectric layers 235 and STI 225 are silicon dioxide and profile modulation layer is silicon nitride. This chemistry (at the proper bias, forward and reverse power, pressure and gas flows) etches silicon nitride about 4 times faster than silicon oxide. It is advantageous to keep profile modulation layer 230 (and etch stop layer 360) as thin as possible. Third, STI 235, BOX layer 210, bonding layers 205 and 365 are RIE'd selective profile modulation layer 230 and etch stop layer 360 using, for example, a mixed CO/C4F8/Ar gas derived plasma when STI 235, BOX layer 210, bonding layers 205 and 365 are silicon oxide and profile passivation layer 230 and etch stop layer 360 are silicon nitride. The third RIE process is not selective to dielectric layer 235 so opening 410 has a tapered profile in dielectric layer 235, a substantially straight or slightly tapered profile in STI 225, BOX 210, and bonding layers 205 and 365 (compared to the taper of opening 410 in dielectric layer 235) because of the presence of profile modulation layer 230. Fourth, photoresist layer 400 (see FIG. 2A) is removed using an oxygen ash process. Fifth, etch stop layer 360 is RIE'd selective to dielectric layer 235. STI 225, BOX layer 210 and bonding layers 205 and 365 using, for example, a mixed CF4/CHF3/Ar/O2 gas derived plasma when etch stop layer 360 and profile modulation layer 230 are silicon nitride and dielectric layer 210, STI 225, BOX layer 225 and bonding layers 205 and 365 are silicon oxide. Sixth an optional DC clean using N2 and H2 (i.e. a mixed N2/H2 gas derived plasma etch) is performed.
  • In FIG. 2C, opening 410 (see FIG. 2B) is filled with an electrical conductor for an electrically conductive via 420 in electrical contact with wire 350. In one example, via 420 is formed by deposition of an electrically conductive liner on the sidewall of opening 410 (see FIG. 2B) and top surface of dielectric layer 235 followed by overfilling the opening with an electrically conductive core conductor. In one example, the conductive liner comprises, in the order of deposition, a layer of TaN, a layer of Ta and a layer of Cu and the core conductor comprises electroplated copper. After filling the opening a CMP is performed to remove the liner and core conductor from over dielectric layer 235 to form the via 420 extending from a top surface 425 of dielectric layer 235 to a top surface of wire 350. After the CMP, a top surface 430 of via 420 is coplanar with top surface 425 of dielectric layer 235. Thus via 420 is a damascene via.
  • Electrically conductive contacts (not shown) may be made through dielectric layer 235 to the S/Ds and gates of FETs 240. Alternatively, the contacts may be formed prior to formation of photoresist layer 400 (see FIG. 2A). Additional interlevel dielectric layer containing wires may be formed on top of dielectric layer 235, the wires therein electrically connecting via 420 to FETs 240 and FETs 345 into circuits. See FIG. 34.
  • FIG. 3 is a cross-section of additional fabrication steps in the fabrication of three-dimensional integrated circuit according to embodiments of the present. In FIG. 3, an electrically conductive contact 440 is formed to one of FETs 240 and an ILD wiring set 445 is formed on dielectric layer 235. ILD wiring level set 445 includes wires 450 and a terminal pad 455. ILD wiring set 445 is illustrated having two ILD wiring levels. ILD wiring level set 445 may include more or less ILD levels (down to one level containing wires/terminal pads 455) or as many levels as required by the integrated circuit design. The ILD wiring levels of ILD wiring set 445 are, by way of example, damascene and dual-damascene ILD levels formed by damascene and dual-damascene processes. Contact 440 is illustrated as a damascene contact. One wire 450 connects contact 440 to contact 420. Thus a three-dimensional integrated circuit is formed comprising FETs 240 and FETs 345. It should be understood that ILD wiring level set may be formed over dielectric layer 235 of FIG. 2C to generate a structure similar to that illustrated in FIG. 3, but where the upper substrate is a bulk silicon substrate instead of an SOI substrate.
  • In both the examples of FIGS. 2A through 2C and 3, silicon layer 215 and BOX 210 is an SOI substrate and silicon layer 315 and BOX is an SOI substrate. It should be understood that substrate 300 may be replaced with a bulk silicon substrate.
  • Thus the embodiments provide a process methodology for deep vias and semiconductor devices using deep via structures that have profiles that are less susceptible to metal fill problems.
  • The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore, it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention.

Claims (22)

1. A method, comprising:
forming an etch stop layer on a top surface of a substrate;
forming a first dielectric layer on a top surface of said etch stop layer;
forming a profile modulation layer on a top surface of said first dielectric layer;
forming a second dielectric layer on a top surface of said profile modulation layer;
forming a photo-imaging layer on a top surface of said second dielectric layer;
forming an opening in said photo-imaging layer, a region of said top surface of said second dielectric layer exposed in a bottom of said opening;
reactive ion etching said second dielectric layer with a first etch chemistry selective to said profile modulation layer to form an opening through said second dielectric layer;
reactive ion etching said profile modulation layer with a second etch chemistry selective to said first and second dielectric layers to extend said opening through said profile modulation layer;
reactive ion etching said first dielectric layer with a third etch chemistry selective to said profile modulation layer and selective to said etch stop layer to extend said opening through said first dielectric layer;
reactive ion etching said etch stop layer with a fourth etch chemistry selective to said first and second dielectric layers to extend said opening through said etch stop layer; and
removing said photo-imaging layer, after said removing said photo-imaging layer, said opening extending from said top surface of said second dielectric layer, through said second dielectric layer, through said profile modulation layer, through said first dielectric layer and through said etch stop layer to said top surface of said substrate.
2. The method of claim 1, wherein said third etch chemistry is not selective to said second dielectric layer.
3. The method of claim 1, wherein said first and third etch chemistries are a same chemistry.
4. The method of claim 1, wherein said second and fourth etch chemistries are a same chemistry.
5. The method of claim 1, wherein said removing said photo-imaging layer is performed between said reactive ion etching said first dielectric layer and said reactive ion etching said etch stop layer.
6. The method of claim 1, wherein said first dielectric layer and second dielectric layer comprise silicon oxide and said profile modulation layer and said etch stop layer comprise silicon nitride.
7. The method of claim 1, wherein:
a first width of said opening measured in first direction parallel to said top surface of said second dielectric layer at said top surface of said second dielectric layer is greater than a second width of said opening measured in said first direction at said top surface of said profile modulation layer and greater than a third width of said opening measured in said first direction at said top surface of said substrate, said second width greater than or equal to said third width; and
wherein a ratio of a depth of said opening measured in a second direction perpendicular to said first direction from said top surface of said second dielectric layer to said top surface of said substrate to said first width is equal to or greater than five.
8. The method of claim 1, further including:
after said removing said photo-imaging layer, filling said opening with said electrical conductor.
9. The method of claim 8, wherein said filling said opening with an electrical conductor comprises:
depositing a tantalum nitride layer over sidewalls and a bottom of said opening;
depositing a tantalum layer on said tantalum nitride layer;
depositing a seed copper layer said tantalum layer;
electroplating an electroplated copper layer on said seed copper layer, said electroplated copper layer completely filling remaining spaces in said opening; and
performing a chemical-mechanical-polish to remove said tantalum nitride layer, said tantalum layer, said seed copper layer and said electroplated copper layer from over said top surface of said second dielectric layer.
10. The method of claim 1, wherein said photo-imaging layer includes a photoresist layer over an antireflective coating on said top surface of said first dielectric layer and said forming said opening in said photo-imaging layer comprises exposing said photoresist layer to actinic radiation through a patterned photomask, developing said exposed photoresist layer and reactive ion etching said antireflective coating with an initial etch chemistry where said anti-reflective coating is not protected by said photoresist layer.
11. The method of claim 10, wherein said initial etch chemistry is selective to said photoresist layer and said first dielectric layer and wherein said initial, second and fourth etch chemistries are a same chemistry.
12. A method, comprising:
forming a first substrate, said first substrate including:
first transistors electrically connected to a set of wiring levels, each wiring level including electrically conductive wires in a respective dielectric layer;
an etch stop layer on a top surface of an uppermost wiring level of said set of wiring levels that is furthest from said substrate, said etch stop layer in contact with a wire of said uppermost wiring level; and
a first dielectric bonding layer on a top surface of said etch stop layer;
forming a second substrate, said second substrate including:
a second dielectric bonding layer;
a buried oxide layer on a top surface of said second dielectric bonding layer;
a semiconductor layer on a top surface of said buried oxide layer, said semiconductor layer including second transistors electrically isolated from each other by dielectric isolation in said silicon layer;
a profile modulation layer on a top of said silicon layer and on a top surface of said dielectric isolation; and
a first dielectric layer on a top surface of said profile modulation layer;
bonding a top surface of said first dielectric bonding layer to a bottom surface of said second dielectric bonding layer, said first and second dielectric bonding layers, said buried oxide layer and said dielectric isolation comprising a multilayer second dielectric layer;
forming a photo-imaging layer on a top surface of said first dielectric layer;
forming an opening in said photo-imaging layer, a region of said top surface of said first dielectric layer exposed in a bottom of said opening;
reactive ion etching said first dielectric layer with a first etch chemistry selective to said profile modulation layer to form an opening through said first dielectric layer;
reactive ion etching said profile modulation layer with a second etch chemistry selective to said first and second dielectric layers to extend said opening through said profile modulation layer;
reactive ion etching said second dielectric layer with a third etch chemistry selective to said profile modulation layer and selective to said etch stop layer to extend said opening through said second dielectric layer;
reactive ion etching said etch stop layer with a fourth etch chemistry selective to said first and second dielectric layers and to said wire to extend said opening through said etch stop layer;
removing said photo-imaging layer, after said removing said photo-imaging layer said opening extending from said top surface of said first dielectric layer, through said profile modulation layer, through said second dielectric layer, through said second dielectric layer and through said etch stop layer to a top surface of said wire; and
filling said opening with an electrical conductor, said electrical conductor in electrical contact with said wire.
13. The method of claim 12, wherein said third etch chemistry is not selective to said first dielectric layer.
14. The method of claim 12, wherein said first and third etch chemistries are a same chemistry.
15. The method of claim 12, wherein said second and fourth etch chemistries are a same chemistry.
16. The method of claim 12, wherein said removing said photo-imaging layer is performed between said reactive ion etching said second dielectric layer and said reactive ion etching said etch stop layer.
17. The method of claim 12, wherein said first and second dielectric bonding layers, said buried oxide layer and said first and second dielectric layers comprise silicon oxide and said profile modulation layer and said etch stop layer comprise silicon nitride.
18. The method of claim 12, wherein:
a first width of said opening measured in first direction parallel to said top surface of said second dielectric layer at said top surface of said second dielectric layer is greater than a second width of said opening measured in said first direction at said top surface of said profile modulation layer and greater than a third width of said opening measured in said first direction at a top surface of said substrate, said second width greater than or equal to said third width; and
wherein a ratio of a depth of said opening measured in a second direction perpendicular to said first direction from said top surface of said second dielectric layer to said top surface of said substrate to said first width is equal to or greater than five.
19. The method of claim 12, wherein said filling said opening with an electrical conductor comprises:
depositing a tantalum nitride layer over sidewalls and a bottom of said opening;
depositing a tantalum layer on said tantalum nitride layer;
depositing a seed copper layer said tantalum layer;
electroplating an electroplated copper layer on said seed copper layer, said electroplated copper layer completely filling remaining spaces in said opening; and
performing a chemical-mechanical-polish to remove said tantalum nitride layer, said tantalum layer, said seed copper layer and said electroplated copper layer from over said top surface of said second dielectric layer.
20. The method of claim 12, wherein said photo-imaging layer includes a photoresist layer over an antireflective coating on said top surface of said first dielectric layer and said forming said opening in said photo-imaging layer comprises exposing said photoresist layer to actinic radiation through a patterned photomask, developing said exposed photoresist layer and reactive ion etching said antireflective coating with an initial etch chemistry where said anti-reflective coating is not protected by said photoresist layer.
21. The method of claim 20, wherein said initial etch chemistry is selective to said photoresist layer and said first dielectric layer and wherein said initial, second and fourth etch chemistries are a same chemistry.
22. The method of claim 12, further including:
forming an electrically conductive contact through said first dielectric layer to a transistor of said second transistors of said second substrate; and
forming an additional set of wiring levels on said top surface of said first dielectric layer, wires in said additional set of wires electrically connecting said electrical conductor in said opening to said contact through said first dielectric layer.
US11/853,139 2007-09-11 2007-09-11 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias Active 2028-01-27 US7704869B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/853,139 US7704869B2 (en) 2007-09-11 2007-09-11 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
PCT/EP2008/058306 WO2009033837A2 (en) 2007-09-11 2008-06-27 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
TW097126073A TW200924058A (en) 2007-09-11 2008-07-10 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US12/540,457 US7955967B2 (en) 2007-09-11 2009-08-13 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/853,139 US7704869B2 (en) 2007-09-11 2007-09-11 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/540,457 Division US7955967B2 (en) 2007-09-11 2009-08-13 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias

Publications (2)

Publication Number Publication Date
US20090068835A1 true US20090068835A1 (en) 2009-03-12
US7704869B2 US7704869B2 (en) 2010-04-27

Family

ID=40432318

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/853,139 Active 2028-01-27 US7704869B2 (en) 2007-09-11 2007-09-11 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US12/540,457 Active 2027-12-24 US7955967B2 (en) 2007-09-11 2009-08-13 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/540,457 Active 2027-12-24 US7955967B2 (en) 2007-09-11 2009-08-13 Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias

Country Status (1)

Country Link
US (2) US7704869B2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100025857A1 (en) * 2008-07-29 2010-02-04 Lindgren Peter J Ic chip and design structure with through wafer vias dishing correction
US20100029075A1 (en) * 2008-07-29 2010-02-04 Lindgren Peter J Through wafer vias with dishing correction methods
US20140124923A1 (en) * 2012-11-08 2014-05-08 Samsung Electronics Co., Ltd. Semiconductor devices having a staggered pad wiring structure
US9287257B2 (en) * 2014-05-30 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
US9899297B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a through-silicon via and manufacturing method thereof
US20190096880A1 (en) * 2017-09-26 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US20200127197A1 (en) * 2018-10-22 2020-04-23 Globalfoundries Singapore Pte. Ltd. Rram device and method of fabrication thereof
US11004972B2 (en) * 2019-06-12 2021-05-11 Globalfoundries Singapore Pte. Ltd. Semiconductor device having conducting member for electrically coupling gate structure to underlying substrate of SOI structure
US20210366975A1 (en) * 2009-08-24 2021-11-25 Sony Group Corporation Semiconductor device and method for production of semiconductor device
US11296083B2 (en) * 2020-03-06 2022-04-05 Qualcomm Incorporated Three-dimensional (3D), vertically-integrated field-effect transistors (FETs) electrically coupled by integrated vertical FET-to-FET interconnects for complementary metal-oxide semiconductor (CMOS) cell circuits
US20220216099A1 (en) * 2017-08-24 2022-07-07 Yangtze Memory Technologies Co., Ltd. Method for forming lead wires in hybrid-bonded semiconductor devices
US11715690B2 (en) * 2020-09-24 2023-08-01 Nanya Technology Corporation Semiconductor device having a conductive contact with a tapering profile

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080057725A1 (en) * 2006-08-30 2008-03-06 Sang-Il Hwang Method of manufacturing semiconductor device
JP5957840B2 (en) * 2011-10-04 2016-07-27 ソニー株式会社 Manufacturing method of semiconductor device
KR102310122B1 (en) 2014-06-10 2021-10-08 삼성전자주식회사 Logic cell, integrated circuit including logic cell, and methods of manufacturing the same
US10164106B2 (en) * 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN107658323B (en) * 2017-10-25 2019-11-01 武汉新芯集成电路制造有限公司 A kind of deep via forming method
US11004733B2 (en) 2018-06-29 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protection structures for bonded wafers

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5841195A (en) * 1992-02-06 1998-11-24 Stmicroelectronics, Inc. Semiconductor contact via structure
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6180997B1 (en) * 1998-02-23 2001-01-30 Winbond Electronics Corp. Structure for a multi-layered dielectric layer and manufacturing method thereof
US6232663B1 (en) * 1996-12-13 2001-05-15 Fujitsu Limited Semiconductor device having interlayer insulator and method for fabricating thereof
US20020076916A1 (en) * 2000-12-20 2002-06-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and method of manufacturing the same
US20020142235A1 (en) * 2001-04-02 2002-10-03 Nec Corporation Photo mask for fabricating semiconductor device having dual damascene structure
US20030129829A1 (en) * 2002-01-08 2003-07-10 David Greenlaw Three-dimensional integrated semiconductor devices
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US20040232554A1 (en) * 2003-05-23 2004-11-25 Renesas Technology Corp. Semiconductor device with effective heat-radiation
US20040241984A1 (en) * 2003-05-28 2004-12-02 Christoph Schwan Method of adjusting etch selectivity by adapting aspect ratios in a multi-level etch process
US20060240652A1 (en) * 2000-01-18 2006-10-26 Mandal Robert P Very low dielectric constant plasma-enhanced cvd films
US20080303169A1 (en) * 2004-09-15 2008-12-11 Infineon Technologies Ag Integrated Circuit Arrangment Including Vias Having Two Sections, and Method For Producing the Same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7417321B2 (en) * 2005-12-30 2008-08-26 Taiwan Semiconductor Manufacturing Co., Ltd Via structure and process for forming the same

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5841195A (en) * 1992-02-06 1998-11-24 Stmicroelectronics, Inc. Semiconductor contact via structure
US6232663B1 (en) * 1996-12-13 2001-05-15 Fujitsu Limited Semiconductor device having interlayer insulator and method for fabricating thereof
US6180997B1 (en) * 1998-02-23 2001-01-30 Winbond Electronics Corp. Structure for a multi-layered dielectric layer and manufacturing method thereof
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US20040130029A1 (en) * 1999-10-15 2004-07-08 Ivo Raaijmakers Conformal lining layers for damascene metallization
US20060240652A1 (en) * 2000-01-18 2006-10-26 Mandal Robert P Very low dielectric constant plasma-enhanced cvd films
US7205224B2 (en) * 2000-01-18 2007-04-17 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US20020076916A1 (en) * 2000-12-20 2002-06-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device, and method of manufacturing the same
US20020142235A1 (en) * 2001-04-02 2002-10-03 Nec Corporation Photo mask for fabricating semiconductor device having dual damascene structure
US20030129829A1 (en) * 2002-01-08 2003-07-10 David Greenlaw Three-dimensional integrated semiconductor devices
US6943067B2 (en) * 2002-01-08 2005-09-13 Advanced Micro Devices, Inc. Three-dimensional integrated semiconductor devices
US20040232554A1 (en) * 2003-05-23 2004-11-25 Renesas Technology Corp. Semiconductor device with effective heat-radiation
US20040241984A1 (en) * 2003-05-28 2004-12-02 Christoph Schwan Method of adjusting etch selectivity by adapting aspect ratios in a multi-level etch process
US20080303169A1 (en) * 2004-09-15 2008-12-11 Infineon Technologies Ag Integrated Circuit Arrangment Including Vias Having Two Sections, and Method For Producing the Same

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100029075A1 (en) * 2008-07-29 2010-02-04 Lindgren Peter J Through wafer vias with dishing correction methods
US7859114B2 (en) * 2008-07-29 2010-12-28 International Business Machines Corporation IC chip and design structure with through wafer vias dishing correction
US8166651B2 (en) 2008-07-29 2012-05-01 International Business Machines Corporation Through wafer vias with dishing correction methods
US8631570B2 (en) 2008-07-29 2014-01-21 International Business Machines Corporation Through wafer vias with dishing correction methods
US20100025857A1 (en) * 2008-07-29 2010-02-04 Lindgren Peter J Ic chip and design structure with through wafer vias dishing correction
US20210366975A1 (en) * 2009-08-24 2021-11-25 Sony Group Corporation Semiconductor device and method for production of semiconductor device
US11715752B2 (en) * 2009-08-24 2023-08-01 Sony Group Corporation Semiconductor device and method for production of semiconductor device
US20140124923A1 (en) * 2012-11-08 2014-05-08 Samsung Electronics Co., Ltd. Semiconductor devices having a staggered pad wiring structure
US9287257B2 (en) * 2014-05-30 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
US10074641B2 (en) 2014-05-30 2018-09-11 Taiwan Semicondcutor Manufacturing Company Power gating for three dimensional integrated circuits (3DIC)
US9799639B2 (en) 2014-05-30 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
US10643986B2 (en) 2014-05-30 2020-05-05 Taiwan Semiconductor Manufacturing Company Power gating for three dimensional integrated circuits (3DIC)
CN107887326A (en) * 2016-09-30 2018-04-06 台湾积体电路制造股份有限公司 Semiconductor devices and its manufacture method
US10157819B2 (en) 2016-09-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9899297B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a through-silicon via and manufacturing method thereof
US11670543B2 (en) * 2017-08-24 2023-06-06 Yangtze Memory Technologies Co., Ltd. Method for forming lead wires in hybrid-bonded semiconductor devices
US20220216099A1 (en) * 2017-08-24 2022-07-07 Yangtze Memory Technologies Co., Ltd. Method for forming lead wires in hybrid-bonded semiconductor devices
US11018134B2 (en) * 2017-09-26 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US20190096880A1 (en) * 2017-09-26 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11791335B2 (en) 2017-09-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device
US10720580B2 (en) * 2018-10-22 2020-07-21 Globalfoundries Singapore Pte. Ltd. RRAM device and method of fabrication thereof
US20200127197A1 (en) * 2018-10-22 2020-04-23 Globalfoundries Singapore Pte. Ltd. Rram device and method of fabrication thereof
US11004972B2 (en) * 2019-06-12 2021-05-11 Globalfoundries Singapore Pte. Ltd. Semiconductor device having conducting member for electrically coupling gate structure to underlying substrate of SOI structure
US11296083B2 (en) * 2020-03-06 2022-04-05 Qualcomm Incorporated Three-dimensional (3D), vertically-integrated field-effect transistors (FETs) electrically coupled by integrated vertical FET-to-FET interconnects for complementary metal-oxide semiconductor (CMOS) cell circuits
US11715690B2 (en) * 2020-09-24 2023-08-01 Nanya Technology Corporation Semiconductor device having a conductive contact with a tapering profile
US11791264B2 (en) 2020-09-24 2023-10-17 Nanya Technology Corporation Method for preparing semiconductor device including conductive contact having tapering profile

Also Published As

Publication number Publication date
US20110097870A1 (en) 2011-04-28
US7704869B2 (en) 2010-04-27
US7955967B2 (en) 2011-06-07

Similar Documents

Publication Publication Date Title
US7723851B2 (en) Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US7955967B2 (en) Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US10867921B2 (en) Semiconductor structure with tapered conductor
KR101546995B1 (en) Through silicon via and method of fabricating same
US9165883B2 (en) Interconnection structure for an integrated circuit
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US11011421B2 (en) Semiconductor device having voids and method of forming same
US8368220B2 (en) Anchored damascene structures
US7790601B1 (en) Forming interconnects with air gaps
KR20110001894A (en) Via gouged interconnect structure and method of fabricating same
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
TWI423412B (en) Semiconductor device
US10832946B1 (en) Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations
US7378340B2 (en) Method of manufacturing semiconductor device and semiconductor device
WO2009033837A2 (en) Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
WO2005013356A1 (en) Semiconductor device having trench wiring and process for fabricating semiconductor device
JPH11186391A (en) Semiconductor device and manufacture thereof
US8188602B2 (en) Semiconductor device having multilevel copper wiring layers and its manufacture method
US9117887B2 (en) Fabrication method of semiconductor device
JP2012134422A (en) Semiconductor device and manufacturing method of the same
JP2001176965A (en) Semiconductor device and method of fabrication
KR100607363B1 (en) Inter-Metal-Dielectric Layer Using Low-k Dielectric Material And Method for Same
KR100613283B1 (en) Method of forming interconnection line for semiconductor device
KR100688758B1 (en) Method for forming gap fill of metal line for semiconductor
JP2003086679A (en) Integrated circuit device and its manufacturing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LA TULIPE, DOUGLAS C., JR.;ROBSON, MARK TODHUNTER;REEL/FRAME:019813/0016

Effective date: 20070910

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION,NEW YO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LA TULIPE, DOUGLAS C., JR.;ROBSON, MARK TODHUNTER;REEL/FRAME:019813/0016

Effective date: 20070910

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: AURIGA INNOVATIONS, INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:041777/0233

Effective date: 20161207

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12