US20090074646A1 - Etching processes used in mems production - Google Patents

Etching processes used in mems production Download PDF

Info

Publication number
US20090074646A1
US20090074646A1 US12/210,138 US21013808A US2009074646A1 US 20090074646 A1 US20090074646 A1 US 20090074646A1 US 21013808 A US21013808 A US 21013808A US 2009074646 A1 US2009074646 A1 US 2009074646A1
Authority
US
United States
Prior art keywords
xef
etching
sacrificial material
etchant
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/210,138
Inventor
Teruo Sasagawa
Xiaoming Yan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SnapTrack Inc
Original Assignee
Qualcomm MEMS Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm MEMS Technologies Inc filed Critical Qualcomm MEMS Technologies Inc
Priority to US12/210,138 priority Critical patent/US20090074646A1/en
Assigned to QUALCOMM MEMS TECHNOLOGIES, INC. reassignment QUALCOMM MEMS TECHNOLOGIES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SASAGAWA, TERUO, YAN, XIAOMING
Publication of US20090074646A1 publication Critical patent/US20090074646A1/en
Assigned to SNAPTRACK, INC. reassignment SNAPTRACK, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: QUALCOMM MEMS TECHNOLOGIES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/002Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/10Single element gases other than halogens
    • B01D2257/11Noble gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/20Halogens or halogen compounds
    • B01D2257/202Single element halogens
    • B01D2257/2027Fluorine

Definitions

  • a method of manufacturing an electronic device comprising providing a process chamber containing an electronic device, the electronic device comprising a layer to be etched; introducing an etchant into the process chamber, the etchant comprising a noble gas fluoride; and reducing the volume of the process chamber after introduction of the etchant to increase the partial pressure of the etchant.
  • FIG. 1 is an isometric view depicting a portion of one embodiment of an interferometric modulator display in which a movable reflective layer of a first interferometric modulator is in a relaxed position and a movable reflective layer of a second interferometric modulator is in an actuated position.
  • FIG. 4 is an illustration of a set of row and column voltages that may be used to drive an interferometric modulator display.
  • FIG. 5A illustrates one exemplary frame of display data in the 3 ⁇ 3 interferometric modulator display of FIG. 2 .
  • FIG. 7C is a cross section of another alternative embodiment of an interferometric modulator.
  • FIG. 7D is a cross section of yet another alternative embodiment of an interferometric modulator.
  • FIG. 7E is a cross section of an additional alternative embodiment of an interferometric modulator.
  • FIG. 8 is a flow diagram illustrating certain steps in an embodiment of a method of making an interferometric modulator.
  • FIGS. 9A-9E are cross-sectional schematic illustrations of various stages in a method of making an interferometric modulator.
  • FIG. 10 is a flow diagram of an example of a process flow which isolates elemental xenon and generates XeF 2 .
  • FIG. 11 is a plot of the vapor pressures of Xe and SiF 4 as a function of temperature.
  • FIG. 12 is a flow diagram of a process flow which recycles unused XeF 2 utilizing a size discriminator.
  • FIG. 20A is a plot of OF 2 absorption of UV light as a function of wavelength.
  • the embodiments may be implemented in or associated with a variety of electronic devices such as, but not limited to, mobile telephones, wireless devices, personal data assistants (PDAs), hand-held or portable computers, GPS receivers/navigators, cameras, MP3 players, camcorders, game consoles, wrist watches, clocks, calculators, television monitors, flat panel displays, computer monitors, auto displays (e.g., odometer display, etc.), cockpit controls and/or displays, display of camera views (e.g., display of a rear view camera in a vehicle), electronic photographs, electronic billboards or signs, projectors, architectural structures, packaging, and aesthetic structures (e.g., display of images on a piece of jewelry).
  • MEMS devices of similar structure to those described herein can also be used in non-display applications such as in electronic switching devices.
  • the depicted portion of the pixel array in FIG. 1 includes two adjacent interferometric modulators 12 a and 12 b .
  • a movable reflective layer 14 a is illustrated in a relaxed position at a predetermined distance from an optical stack 16 a , which includes a partially reflective layer.
  • the movable reflective layer 14 b is illustrated in an actuated position adjacent to the optical stack 16 b.
  • optical stack 16 typically comprise several fused layers, which can include an electrode layer, such as indium tin oxide (ITO), a partially reflective layer, such as chromium, and a transparent dielectric.
  • ITO indium tin oxide
  • the optical stack 16 is thus electrically conductive, partially transparent, and partially reflective, and may be fabricated, for example, by depositing one or more of the above layers onto a transparent substrate 20 .
  • the partially reflective layer can be formed from a variety of materials that are partially reflective such as various metals, semiconductors, and dielectrics.
  • the partially reflective layer can be formed of one or more layers of materials, and each of the layers can be formed of a single material or a combination of materials.
  • the layers of the optical stack 16 are patterned into parallel strips, and may form row electrodes in a display device as described further below.
  • the movable reflective layers 14 a , 14 b may be formed as a series of parallel strips of a deposited metal layer or layers (orthogonal to the row electrodes of 16 a , 16 b ) deposited on top of posts 18 and an intervening sacrificial material deposited between the posts 18 . When the sacrificial material is etched away, the movable reflective layers 14 a , 14 b are separated from the optical stacks 16 a , 16 b by a defined gap 19 .
  • a highly conductive and reflective material such as aluminum may be used for the reflective layers 14 , and these strips may form column electrodes in a display device.
  • the gap 19 remains between the movable reflective layer 14 a and optical stack 16 a , with the movable reflective layer 14 a in a mechanically relaxed state, as illustrated by the pixel 12 a in FIG. 1 .
  • the capacitor formed at the intersection of the row and column electrodes at the corresponding pixel becomes charged, and electrostatic forces pull the electrodes together.
  • the movable reflective layer 14 is deformed and is forced against the optical stack 16 .
  • a dielectric layer within the optical stack 16 may prevent shorting and control the separation distance between layers 14 and 16 , as illustrated by pixel 12 b on the right in FIG. 1 .
  • the behavior is the same regardless of the polarity of the applied potential difference. In this way, row/column actuation that can control the reflective vs. non-reflective pixel states is analogous in many ways to that used in conventional LCD and other display technologies.
  • FIG. 2 is a system block diagram illustrating one embodiment of an electronic device that may incorporate aspects of the invention.
  • the electronic device includes a processor 21 which may be any general purpose single- or multi-chip microprocessor such as an ARM, Pentium®, Pentium II®, Pentium III®, Pentium IV®, Pentium® Pro, an 8051, a MIPS®, a Power PC®, an ALPHA®, or any special purpose microprocessor such as a digital signal processor, microcontroller, or a programmable gate array.
  • the processor 21 may be configured to execute one or more software modules.
  • the processor may be configured to execute one or more software applications, including a web browser, a telephone application, an email program, or any other software application.
  • a display frame may be created by asserting the set of column electrodes in accordance with the desired set of actuated pixels in the first row.
  • a row pulse is then applied to the row 1 electrode, actuating the pixels corresponding to the asserted column lines.
  • the asserted set of column electrodes is then changed to correspond to the desired set of actuated pixels in the second row.
  • a pulse is then applied to the row 2 electrode, actuating the appropriate pixels in row 2 in accordance with the asserted column electrodes.
  • the row 1 pixels are unaffected by the row 2 pulse, and remain in the state they were set to during the row 1 pulse. This may be repeated for the entire series of rows in a sequential fashion to produce the frame.
  • FIGS. 4 , 5 A, and 5 B illustrate one possible actuation protocol for creating a display frame on the 3 ⁇ 3 array of FIG. 2 .
  • FIG. 4 illustrates a possible set of column and row voltage levels that may be used for pixels exhibiting the hysteresis curves of FIG. 3 .
  • actuating a pixel involves setting the appropriate column to ⁇ V bias , and the appropriate row to + ⁇ V, which may correspond to ⁇ 5 volts and +5 volts, respectively. Relaxing the pixel is accomplished by setting the appropriate column to +V bias , and the appropriate row to the same + ⁇ V, producing a zero volt potential difference across the pixel.
  • the pixels are stable in whatever state they were originally in, regardless of whether the column is at +V bias , or ⁇ V bias .
  • voltages of opposite polarity than those described above can be used, e.g., actuating a pixel can involve setting the appropriate column to +V bias , and the appropriate row to ⁇ V.
  • releasing the pixel is accomplished by setting the appropriate column to ⁇ V bias , and the appropriate row to the same ⁇ V, producing a zero volt potential difference across the pixel.
  • the display device 40 includes a housing 41 , a display 30 , an antenna 43 , a speaker 45 , an input device 48 , and a microphone 46 .
  • the housing 41 is generally formed from any of a variety of manufacturing processes as are well known to those of skill in the art, including injection molding and vacuum forming.
  • the housing 41 may be made from any of a variety of materials, including, but not limited to, plastic, metal, glass, rubber, and ceramic, or a combination thereof.
  • the housing 41 includes removable portions (not shown) that may be interchanged with other removable portions of different color, or containing different logos, pictures, or symbols.
  • the transceiver 47 pre-processes the signals received from the antenna 43 so that they may be received by and further manipulated by the processor 21 .
  • the transceiver 47 also processes signals received from the processor 21 so that they may be transmitted from the exemplary display device 40 via the antenna 43 .
  • the driver controller 29 takes the raw image data generated by the processor 21 either directly from the processor 21 or from the frame buffer 28 and reformats the raw image data appropriately for high speed transmission to the array driver 22 . Specifically, the driver controller 29 reformats the raw image data into a data flow having a raster-like format, such that it has a time order suitable for scanning across the display array 30 . Then the driver controller 29 sends the formatted information to the array driver 22 .
  • a driver controller 29 such as a LCD controller, is often associated with the system processor 21 as a stand-alone Integrated Circuit (IC), such controllers may be implemented in many ways. They may be embedded in the processor 21 as hardware, embedded in the processor 21 as software, or fully integrated in hardware with the array driver 22 .
  • connection posts are herein referred to as support posts.
  • the embodiment illustrated in FIG. 7D has support post plugs 42 upon which the deformable layer 34 rests.
  • the movable reflective layer 14 remains suspended over the gap, as in FIGS. 7A-7C , but the deformable layer 34 does not form the support posts by filling holes between the deformable layer 34 and the optical stack 16 . Rather, the support posts are formed of a planarization material, which is used to form support post plugs 42 .
  • the embodiment illustrated in FIG. 7E is based on the embodiment shown in FIG. 7D , but may also be adapted to work with any of the embodiments illustrated in FIGS. 7A-7C , as well as additional embodiments not shown. In the embodiment shown in FIG. 7E , an extra layer of metal or other conductive material has been used to form a bus structure 44 . This allows signal routing along the back of the interferometric modulators, eliminating a number of electrodes that may otherwise have had to be formed on the substrate 20 .
  • the interferometric modulators function as direct-view devices, in which images are viewed from the front side of the transparent substrate 20 , the side opposite to that upon which the modulator is arranged.
  • the reflective layer 14 optically shields the portions of the interferometric modulator on the side of the reflective layer opposite the substrate 20 , including the deformable layer 34 . This allows the shielded areas to be configured and operated upon without negatively affecting the image quality.
  • Such shielding allows the bus structure 44 in FIG. 7E , which provides the ability to separate the optical properties of the modulator from the electromechanical properties of the modulator, such as addressing and the movements that result from that addressing.
  • This separable modulator architecture allows the structural design and materials used for the electromechanical aspects and the optical aspects of the modulator to be selected and to function independently of each other.
  • the embodiments shown in FIGS. 7C-7E have additional benefits deriving from the decoupling of the optical properties of the reflective layer 14 from its mechanical properties, which are carried out by the deformable layer 34 .
  • This allows the structural design and materials used for the reflective layer 14 to be optimized with respect to the optical properties, and the structural design and materials used for the deformable layer 34 to be optimized with respect to desired mechanical properties.
  • the formation of the sacrificial layer 62 over the optical stack 16 may include deposition of a XeF 2 -etchable material such as molybdenum or amorphous silicon, in a thickness selected to provide, after subsequent removal, a cavity 19 (see FIGS. 1 and 9E ) having the desired size.
  • Deposition of the sacrificial material may be carried out using deposition techniques such as physical vapor deposition (PVD, e.g., sputtering), plasma-enhanced chemical vapor deposition (PECVD), thermal chemical vapor deposition (thermal CVD), or spin-coating.
  • PVD physical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • thermal CVD thermal chemical vapor deposition
  • one of the sublayers 64 a may comprise a highly reflective sublayer selected for its optical properties, and another sublayer 64 b may comprise a mechanical sublayer selected for its mechanical properties. Since the sacrificial layer is still present in the partially fabricated interferometric modulator formed at step 820 of the process 800 , the movable reflective layer 14 is typically not movable at this stage. A partially fabricated interferometric modulator that contains a sacrificial layer may be referred to herein as an “unreleased” interferometric modulator.
  • the process 800 illustrated in FIG. 8 continues at step 825 with the formation of a cavity, e.g., a cavity 19 as illustrated in FIGS. 1 , 7 , and 9 E.
  • the cavity 19 may be formed by exposing the sacrificial material 62 (deposited at step 810 ) to an etchant.
  • the movable reflective layer 14 is typically movable after this stage. After removal of the sacrificial material, the resulting fully or partially fabricated interferometric modulator may be referred to herein as a “released” interferometric modulator.
  • the process of removal of a sacrificial layer via an etching process may also be applied to other MEMS devices which comprise a gap or other spacing between adjacent components, and is not limited to utilization with the exemplary interferometric modulator described herein. As described above, this removal may be done via a variety of different processes, including but not limited to wet etches, dry etches, and plasma etches.
  • the XeF 2 dry etch described above can be used in conjunction with sacrificial layers such as molybdenum, germanium, and silicon, for example.
  • This process involves the use of an etching process gas that contains XeF 2 as the primary etchant, and that optionally contains other etchant (such as oxygen) and/or inert carrier gases.
  • etchant such as oxygen
  • inert carrier gases such as nitrogen
  • the manufacturing processes and equipment used to manufacture MEMS devices using a XeF 2 sacrificial etch may be modified so as to recover the XeF 2 and/or to recover the xenon (Xe) component of the XeF 2 .
  • the recovered XeF 2 may be recycled for use in additional etching processes.
  • the recovered Xe may be reacted with F 2 used to generate XeF 2 , which may also be recycled for use in additional etching processes.
  • the process gases may be collected from the etch chamber and then processed as desired.
  • the process gases may include the unused XeF 2 (or other etchant), by-products of the etching reaction, impurities and any carrier gases which may be present.
  • XeF 2 or other etchant
  • impurities or any carrier gases which may be present.
  • other noble gas fluorides either or both of the unused noble gas fluorides and the corresponding noble gas component may be recovered.
  • the reaction byproducts are the products of the etching reactions between the XeF 2 etchant and the sacrificial layer, and it will be understood that the byproducts will differ depending on, at least, the nature of the etchant and sacrificial layer used.
  • the byproducts will typically include MoF x (where x is an integer in the range of 2 to 6)
  • the byproducts will typically include Si x F y (where x is an integer in the range of 1 to 2, and where y is an integer in the range of 2 to 6).
  • additional etchants e.g., oxygen
  • the byproducts may include complex fluorides of the sacrificial element (e.g., MoO x F y ).
  • etching process gas Common impurities that may be present in the etching process gas include H 2 O, HF, CF x , CHF x , COF x , CO, CO 2 , residues of purging gases and trace amounts of metal fluorites. It will also be understood that the etching process gases may contain reaction intermediates, such as XeF or F, but because these reaction intermediates have comparatively short lifetimes before they react further to form the reaction byproducts, they are not separately discussed below.
  • the unique physical properties of xenon may be utilized, such as the relatively low boiling point and inertness. These physical and chemical properties may be used to facilitate separation of the xenon from the other process gases and other materials which may be collected during the etching process.
  • FIG. 10 illustrates a generalized process flow 100 which may be used to extract Xe from the process gases and utilize the collected Xe to generate XeF 2 for use in the etch process. It will be understood, however, that the process may be modified in a variety of ways, including the addition or removal of steps, and that the steps may be performed in a different order.
  • the process begins at a etch process step 110 wherein a MEMS device or other device to be fabricated is exposed to XeF 2 gas.
  • the process gases are collected, such as through the collection of process exhaust from the etching reaction chamber.
  • the system may be exposed to purge gas so as to drive the process flow.
  • xenon converters may comprise liquid bath converters, solid bed converters, or gas bath converters, each of which will be discussed in turn.
  • the Xe-containing species to be converted may comprise primarily unused XeF 2 , in addition to other impurity or intermediate xenon fluorides, as well as complex fluorides if co-etchants in addition to XeF 2 are used.
  • XeF 2 can react with water in a hydrolysis reaction to produce elemental Xe and O 2 .
  • an acidic or basic solution may be used instead of a neutral aqueous solution.
  • XeF 2 hydrolyzes with a first order rate constant of about 4.2 ⁇ 10 ⁇ 4 /sec in acidic solution at 298° K to release hydrofluoric acid, atomic xenon, and molecular oxygen according to the following reaction:
  • Basic solutions may also be used as the liquid bath solution, including for example, NaOH or KOH, and the selection of a particular basic solution allows generation of desired byproducts for a particular embodiment.
  • basic solutions such as NaOH or KOH, in which hydroxide (OH ⁇ ) serves as a reducing agent, results in the generation of water, elemental xenon, and molecular oxygen according to the following reaction:
  • methanoate salts such as formate salts
  • sodium methanoate also known as sodium formate
  • the sacrificial materials are metals, such as Mo and W
  • their gaseous etching byproducts such as MoF x
  • the gases emerging from the liquid bath Xe converter thus include elemental Xe, in addition to water vapor and any purge gases used.
  • the purge gas and bath solution may be selected such that the purge gas is absorbed by the solution, along with any etching byproducts, so as to provide a Xe converter which performs multiple functions.
  • CO 2 may be used as the purge gas
  • an aqueous solution containing sodium methanoate and sodium hydroxide is used in the converting bath, the XeF 2 will be converted to elemental Xe, and the purge gas and the byproducts of the etching process will be absorbed.
  • solid bed converters or gas bath converters may be used as the Xe converter.
  • a solid bed converter may comprise any reducing agent which reduces xenon fluorides to elemental Xe.
  • these reducing agents such as nickel, copper, and iron
  • the XeF 2 will react to form solid fluorides on the surfaces of the materials, via adsorption or absorption reactions.
  • Other reducing agents include, but are not limited to, materials that form solid fluorides or sub-fluorides, sub-oxides, sub-nitride, sub-halogen compounds or organic salts.
  • a trap that is cooled with, for example, solid CO 2 (dry ice, typically used in conjunction with acetone) to a temperature below the sublimation point of CO 2 , can be used to cause condensation of the other gases, including etching byproducts such as MoF x , as their boiling points are significantly higher.
  • the majority of the moisture within the process gases will also typically be condensed (the remaining amount of water in the gas after passing through the CO 2 trap may have a partial pressure on the order of 0.2 mTorr), and subsequent processes, such as the use of a CaO desiccant, may be used to further remove moisture.
  • the process gases may comprise a material which has a boiling point similar to that of elemental xenon.
  • a material which has a boiling point similar to that of elemental xenon For example, if Si is used as a sacrificial material, one of the primary byproducts of the etching process is SiF 4 , which has a boiling point of 187° K, close to that of Xe.
  • FIG. 11 illustrates the vapor pressures of Xe and SiF 4 as a function of temperature.
  • SiF 4 can be further eliminated.
  • an active chemical agent such as NaF
  • the equilibrium SiF 4 vapor pressure may be reduced to 10 ⁇ 47 Torr at room temperature, as the NaF will react with the SiF 4 according to the following reaction
  • alkaline and earth alkaline fluorides can be used to reduce the presence of SiF 4 , as well.
  • Cold traps at such low temperatures may be provided in a variety of ways.
  • the cold trap is cooled via solid-liquid melting, such as via the use of chloroethene.
  • the cold trap is cooled via liquid-gas fusion, such as through the use of krypton.
  • solid-liquid vaporization at low pressure may be used, such as solid CF 4 in propane. Melting and fusion systems are suitable for maintaining an accurate temperature over a prolonged period of time. Solid-liquid vaporization systems provide additional control over the temperature in the cold bath.
  • solid CF 4 in propane may be used to provide a cold bath with a temperature in the range of 100° K-140° K, by varying the pressure from 5 Torr to 760 Torr.
  • purge gases such as N 2 or He (which may have lower boiling points than xenon or another gas being separated and purified) may not be separated by an initial cold trap, as they will remain in gas form when the Xe remains in gas form.
  • a subsequent cold trap such as a liquid N 2 cold trap, may be used to condense the Xe, and the purge gas will remain in the gas phase.
  • XeF 2 may be separated from the process gases than those used to separate Xe.
  • the separation of XeF 2 may also be done during the etch process to increase the efficiency of the etch process, as discussed in greater detail below.
  • the separation of either XeF 2 or Xe may be done via any of the methods described herein, and may be done by utilizing their physical or chemical properties. For example, differences in vapor pressure may be utilized during a vacuum distillation or condensation process, differences in phase change temperatures such as boiling or melting points may be utilized in distillation and filtration processes, differences in solubility may be utilized in an extraction process, or differences in crystal structures may be used in recrystallization processes. Other properties such as ionization energy, molecular weight, diffusivity, density, absorption, adsorption, and chromatographic properties may be used to separate materials.
  • XeF 2 may be a comparatively large molecule compared to the other molecules in the process gases. Using size-discrimination membranes or molecular sieves, the XeF 2 may be separated from the other molecules and may be returned to the process, sometimes after additional purification.
  • FIG. 12 illustrates an embodiment of such a process 200 , which begins at a step 210 in which a XeF 2 etch is used during an etching process.
  • the process gases may be exhausted from the etch chamber.
  • the XeF 2 is separated from the other molecules (including elemental Xe) through the use of a size discriminator, such as the size-discrimination membrane or molecular sieve, or another suitable method of separating the XeF 2 .
  • the separated XeF 2 may be returned to the etch process in a step 240 and the elemental Xe may itself be recycled in some manner in a step 250 .
  • a cold trap may also be used to separate the XeF 2 , in a similar manner to the separation of elemental Xe discussed above.
  • XeF 2 is used as an etchant
  • Mo is used as a sacrificial layer
  • the primary etching byproduct is MoF 6 .
  • a suitable temperature for the cold trap is about 230° K, although it will be understood that temperatures both above and below 230° K may be used. At 230° K, the vapor pressure of MoF 6 is several Torr, while that of XeF 2 is below 0.1 Torr.
  • FIG. 13 illustrates an exemplary process 300 for the separation and collection of XeF 2 using a cold trap.
  • the process exhaust is collected.
  • water vapor may be removed from the process gases via the use of a desiccant.
  • the process may then move to a step 330 where the XeF 2 is removed from the other process gases using a cold trap.
  • the process may also include a step 370 where the elemental Xe separated from the XeF 2 in the cold trap is recycled.
  • the XeF 2 may be further purified in a step 340 wherein the XeF 2 undergoes a distillation or filtration process, and a step 350 wherein the XeF 2 is recrystallized.
  • the XeF 2 may be vaporized at one location and then recrystallized at another location.
  • steps 340 and 350 may be repeated as necessary to obtain a desired level of purity.
  • sufficiently pure XeF 2 may be obtained, which may be in a solid form if the recrystallization step 350 is performed.
  • FIG. 14 illustrates the reactant composition of a typical chemical reaction.
  • the equilibrium pressure of XeF 2 at room temperature is roughly 1 ⁇ 10 ⁇ 58 Torr, meaning that the theoretical efficiency is very close to 100%.
  • a variable volume chamber may be used in a pulse etching process to increase the rate constant of the etching process.
  • the etching of a molybdenum layer using XeF 2 has been shown to be a first order reaction. Namely, the etch reaction rate at a given temperature for a given workpiece (assuming the exposed Mo surface area is constant) is as follows:
  • FIG. 17 illustrates an embodiment of a system 470 which is configured to introduce an etchant into a process chamber 480 at an increased partial pressure.
  • the system 470 is similar to the system 400 of FIG. 15 , but differs in two significant respects. Because the system 470 need not constantly maintain the partial pressure of etchants within the processing chamber 470 , the sensors 432 and 434 of system 400 need not be included in system 470 , although sensors to monitor the partial pressure of the system 470 may be included if desired.
  • the system 470 also includes an expansion chamber 482 located between the XeF 2 source 420 and the processing chamber 480 .
  • the expansion chamber 482 may comprise a mechanism for varying the volume of the expansion chamber 482 in order to increase the pressure of the XeF 2 .
  • the processing chamber 480 may include a mechanism for varying the volume of the processing chamber 480 as well, and in particular embodiments no expansion chamber 482 may be necessary when the processing chamber 480 has a variable volume.
  • the XeF 2 may be maintained at a higher temperature before introduction into the process chamber 480 , while the expansion chamber 482 is kept at the normal process temperature.
  • the pressure within the process chamber 480 is dependent upon the volume of the expansion chamber 482 and the volume ratio between the expansion chamber 482 and the process chamber 480 .
  • the pressure within the expansion chamber 482 can be controlled by controlling the minimum temperature in the XeF 2 storage area 420 , the expansion chamber 482 , and the gas manifold. The higher this minimum temperature, the higher the possible pressure within the expansion chamber 482 . So long as the pressure within the process chamber 480 is kept below the XeF 2 vapor pressure at the process temperature, condensation of the XeF 2 etchant can be avoided.
  • the amount of XeF 2 utilized to remove one cubic centimeter of MoF 3 is 0.045 moles, as compared to the 0.315 moles utilized to remove the same volume of Mo.
  • MoF 3 in place of Mo, the amount of XeF 2 utilized to etch the sacrificial layer can be reduced to roughly 14% of what would be utilized to etch Mo.
  • etching of a sacrificial layer such as the partially fluorinated MoF 3 may take place faster than the etching of only Mo.
  • accelerated etching has been observed in partially oxidized Mo layers, such as the exposed portions of a Mo sacrificial layer adjacent to etch holes or other apertures which expose the Mo layer.
  • Table 1 is a non-limiting list of examples of such materials, along with their molar volume, XeF 2 stoichiometry, melting point, and their ratio of XeF 2 usage to that of Mo for a given volume of material.
  • the XeF 2 stoichiometry shown in Table 1 assumes that the final byproducts are full fluorides for the sub-fluorides listed, full fluorides and oxyfluorides for the sub-oxides, full fluorides and molecular nitrogen for sub-nitrides, and full fluorides and molecular chlorine for sub-chlorines. It will be understood that in various embodiments and process conditions, the actual etching reactions may vary. Furthermore, the volumes are listed on the basis of bulk material data, and the molar values of thin films and porous materials may change significantly. For MoO 2 , MoO 3 , WO 2 and SiO, the stoichiometric chemistry given in the above table is based upon certain assumptions. Although not listed, non-stoichiometric materials may also be used.
  • Xe and XeF 2 recovered from a particular etching process in any of the manners described herein need not be absolutely purified in order to be useful for recycling and re-use in other process, such as in subsequent etching processes (i.e., the reused etching gas may include significant concentrations of impurities and still be useful for etching).
  • the reused etching gas may include significant concentrations of impurities and still be useful for etching.
  • the reused etching gas may include significant concentrations of impurities and still be useful for etching.
  • recycling as little as 4% by volume of XeF 2 can still act as a commercially viable etchant.
  • An embodiment provides a recovered Xe product that has been sufficiently purified by the methods described herein to render it useful for subsequent reaction with F 2 to form a XeF 2 -containing etching gas that is sufficiently free of deleterious impurities so as to be useful for subsequent etching processes to make, for example, MEMS devices as described herein.
  • Another embodiment provides a recovered XeF 2 product that has been sufficiently purified by the methods described herein so that is sufficiently free of deleterious impurities so as to be useful for subsequent etching processes to make, for example, MEMS devices as described herein.
  • etchants may be used to etch sacrificial layers. Depending on the embodiment, in certain applications, some of these etchants may not react with the sacrificial layer at a sufficient rate, and may be used in conjunction with a chemical or physical excitation process, as noted below. In addition, depending on the particular materials and structures used, certain of these etchants may be used in conjunction with etch barrier layers to protect layers which could otherwise be damaged by the etchants.
  • BrF 3 may be refluorinated with fluorine and recycled for reuse, if desired, in a manner similar to certain of the methods discussed above.
  • Some nitrogen fluorides and derivatives which may be suitable include, but are not limited to, NF 3 , N 2 F 4 , ONF, O 3 NF, C(NF 2 ) 4 , (CH 3 ) 2 C(NF 2 ) 2 , 1,1-di-difluoroamino, fluorinatated cyclohexane, 1,1,4,4-tetradifluoroamino, F 2 C(NF 2 ) 2 and FC(NF 2 ) 3 .
  • other materials may be suitable, as well, including but not limited to SF 6 , FOOF, NF 3 and NH 3 .
  • etchants having chemical properties similar to those of XeF 2 may be used in etching processes.
  • OF 2 and its derivatives including but not limited to FOOF, CF 3 OF, C 2 F 5 OF, SF 5 OF, and others—may be used as isotropic etchants, and in specific embodiments may be used to etch sacrificial layers such as Si and Mo, although other materials such as Ge, W. and V may also be etched.
  • the materials to be etched may be reacted with OF 2 and its derivatives to form volatiles.
  • the etchants may be excited in a variety of ways to cause or accelerate the etching processes.
  • XeF 2 is a linear molecule which is thermally stable at temperatures as high as 500° C. It has a first dissociation energy of roughly 250 kJ/mole, significantly higher than the F 2 dissociation energy of roughly 160 kJ/mole.
  • the second dissociation energy of XeF 2 from an XeF radical to elemental Xe and a F radical, is roughly 15 kJ/mole.
  • the first dissociation energy of OF 2 from OF 2 to OF and F radicals, is close to that of XeF 2 , also at roughly 250 kJ/mole.
  • the second dissociation energy of OF 2 splitting the OF free radical into O and F, is less than the first dissociation energy, at roughly 160 kJ/mole.
  • the properties of the molecule may be modified to provide a molecule having a desired property.
  • XeF 2 has a molecular weight of 170 amu.
  • SF 5 By replacing one of the F atoms with SF 5 , forming SF 5 OF, a molecule having a molecular weight of 162 amu, close to that of XeF 2 .
  • one of the F atoms may be replaced with CF 3 to form CF 3 OF, which has a first dissociation energy of roughly 180 kJ/mole, less than that of OF 2 .
  • OF 2 derivatives such as C 2 F 5 OF and FOC 2 F 4 OF may comprise both OF and fluorocarbon groups.
  • Such molecules may function not only as sacrificial etchants, but also as fluorocarbon deposition precursors.
  • the deposited fluorocarbons which result from the use of these materials as etchants may serve as an anti-stiction layer which prevents undesirable or permanent adhesion between two adjacent layers in the MEMS device.
  • the carbon chain length may also be increased through the use of chain growth reactants such as diiodomethane.
  • the OF 2 molecule may undergo various chemical reactions with the Si layer, depending on the dissociation conditions.
  • a possible reaction proceeds as follows:
  • many chemicals may be physically excited so as to cause dissociation and generate a chemically active species, or may be physically excited so as to cause a chemical in an inert state to be excited to a chemically active excited state.
  • Such chemicals may be referred to as etchant precursors.
  • UV activation of F 2 discussed above is one such example of UV dissociation of materials.
  • SF 6 may be used in a downstream plasma etching process so as to isotropically etch a Si sacrificial layer. Because many of such active species may be unstable (or metastable), the chemically active species may in certain embodiments be generated in close proximite to the sacrificial material to be etched. In other embodiments, active species having sufficiently long lifetimes for a desired application may be selected.
  • etchant precursors may be activated only through thermal energy.
  • OF 2 will begin to decompose at a temperature of between 250 and 270° C.
  • an F atom can be replaced with a CF 3 molecule, forming CF 3 OF.
  • the first dissociation energy is thus decreased from roughly 250 kj/mol to roughly 180 kJ/mol.
  • the dissociation rate is much faster (roughly 10 11 times faster) than that of OF 2 .
  • Another OF 2 derivative having similar properties to that of XeF 2 is FO—CF 2 —CF 2 —OF, 1,1,2,2-tetrafluoroethyl 1,2-dihypofluorite.
  • This compound has a molecular weight of 170 amu, the same as XeF 2 , and is expected to have a similar first dissolution energy (roughly 180 kj/mol), from FOC 2 F 4 OF to an F radical and FOC 2 F 4 O, Similarly, the second dissolution energy, from FOC 2 F 4 O to an F free radical and OC 2 F 4 O is expected to be similar to that of XeF 2 , as the free radical is highly energetic.
  • the etch rate may be increased to a desired level.
  • an increased temperature may alter the etch selectivity of the etchant, it may be necessary to maintain the temperature below a particular temperature.
  • the etchant or etchant precursor is heated to a temperature of less than 300° C.
  • the etchant or etchant precursor is heated to a temperature of greater than 250° C.
  • the specific temperature used may vary based at least in part on the specific etchant or etchant precursor, the other materials being used in the electronic device to be etched, and the desired dissociation rate and/or etch time.
  • the sacrificial layer or other layer to be etched may itself comprise a light-transmissive material, such as Si x N y (e.g., deposited by PECVD).
  • F 2 may be used to etch Si when excited by UV radiation to form an activated etching species in the form of a F free radical according to the following reaction, referred to as photolysis or photodissociation:
  • F free radicals may be produced from F 2 at low pressure, e.g., up to 1-2 Torr in 20 Torr Ar, by the use of microwave heating in a sapphire tube, exposure to UV light, laser activation, or by placement in a furnace at a sufficiently high temperature, such as between 300 and 600° C.
  • the F 2 may be photodissociated by UV light having a wavelength of about 290 nm, although other wavelengths of UV light may also be used.
  • F 2 can be dissociated into F free radicals having a lifetime of several minutes, although the lifetime will be dependent at least in part upon the partial pressure of the F free radical in an inert gas.
  • F free radicals are not highly corrosive to most alloys, and can thus be piped via copper or stainless steel piping.
  • F free radicals are substantially non-reactive to materials such as SiO 2 and Al 2 O 3 , and can thus be used to selectively etch sacrificial layers such as Si or Mo relative to adjacent SiO 2 or Al 2 O 3 layers.
  • the reaction rate between the F free radicals and Mo (to form MoF 6 ) or Si (to form SiF 4 ) gases is relatively high, such that in most embodiments the photolysis rate of the F 2 will control the etching rate.
  • the photolysis rate may be dependent upon the light intensity, the photon cross-section of F 2 , and the quantum yield of the dissociation reaction.
  • the etchant comprises F 2 at 400 Torr and a temperature of 55° C. exposed to UV light with a wavelength of 290 nm and at an intensity of 5 W/cm 2 .
  • Mo will be etched by the F free radicals at a rate of about 130 nm/sec (8 ⁇ m/sec).
  • a sacrificial layer 710 has been deposited over a substrate 700 which is at least partially transmissive to light, and in particular may be at least partially transmissive to UV light.
  • the sacrificial layer 710 has been deposited over an optical stack 720 located on the substrate, wherein the optical stack is also least partially transmissive to UV light.
  • a mechanical layer 730 has been deposited over the sacrificial layer, and an etch hole 732 formed in the mechanical layer to expose a portion of the sacrificial layer.
  • An initial etch has been performed so as to remove a portion 712 of the sacrificial material to expose the underlying optical stack 720 .
  • a physically excitable chemical such as a gaseous chemical, may then be introduced into the etch chamber in which this process is performed. This excitable chemical will enter the removed portion 712 of the sacrificial layer.
  • the excitable chemical is then exposed to UV radiation through the light-transmissive layers, exciting the chemical to create an active species which interacts with the sacrificial material so as to etch the sacrificial material. Because the excitable chemical will permeate the cavity defined by the removed sacrificial layer, the UV light will continue to generate the active species adjacent the edge of the sacrificial layer as the sacrificial layer is etched. This process thus permits the use of active species which may have short lifetimes and which would otherwise be unable to isotropically diffuse down the length of the cavity to reach portions of the sacrificial layer.
  • the initial etch may be performed by exposing the exposed sacrificial layer to UV radiation from the opposite side of the movable layer.
  • a single UV light source may be used, and the substrate rotated or the light source moved with respect to the substrate once the initial etch has etched through the substrate.
  • UV light sources on both sides may be used.
  • the sacrificial layer comprises a light-transmissive material
  • only a UV light source on the side of the substrate may be needed.
  • the initial etch may be performed by another etching method, such as etch subsequent to the formation of etch holes in the movable layer. In certain embodiments this etch may be done using either the same mask as that used to form the etch holes or by using the patterned movable layer itself as a mask.
  • a variety of excitable chemicals may be used in such an etching process.
  • F 2 may be excited as discussed above.
  • a mixture comprising F 2 and O 2 may be exposed to UV light to generate FOOF and FOO radicals.
  • particular excitable chemicals may be used in conjunction with particular sacrificial materials.
  • SF 6 and NF 3 may be excited and used to etch Si.
  • NH 3 may be excited and used to etch SiO 2 .
  • This excitation may be done via plasma, or via UV radiation in the manner described above, functioning similarly to plasma.
  • UV radiation with a wavelength of 248 nm has photon energy of 5 eV, which is sufficient to break most single bonds. Shorter wavelengths can provide still additional energy.
  • pulsing IR and/or microwave energy on/off can “pump” the reactant in and out of the cavity as the sacrificial layer is released. It will also be understood that many of the other fluorides may be excited in a similar manner to that discussed above.
  • homogenous catalysts may be used in conjunction with UV or other types of excitation.
  • KrF 2 (or Kr+F 2 ) may be used as etchants, under conditions in which the etchants are excited, chemically or physically.
  • KrF 2 in crystalline form sublimes at 213° K, and at room temperature KrF 2 is a gas.
  • KrF 2 gas pressures can be obtained which are one or two orders of magnitude greater than for XeF 2 gas, which may increase the etch rate of sacrificial material, as discussed above.
  • KrF 2 is significantly less expensive than XeF 2 .
  • the Kr in the KrF 2 molecules will serve as a homogenous catalyst during the fluorination of the sacrificial material, according to the following reaction:
  • Xe may be used as a homogenous catalyst in a UV-activated F 2 etching process, resulting in in situ XeF 2 generation and etching of a layer such as a Si or Mo layer according to the following chemical reactions (described with respect to the etching of a Mo layer):
  • the XeF 2 generation rate will be dependent upon the amount of F 2 present, the degree to which the material is exposed to UV light (e.g., areal or volumetric exposure rate), and the wavelength and power output of the UV light source.
  • the process chamber comprises 400 Torr F 2 and a 1000W UV lamp emitting light with a wavelength of about 290 nm on an area 10 cm ⁇ 10 cm in size
  • 1.2 g/min of XeF 2 can be generated.
  • OF 2 at 400 Torr is used, and the lamp emits light with a wavelength of 248 nm, XeF 2 may be generated at a rate of 5.4 g/min.
  • a noble gas such as Kr or Xe as a homogenous catalyst may be used to maintain an etching process where the initial etchant comprises a noble gas fluoride such as XeF 2 or KrF 2 .
  • an etching process begins by releasing XeF 2 into a process chamber. F 2 may also be released into the chamber, either along with the XeF 2 , or at a different time, such as subsequent to the XeF 2 release.
  • elemental Xe may be one of the byproducts of the XeF 2 etching process, the process gases within the process chamber may eventually contain elemental Xe, even though it was not released into the process chamber in that form.
  • the process gases within the process chamber may then be exposed to UV radiation, which will cause the elemental Xe and F 2 to form XeF 2 , as discussed above.
  • the XeF 2 will then continue the etching process.
  • a XeF 2 process can be maintained in this manner without the introduction of additional XeF 2 , or with the introduction of less additional XeF 2 than would otherwise be necessary.
  • the additional F 2 can in certain embodiments be released over time, either continuously or in pulses.
  • the XeF 2 may be generated by exposing the process gases to UV radiation through a transmissive substrate and/or other transmissive layers, such that the XeF 2 may be generated directly at the etch boundary.
  • this method may be used with other noble gas fluorides, such as KrF 2 , to maintain etching processes using those etchants, as well.
  • UV excitation may also be used in an embodiment of an etching process in which an O—F bond in an OF 2 molecule or a derivative thereof is dissociated to form radicals.
  • the dissociation conditions may determine the manner in which the OF 2 molecule is dissociated. For example, when a photon having a wavelength of about 250 nm or less is used, the OF 2 molecule will fully dissociate into 0 and F radicals in the following reaction:
  • the OF 2 molecule will dissociate in the following manner:
  • the OF 2 molecule will dissociate according to the following reaction:
  • the dissociation rate of OF 2 is dependent upon, for example, the absorptivity of the OF 2 molecule, the intensity of the UV light, and the quantum yield. If one assumes that the quantum yield is substantially constant across the UV spectrum, the dissociation rate is the product of the absorptivity of OF 2 to UV light and the transmission of the UV light through any intervening media.
  • the dominant factor in the transmittance of the UV light is the transmittance of the substrate.
  • the substrate being used is a 0.7 mm-thick Corning 1737 glass substrate.
  • FIG. 20A is a plot of OF 2 absorption of UV light as a function of wavelength.
  • FIG. 20B is a plot of UV transmission of 0.7 mm Corning 1737 glass, as given by the Corning 1737 datasheet.
  • FIG. 20C is a plot of the dissociation rate of OF 2 as a function of wavelength of UV light transmitted through such a substrate. It can be seen that the highest rate of dissociation will occur using UV light having a wavelength in the range of about 310 nm to about 350 nm. Exposure to UV light at this wavelength will cause dissociation into 0 and OF, as noted above.
  • the Cl or F radicals will be formed substantially only along the beam path.
  • Cl or F radicals having a mean free path on the same order of magnitude as a submicron spot size UV beam may be generated.
  • this may be done through the inclusion of radical scavengers which prevent the chain propagation and diffusion of radicals.
  • Si can be patterned by UV-enhanced Cl 2 etching at 50° C. using O 2 at 400 Torr as a radical scavenger. Under such conditions, the mean free path of the Cl radicals generated by photodissociation of the Cl 2 molecules will be less than 0.5 ⁇ m.
  • UV-activated etchants may also be used, and other materials or layers within MEMS devices may also be patterned in this manner.
  • Mo may also be used in an optical stack, and may be etched by F 2 under UV irradiation, as discussed above.
  • MoCr layers may be used in optical stacks, and may be etched by F 2 under UV-irradiation to form MoF 6 and CrF 5 .
  • Al may be used as a highly reflective layer, such as in a movable reflective sublayer, and may be etched by Cl 2 under UV-irradiation to form Al 2 Cl 6 .
  • chemical excitement may be utilized.
  • additional materials may be deposited with or adjacent to the sacrificial layer to serve as a catalyst or reagent in an etching reaction. Chemical excitement may be done for example by radical generation.
  • a radical initiator from the azo-nitrile family may be used.
  • AZDH 2,2-azobis[2-methyl-]-propanenitrile
  • Various molecules in this family can be used to generate free radicals at different temperatures, and these radicals may last for hours.
  • Azonitrile radical initiators may be obtained commercially (e.g., Vazo® family, produced by Dupont).
  • Organic peroxides e.g., di-tert-butyl peroxide
  • hyperperoxides e.g., n-docecyl hyperperoxide
  • peresters e.g., benzperoxoic acid ethyl ester
  • the FONO may then further decompose according to the following reaction:

Abstract

The efficiency of an etching process may be increased in various ways, and the cost of an etching process may be decreased. Unused etchant may be isolated and recirculated during the etching process. Etching byproducts may be collected and removed from the etching system during the etching process. Components of the etchant may be isolated and used to general additional etchant. Either or both of the etchant or the layers being etched may also be optimized for a particular etching process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to U.S. Provisional Patent Application No. 60/972,748, filed Sep. 14, 2007, which is hereby incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates to small scale electromechanical devices, such as microelectromechanical systems (MEMS) or nanoelectromechanical systems (NEMS) devices.
  • 2. Description of the Related Art
  • MEMS include micro mechanical elements, actuators, and electronics. Although the term MEMS is used through the specification for convenience, it will be understood that the term is intended to encompass smaller-scale devices, such as NEMS. Micromechanical elements may be created using deposition, etching, and/or other micromachining processes that etch away parts of substrates and/or deposited material layers or that add layers to form electrical and electromechanical devices. One type of MEMS device is called an interferometric modulator. As used herein, the term interferometric modulator or interferometric light modulator refers to a device that selectively absorbs and/or reflects light using the principles of optical interference. In certain embodiments, an interferometric modulator may comprise a pair of conductive plates, one or both of which may be transparent and/or reflective in whole or part and capable of relative motion upon application of an appropriate electrical signal. In a particular embodiment, one plate may comprise a stationary layer deposited on a substrate and the other plate may comprise a metallic membrane separated from the stationary layer by an air gap. As described herein in more detail, the position of one plate in relation to another can change the optical interference of light incident on the interferometric modulator. Such devices have a wide range of applications, and it would be beneficial in the art to utilize and/or modify the characteristics of these types of devices so that their features can be exploited in improving existing products and creating new products that have not yet been developed.
  • SUMMARY OF THE INVENTION
  • In one aspect, a method of fabricating an electronic device is provided, comprising providing an electronic device comprising a layer to be etched, the electronic device being located within an etch chamber; exposing the sacrificial layer to an etchant, the etchant comprising a noble gas fluoride; collecting at least a portion of the gases from the etch chamber; and processing the collected gases so as to separate the noble gas fluoride from the collected gases.
  • In another aspect, a method of fabricating an electronic device is provided, comprising providing an electronic device comprising a layer to be etched, the electronic device being located within an etch chamber; exposing the sacrificial layer to an etchant, the etchant comprising a noble gas fluoride; collecting at least a portion of the gases from the etch chamber; and processing the collected gases so as to separate a noble gas.
  • In another aspect, an etching device for use in fabricating an electronic device is provided, the etching device comprising: an etch chamber for retaining an electronic device comprising a layer to be etched; a storage chamber operably attached to the etch chamber wherein the storage chamber is configured to store an etchant, and wherein the etchant comprises a noble gas fluoride; a separation component operably attached to the etch chamber, wherein the separation component is configured to isolate a noble gas-containing process gas.
  • In another aspect, a method of manufacturing an electronic device is provided, comprising providing a process chamber comprising an electronic device, the electronic device comprising a layer to be etched; introducing an etchant into an expansion chamber, the etchant comprising a noble gas fluoride; reducing the volume of the expansion chamber to increase the pressure of the etchant; and introducing the pressurized etchant into the process chamber, exposing the sacrificial layer to the etchant.
  • In another aspect, a method of manufacturing an electronic device is provided, comprising providing a process chamber containing an electronic device, the electronic device comprising a layer to be etched; introducing an etchant into the process chamber, the etchant comprising a noble gas fluoride; and reducing the volume of the process chamber after introduction of the etchant to increase the partial pressure of the etchant.
  • In another embodiment, an etching device for use in fabricating an electronic device is provided, the etching device comprising: a storage chamber configured to store an etchant, wherein the etchant comprises a noble gas fluoride; and a process chamber configured to receive an electronic device to be etched, wherein the process chamber is in fluid communication with the storage chamber, and wherein the process chamber has a variable volume.
  • In another embodiment, an etching device for use in fabricating an electronic device is provided, the etching device comprising: a storage chamber configured to store an etchant, wherein the etchant comprises a noble gas fluoride; an expansion chamber in fluid communication with the storage chamber, the expansion chamber having a variable volume; and a process chamber configured to receive an electronic device to be etched, wherein the process chamber is in fluid communication with the expansion chamber.
  • In another embodiment, a method of manufacturing an electronic device is provided, comprising providing a process chamber containing an electronic device, the electronic device comprising a layer to be etched; introducing an etchant into the process chamber, the etchant comprising a noble gas fluoride; monitoring the partial pressure of the etchant in the process chamber; and introducing additional etchant into the process chamber based at least in part on the monitored partial pressure of the etchant in the process chamber.
  • In another embodiment, an etching device for use in fabricating an electronic device is provided, the etching device comprising a storage chamber configured to store an etchant, wherein the etchant comprises a noble gas fluoride; an etch chamber for retaining an electronic device during an etching process, wherein the etch chamber is in fluid communication with the storage chamber; a first sensor configured to determine the partial pressure of the etchant within the etch chamber; and a valve disposed along a fluid path between the storage chamber and the etch chamber and operably connected to the first sensor, the valve configured to control the rate of flow of etchant between the storage chamber and the etch chamber based at least in part upon the determined partial pressure of the etchant within the storage chamber.
  • In another aspect, a method of fabricating an electronic device comprising a sacrificial material is provided, wherein the method comprises providing an electronic device comprising a sacrificial material, the sacrificial material comprising a compound of the general formula MpXq, wherein M is selected from Mo, W, Si, and Ge; X is selected from Cl, F, O, N, and Si, wherein M is different from X, wherein p and q are in the range of 1 to 6, and wherein a molar volume of MpXq for a given M is greater than a molar volume of M; and exposing the electronic device to an etchant.
  • In another aspect, a partially fabricated electronic device is provided, comprising a sacrificial material, the sacrificial material comprising a compound of the general formula MpXq, wherein M is selected from Mo, W, Si, and Ge; X is selected from Cl, F, O, N, and Si, wherein M is different from X, wherein p and q are in the range of 1 to 6, and wherein a molar volume of MpXq for a given M is greater than a molar volume of M
  • In another aspect, an etching device for use in fabricating a MEMS device is provided, the etching device comprising a storage system configured to store an etchant, wherein the etchant comprises XeF2 and O2; an etching chamber configured to retain a MEMS device during an etching process, wherein the etching chamber is in fluid communication with the storage system; and a cold trap in fluid communication with the etching chamber, wherein the cold trap is configured to remove a byproduct of the etching process.
  • In another aspect, a method of fabricating an electronic device is provided, comprising providing an unreleased electronic device within an etch chamber, wherein the unreleased electronic device comprises a sacrificial layer; and one or more light-transmissive layers adjacent a first side of the sacrificial layer; performing an initial etch through a portion of the sacrificial layer; releasing a gaseous etchant precursor into the etch chamber; and physically exciting the gaseous etchant precursor so as to form a chemically active species capable of etching a remaining portion of the sacrificial layer.
  • In another aspect, a method of fabricating an electronic device is provided, comprising: providing a partially fabricated electronic device within a process chamber, the partially fabricated electronic device comprising an exposed portion of a layer to be etched; introducing an gaseous etchant into the process chamber, wherein the etchant can be activated by exposure to ultraviolet radiation; and exposing a portion of the layer to be etched to radiation from an ultraviolet laser, wherein exposure to the ultraviolet laser activates the gaseous etchant.
  • In another aspect, a method of fabricating an electronic device is provided, comprising providing an electronic device within a process chamber, wherein the electronic device comprises a layer to be etched; providing a gaseous precursor mixture, wherein the gaseous precursor mixture comprises fluorine and a noble gas; exposing the gaseous precursor mixture to ultraviolet radiation to form a noble gas fluoride; and exposing the electronic device to the noble gas fluoride.
  • In another aspect, a method of fabricating an electronic device is provided, comprising providing an electronic device within a process chamber, wherein the electronic device comprises a layer to be etched; releasing an etchant into the process chamber, wherein the etchant comprises a noble gas fluorine, the etchant reacting with the layer to be etched to form elemental noble gas as a byproduct; releasing fluorine into the process chamber; and exposing the fluorine and the elemental noble gas to ultraviolet radiation to generate additional noble gas fluorine.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an isometric view depicting a portion of one embodiment of an interferometric modulator display in which a movable reflective layer of a first interferometric modulator is in a relaxed position and a movable reflective layer of a second interferometric modulator is in an actuated position.
  • FIG. 2 is a system block diagram illustrating one embodiment of an electronic device incorporating a 3×3 interferometric modulator display.
  • FIG. 3 is a diagram of movable mirror position versus applied voltage for one exemplary embodiment of an interferometric modulator of FIG. 1.
  • FIG. 4 is an illustration of a set of row and column voltages that may be used to drive an interferometric modulator display.
  • FIG. 5A illustrates one exemplary frame of display data in the 3×3 interferometric modulator display of FIG. 2.
  • FIG. 5B illustrates one exemplary timing diagram for row and column signals that may be used to write the frame of FIG. 5A.
  • FIGS. 6A and 6B are system block diagrams illustrating an embodiment of a visual display device comprising a plurality of interferometric modulators.
  • FIG. 7A is a cross section of the device of FIG. 1.
  • FIG. 7B is a cross section of an alternative embodiment of an interferometric modulator.
  • FIG. 7C is a cross section of another alternative embodiment of an interferometric modulator.
  • FIG. 7D is a cross section of yet another alternative embodiment of an interferometric modulator.
  • FIG. 7E is a cross section of an additional alternative embodiment of an interferometric modulator.
  • FIG. 8 is a flow diagram illustrating certain steps in an embodiment of a method of making an interferometric modulator.
  • FIGS. 9A-9E are cross-sectional schematic illustrations of various stages in a method of making an interferometric modulator.
  • FIG. 10 is a flow diagram of an example of a process flow which isolates elemental xenon and generates XeF2.
  • FIG. 11 is a plot of the vapor pressures of Xe and SiF4 as a function of temperature.
  • FIG. 12 is a flow diagram of a process flow which recycles unused XeF2 utilizing a size discriminator.
  • FIG. 13 is a flow diagram of a process flow which recycles unused XeF2 utilizing a cold trap.
  • FIG. 14 is a plot illustrating the reactant composition of a typical chemical reaction.
  • FIG. 15 is a schematic diagram of an etching system comprising a byproduct remover.
  • FIG. 16 is a plot of the ration of unused XeF2 to initial XeF2 as a function of dwell time for two different etching processes.
  • FIG. 17 is a schematic diagram of an etching system which is configured to increase the initial partial pressure of an etchant within a process chamber.
  • FIG. 18 is a schematic diagram of an etching system comprising a cold trap.
  • FIG. 19 is a cross-sectional schematic illustration of a stage in a process for fabricating a MEMS device wherein UV light is used to physically excite an etchant precursor.
  • FIG. 20A is a plot of OF2 absorption of UV light as a function of wavelength.
  • FIG. 20B is a plot of UV transmission of 0.7 mm Corning 1737 glass as a function of wavelength.
  • FIG. 20C is a plot of the dissociation rate of OF2 as a function of wavelength.
  • DETAILED DESCRIPTION
  • The following detailed description is directed to certain specific embodiments of the invention. However, the invention can be embodied in a multitude of different ways. In this description, reference is made to the drawings wherein like parts are designated with like numerals throughout. As will be apparent from the following description, the embodiments may be implemented in any device that is configured to display an image, whether in motion (e.g., video) or stationary (e.g., still image), and whether textual or pictorial. More particularly, it is contemplated that the embodiments may be implemented in or associated with a variety of electronic devices such as, but not limited to, mobile telephones, wireless devices, personal data assistants (PDAs), hand-held or portable computers, GPS receivers/navigators, cameras, MP3 players, camcorders, game consoles, wrist watches, clocks, calculators, television monitors, flat panel displays, computer monitors, auto displays (e.g., odometer display, etc.), cockpit controls and/or displays, display of camera views (e.g., display of a rear view camera in a vehicle), electronic photographs, electronic billboards or signs, projectors, architectural structures, packaging, and aesthetic structures (e.g., display of images on a piece of jewelry). MEMS devices of similar structure to those described herein can also be used in non-display applications such as in electronic switching devices.
  • The fabrication of MEMS devices or other electronic devices may include the etching of a layer such as a sacrificial layer. As the etchants used may be costly, an increase in the efficiency of the etching process may reduce the cost of the fabrication process by using less etchant. In one embodiment, unused etchant may be recirculated into the etching process, and other byproducts of the etching process may be removed. In another embodiment, components of the etchant, such as xenon, may be separated from the byproducts and used to generate additional etchant. In other embodiments, either one or both of the etchant and sacrificial layer may be modified to increase the efficiency of the etching process, or to enable the use of less costly etchants.
  • One interferometric modulator display embodiment comprising an interferometric MEMS display element is illustrated in FIG. 1. In these devices, the pixels are in either a bright or dark state. In the bright (“on” or “open”) state, the display element reflects a large portion of incident visible light to a user. When in the dark (“off” or “closed”) state, the display element reflects little incident visible light to the user. Depending on the embodiment, the light reflectance properties of the “on” and “off” states may be reversed. MEMS pixels can be configured to reflect predominantly at selected colors, allowing for a color display in addition to black and white.
  • FIG. 1 is an isometric view depicting two adjacent pixels in a series of pixels of a visual display, wherein each pixel comprises a MEMS interferometric modulator. In some embodiments, an interferometric modulator display comprises a row/column array of these interferometric modulators. Each interferometric modulator includes a pair of reflective layers positioned at a variable and controllable distance from each other to form a resonant optical gap with at least one variable dimension. In one embodiment, one of the reflective layers may be moved between two positions. In the first position, referred to herein as the relaxed position, the movable reflective layer is positioned at a relatively large distance from a fixed partially reflective layer. In the second position, referred to herein as the actuated position, the movable reflective layer is positioned more closely adjacent to the partially reflective layer. Incident light that reflects from the two layers interferes constructively or destructively depending on the position of the movable reflective layer, producing either an overall reflective or non-reflective state for each pixel.
  • The depicted portion of the pixel array in FIG. 1 includes two adjacent interferometric modulators 12 a and 12 b. In the interferometric modulator 12 a on the left, a movable reflective layer 14 a is illustrated in a relaxed position at a predetermined distance from an optical stack 16 a, which includes a partially reflective layer. In the interferometric modulator 12 b on the right, the movable reflective layer 14 b is illustrated in an actuated position adjacent to the optical stack 16 b.
  • The optical stacks 16 a and 16 b (collectively referred to as optical stack 16), as referenced herein, typically comprise several fused layers, which can include an electrode layer, such as indium tin oxide (ITO), a partially reflective layer, such as chromium, and a transparent dielectric. The optical stack 16 is thus electrically conductive, partially transparent, and partially reflective, and may be fabricated, for example, by depositing one or more of the above layers onto a transparent substrate 20. The partially reflective layer can be formed from a variety of materials that are partially reflective such as various metals, semiconductors, and dielectrics. The partially reflective layer can be formed of one or more layers of materials, and each of the layers can be formed of a single material or a combination of materials.
  • In some embodiments, the layers of the optical stack 16 are patterned into parallel strips, and may form row electrodes in a display device as described further below. The movable reflective layers 14 a, 14 b may be formed as a series of parallel strips of a deposited metal layer or layers (orthogonal to the row electrodes of 16 a, 16 b) deposited on top of posts 18 and an intervening sacrificial material deposited between the posts 18. When the sacrificial material is etched away, the movable reflective layers 14 a, 14 b are separated from the optical stacks 16 a, 16 b by a defined gap 19. A highly conductive and reflective material such as aluminum may be used for the reflective layers 14, and these strips may form column electrodes in a display device.
  • With no applied voltage, the gap 19 remains between the movable reflective layer 14 a and optical stack 16 a, with the movable reflective layer 14 a in a mechanically relaxed state, as illustrated by the pixel 12 a in FIG. 1. However, when a potential difference is applied to a selected row and column, the capacitor formed at the intersection of the row and column electrodes at the corresponding pixel becomes charged, and electrostatic forces pull the electrodes together. If the voltage is high enough, the movable reflective layer 14 is deformed and is forced against the optical stack 16. A dielectric layer (not illustrated in this Figure) within the optical stack 16 may prevent shorting and control the separation distance between layers 14 and 16, as illustrated by pixel 12 b on the right in FIG. 1. The behavior is the same regardless of the polarity of the applied potential difference. In this way, row/column actuation that can control the reflective vs. non-reflective pixel states is analogous in many ways to that used in conventional LCD and other display technologies.
  • FIGS. 2 through 5B illustrate one exemplary process and system for using an array of interferometric modulators in a display application.
  • FIG. 2 is a system block diagram illustrating one embodiment of an electronic device that may incorporate aspects of the invention. In the exemplary embodiment, the electronic device includes a processor 21 which may be any general purpose single- or multi-chip microprocessor such as an ARM, Pentium®, Pentium II®, Pentium III®, Pentium IV®, Pentium® Pro, an 8051, a MIPS®, a Power PC®, an ALPHA®, or any special purpose microprocessor such as a digital signal processor, microcontroller, or a programmable gate array. As is conventional in the art, the processor 21 may be configured to execute one or more software modules. In addition to executing an operating system, the processor may be configured to execute one or more software applications, including a web browser, a telephone application, an email program, or any other software application.
  • In one embodiment, the processor 21 is also configured to communicate with an array driver 22. In one embodiment, the array driver 22 includes a row driver circuit 24 and a column driver circuit 26 that provide signals to a display array or panel 30. The cross section of the array illustrated in FIG. 1 is shown by the lines 1-1 in FIG. 2. For MEMS interferometric modulators, the row/column actuation protocol may take advantage of a hysteresis property of these devices illustrated in FIG. 3. It may require, for example, a 10 volt potential difference to cause a movable layer to deform from the relaxed state to the actuated state. However, when the voltage is reduced from that value, the movable layer maintains its state as the voltage drops back below 10 volts. In the exemplary embodiment of FIG. 3, the movable layer does not relax completely until the voltage drops below 2 volts. Thus, there exists a window of applied voltage, about 3 to 7 V in the example illustrated in FIG. 3, within which the device is stable in either the relaxed or actuated state. This is referred to herein as the “hysteresis window” or “stability window.” For a display array having the hysteresis characteristics of FIG. 3, the row/column actuation protocol can be designed such that during row strobing, pixels in the strobed row that are to be actuated are exposed to a voltage difference of about 10 volts, and pixels that are to be relaxed are exposed to a voltage difference of close to zero volts. After the strobe, the pixels are exposed to a steady state voltage difference of about 5 volts such that they remain in whatever state the row strobe put them in. After being written, each pixel sees a potential difference within the “stability window” of 3-7 volts in this example. This feature makes the pixel design illustrated in FIG. 1 stable under the same applied voltage conditions in either an actuated or relaxed pre-existing state. Since each pixel of the interferometric modulator, whether in the actuated or relaxed state, is essentially a capacitor formed by the fixed and moving reflective layers, this stable state can be held at a voltage within the hysteresis window with almost no power dissipation. Essentially no current flows into the pixel if the applied potential is fixed.
  • In typical applications, a display frame may be created by asserting the set of column electrodes in accordance with the desired set of actuated pixels in the first row. A row pulse is then applied to the row 1 electrode, actuating the pixels corresponding to the asserted column lines. The asserted set of column electrodes is then changed to correspond to the desired set of actuated pixels in the second row. A pulse is then applied to the row 2 electrode, actuating the appropriate pixels in row 2 in accordance with the asserted column electrodes. The row 1 pixels are unaffected by the row 2 pulse, and remain in the state they were set to during the row 1 pulse. This may be repeated for the entire series of rows in a sequential fashion to produce the frame. Generally, the frames are refreshed and/or updated with new display data by continually repeating this process at some desired number of frames per second. A wide variety of protocols for driving row and column electrodes of pixel arrays to produce display frames are also well known and may be used in conjunction with the present invention.
  • FIGS. 4, 5A, and 5B illustrate one possible actuation protocol for creating a display frame on the 3×3 array of FIG. 2. FIG. 4 illustrates a possible set of column and row voltage levels that may be used for pixels exhibiting the hysteresis curves of FIG. 3. In the FIG. 4 embodiment, actuating a pixel involves setting the appropriate column to −Vbias, and the appropriate row to +ΔV, which may correspond to −5 volts and +5 volts, respectively. Relaxing the pixel is accomplished by setting the appropriate column to +Vbias, and the appropriate row to the same +ΔV, producing a zero volt potential difference across the pixel. In those rows where the row voltage is held at zero volts, the pixels are stable in whatever state they were originally in, regardless of whether the column is at +Vbias, or −Vbias. As is also illustrated in FIG. 4, it will be appreciated that voltages of opposite polarity than those described above can be used, e.g., actuating a pixel can involve setting the appropriate column to +Vbias, and the appropriate row to −ΔV. In this embodiment, releasing the pixel is accomplished by setting the appropriate column to −Vbias, and the appropriate row to the same −ΔV, producing a zero volt potential difference across the pixel.
  • FIG. 5B is a timing diagram showing a series of row and column signals applied to the 3×3 array of FIG. 2 which will result in the display arrangement illustrated in FIG. 5A, where actuated pixels are non-reflective. Prior to writing the frame illustrated in FIG. 5A, the pixels can be in any state, and in this example, all the rows are at 0 volts, and all the columns are at +5 volts. With these applied voltages, all pixels are stable in their existing actuated or relaxed states.
  • In the FIG. 5A frame, pixels (1,1), (1,2), (2,2), (3,2) and (3,3) are actuated. To accomplish this, during a “line time” for row 1, columns 1 and 2 are set to −5 volts, and column 3 is set to +5 volts. This does not change the state of any pixels, because all the pixels remain in the 3-7 volt stability window. Row 1 is then strobed with a pulse that goes from 0, up to 5 volts, and back to zero. This actuates the (1,1) and (1,2) pixels and relaxes the (1,3) pixel. No other pixels in the array are affected. To set row 2 as desired, column 2 is set to −5 volts, and columns 1 and 3 are set to +5 volts. The same strobe applied to row 2 will then actuate pixel (2,2) and relax pixels (2,1) and (2,3). Again, no other pixels of the array are affected. Row 3 is similarly set by setting columns 2 and 3 to −5 volts, and column 1 to +5 volts. The row 3 strobe sets the row 3 pixels as shown in FIG. 5A. After writing the frame, the row potentials are zero, and the column potentials can remain at either +5 or −5 volts, and the display is then stable in the arrangement of FIG. 5A. It will be appreciated that the same procedure can be employed for arrays of dozens or hundreds of rows and columns. It will also be appreciated that the timing, sequence, and levels of voltages used to perform row and column actuation can be varied widely within the general principles outlined above, and the above example is exemplary only, and any actuation voltage method can be used with the systems and methods described herein.
  • FIGS. 6A and 6B are system block diagrams illustrating an embodiment of a display device 40. The display device 40 can be, for example, a cellular or mobile telephone. However, the same components of display device 40 or slight variations thereof are also illustrative of various types of display devices such as televisions and portable media players.
  • The display device 40 includes a housing 41, a display 30, an antenna 43, a speaker 45, an input device 48, and a microphone 46. The housing 41 is generally formed from any of a variety of manufacturing processes as are well known to those of skill in the art, including injection molding and vacuum forming. In addition, the housing 41 may be made from any of a variety of materials, including, but not limited to, plastic, metal, glass, rubber, and ceramic, or a combination thereof. In one embodiment, the housing 41 includes removable portions (not shown) that may be interchanged with other removable portions of different color, or containing different logos, pictures, or symbols.
  • The display 30 of exemplary display device 40 may be any of a variety of displays, including a bi-stable display, as described herein. In other embodiments, the display 30 includes a flat-panel display, such as plasma, EL, OLED, STN LCD, or TFT LCD as described above, or a non-flat-panel display, such as a CRT or other tube device, as is well known to those of skill in the art. However, for purposes of describing the present embodiment, the display 30 includes an interferometric modulator display, as described herein.
  • The components of one embodiment of exemplary display device 40 are schematically illustrated in FIG. 6B. The illustrated exemplary display device 40 includes a housing 41 and can include additional components at least partially enclosed therein. For example, in one embodiment, the exemplary display device 40 includes a network interface 27 that includes an antenna 43, which is coupled to a transceiver 47. The transceiver 47 is connected to a processor 21, which is connected to conditioning hardware 52. The conditioning hardware 52 may be configured to condition a signal (e.g., filter a signal). The conditioning hardware 52 is connected to a speaker 45 and a microphone 46. The processor 21 is also connected to an input device 48 and a driver controller 29. The driver controller 29 is coupled to a frame buffer 28 and to an array driver 22, which in turn is coupled to a display array 30. A power supply 50 provides power to all components as required by the particular exemplary display device 40 design.
  • The network interface 27 includes the antenna 43 and the transceiver 47 so that the exemplary display device 40 can communicate with one or more devices over a network. In one embodiment, the network interface 27 may also have some processing capabilities to relieve requirements of the processor 21. The antenna 43 is any antenna known to those of skill in the art for transmitting and receiving signals. In one embodiment, the antenna transmits and receives RF signals according to the IEEE 802.11 standard, including IEEE 802.11(a), (b), or (g). In another embodiment, the antenna transmits and receives RF signals according to the BLUETOOTH standard. In the case of a cellular telephone, the antenna is designed to receive CDMA, GSM, AMPS, or other known signals that are used to communicate within a wireless cell phone network. The transceiver 47 pre-processes the signals received from the antenna 43 so that they may be received by and further manipulated by the processor 21. The transceiver 47 also processes signals received from the processor 21 so that they may be transmitted from the exemplary display device 40 via the antenna 43.
  • In an alternative embodiment, the transceiver 47 can be replaced by a receiver. In yet another alternative embodiment, network interface 27 can be replaced by an image source, which can store or generate image data to be sent to the processor 21. For example, the image source can be a digital video disc (DVD) or a hard-disc drive that contains image data, or a software module that generates image data.
  • Processor 21 generally controls the overall operation of the exemplary display device 40. The processor 21 receives data, such as compressed image data from the network interface 27 or an image source, and processes the data into raw image data or into a format that is readily processed into raw image data. The processor 21 then sends the processed data to the driver controller 29 or to frame buffer 28 for storage. Raw data typically refers to the information that identifies the image characteristics at each location within an image. For example, such image characteristics can include color, saturation, and gray-scale level.
  • In one embodiment, the processor 21 includes a microcontroller, CPU, or logic unit to control operation of the exemplary display device 40. Conditioning hardware 52 generally includes amplifiers and filters for transmitting signals to the speaker 45, and for receiving signals from the microphone 46. Conditioning hardware 52 may be discrete components within the exemplary display device 40, or may be incorporated within the processor 21 or other components.
  • The driver controller 29 takes the raw image data generated by the processor 21 either directly from the processor 21 or from the frame buffer 28 and reformats the raw image data appropriately for high speed transmission to the array driver 22. Specifically, the driver controller 29 reformats the raw image data into a data flow having a raster-like format, such that it has a time order suitable for scanning across the display array 30. Then the driver controller 29 sends the formatted information to the array driver 22. Although a driver controller 29, such as a LCD controller, is often associated with the system processor 21 as a stand-alone Integrated Circuit (IC), such controllers may be implemented in many ways. They may be embedded in the processor 21 as hardware, embedded in the processor 21 as software, or fully integrated in hardware with the array driver 22.
  • Typically, the array driver 22 receives the formatted information from the driver controller 29 and reformats the video data into a parallel set of waveforms that are applied many times per second to the hundreds and sometimes thousands of leads coming from the display's x-y matrix of pixels.
  • In one embodiment, the driver controller 29, array driver 22, and display array 30 are appropriate for any of the types of displays described herein. For example, in one embodiment, driver controller 29 is a conventional display controller or a bi-stable display controller (e.g., an interferometric modulator controller). In another embodiment, array driver 22 is a conventional driver or a bi-stable display driver (e.g., an interferometric modulator display). In one embodiment, a driver controller 29 is integrated with the array driver 22. Such an embodiment is common in highly integrated systems such as cellular phones, watches, and other small area displays. In yet another embodiment, display array 30 is a typical display array or a bi-stable display array (e.g., a display including an array of interferometric modulators).
  • The input device 48 allows a user to control the operation of the exemplary display device 40. In one embodiment, input device 48 includes a keypad, such as a QWERTY keyboard or a telephone keypad, a button, a switch, a touch-sensitive screen, or a pressure- or heat-sensitive membrane. In one embodiment, the microphone 46 is an input device for the exemplary display device 40. When the microphone 46 is used to input data to the device, voice commands may be provided by a user for controlling operations of the exemplary display device 40.
  • Power supply 50 can include a variety of energy storage devices as are well known in the art. For example, in one embodiment, power supply 50 is a rechargeable battery, such as a nickel-cadmium battery or a lithium ion battery. In another embodiment, power supply 50 is a renewable energy source, a capacitor, or a solar cell including a plastic solar cell, and solar-cell paint. In another embodiment, power supply 50 is configured to receive power from a wall outlet.
  • In some embodiments, control programmability resides, as described above, in a driver controller which can be located in several places in the electronic display system. In some embodiments, control programmability resides in the array driver 22. Those of skill in the art will recognize that the above-described optimizations may be implemented in any number of hardware and/or software components and in various configurations.
  • The details of the structure of interferometric modulators that operate in accordance with the principles set forth above may vary widely. For example, FIGS. 7A-7E illustrate five different embodiments of the movable reflective layer 14 and its supporting structures. FIG. 7A is a cross section of the embodiment of FIG. 1, where a strip of metal material 14 is deposited on orthogonally extending supports 18. In FIG. 7B, the moveable reflective layer 14 is attached to supports at the corners only, on tethers 32. In FIG. 7C, the moveable reflective layer 14 is suspended from a deformable layer 34, which may comprise a flexible metal. The deformable layer 34 connects, directly or indirectly, to the substrate 20 around the perimeter of the deformable layer 34. These connections are herein referred to as support posts. The embodiment illustrated in FIG. 7D has support post plugs 42 upon which the deformable layer 34 rests. The movable reflective layer 14 remains suspended over the gap, as in FIGS. 7A-7C, but the deformable layer 34 does not form the support posts by filling holes between the deformable layer 34 and the optical stack 16. Rather, the support posts are formed of a planarization material, which is used to form support post plugs 42. The embodiment illustrated in FIG. 7E is based on the embodiment shown in FIG. 7D, but may also be adapted to work with any of the embodiments illustrated in FIGS. 7A-7C, as well as additional embodiments not shown. In the embodiment shown in FIG. 7E, an extra layer of metal or other conductive material has been used to form a bus structure 44. This allows signal routing along the back of the interferometric modulators, eliminating a number of electrodes that may otherwise have had to be formed on the substrate 20.
  • In embodiments such as those shown in FIG. 7, the interferometric modulators function as direct-view devices, in which images are viewed from the front side of the transparent substrate 20, the side opposite to that upon which the modulator is arranged. In these embodiments, the reflective layer 14 optically shields the portions of the interferometric modulator on the side of the reflective layer opposite the substrate 20, including the deformable layer 34. This allows the shielded areas to be configured and operated upon without negatively affecting the image quality. Such shielding allows the bus structure 44 in FIG. 7E, which provides the ability to separate the optical properties of the modulator from the electromechanical properties of the modulator, such as addressing and the movements that result from that addressing. This separable modulator architecture allows the structural design and materials used for the electromechanical aspects and the optical aspects of the modulator to be selected and to function independently of each other. Moreover, the embodiments shown in FIGS. 7C-7E have additional benefits deriving from the decoupling of the optical properties of the reflective layer 14 from its mechanical properties, which are carried out by the deformable layer 34. This allows the structural design and materials used for the reflective layer 14 to be optimized with respect to the optical properties, and the structural design and materials used for the deformable layer 34 to be optimized with respect to desired mechanical properties.
  • FIG. 8 is a flow chart illustrating an embodiment of an exemplary manufacturing process 800 for an interferometric modulator, and FIGS. 9A-9E schematically illustrate corresponding stages in one embodiment of such a manufacturing process. Such steps may be present in a process for manufacturing, e.g., interferometric modulators of the general type illustrated in FIGS. 1 and 7, along with other steps not shown in FIG. 16. With reference to FIGS. 1, 7 and 8, the process 800 begins at step 805 with the formation of the optical stack 16 over the substrate 20. FIG. 9A illustrates such an optical stack 16 formed over the substrate 20. The substrate 20 may be a transparent substrate such as glass or plastic and may have been subjected to prior preparation step(s), e.g., cleaning, to facilitate efficient formation of the optical stack 16. As discussed above, the optical stack 16 is electrically conductive, partially transparent and partially reflective in some embodiments and may be fabricated, for example, by depositing one or more of the layers onto the transparent substrate 20. In particular, it can be seen that the optical stack of FIG. 9A comprises a multilayer structure comprising sublayers 60 a, 60 b, and 60 c, although more or fewer sublayers may be included in other embodiments. In some embodiments, one of the sublayers comprises a partially reflective layer or absorber layer selected for its optical properties, and another sublayer comprises a layer selected for its conductive properties. In other embodiments, a single sublayer having suitable optical and electrical properties may be used. In some embodiments, one or more of the sublayers are patterned into parallel strips, and may form row electrodes in a display device. Such patterning may be done in some embodiments by a masking and etching process, but alternative methods of patterning are discussed in greater detail below. In some embodiments, the optical stack 16 includes an insulating or dielectric, such as sublayer 60 c of FIG. 9A, layer that is deposited over one or more metal layers (e.g., reflective and/or conductive layers such as sublayers 60 a or 60 b).
  • The process 800 illustrated in FIG. 8 continues at step 810 with the formation of a sacrificial layer over the optical stack 16. The sacrificial layer is later removed (e.g., at step 825) to form the cavity 19 as discussed below and thus the sacrificial layer is not shown in the resulting interferometric modulator 12 illustrated in FIG. 1. FIG. 9B illustrates a partially fabricated device comprising a sacrificial layer 62 formed over the optical stack 16. The formation of the sacrificial layer 62 over the optical stack 16 may include deposition of a XeF2-etchable material such as molybdenum or amorphous silicon, in a thickness selected to provide, after subsequent removal, a cavity 19 (see FIGS. 1 and 9E) having the desired size. Deposition of the sacrificial material may be carried out using deposition techniques such as physical vapor deposition (PVD, e.g., sputtering), plasma-enhanced chemical vapor deposition (PECVD), thermal chemical vapor deposition (thermal CVD), or spin-coating.
  • The process 800 illustrated in FIG. 8 continues at step 815 with the formation of a support structure e.g., a post 18 as illustrated in FIGS. 1, 7 and 9C. The formation of the post 18 may include the steps of patterning the sacrificial layer to form a support structure aperture, then depositing a material (e.g., a polymer or a silicon oxide) into the aperture to form the post 18, using a deposition method such as PECVD, thermal CVD, or spin-coating. In some embodiments, the support structure aperture formed in the sacrificial layer extends through both the sacrificial layer and the optical stack 16 to the underlying substrate 20, so that the lower end of the post 18 contacts the substrate 20 as illustrated in FIG. 7A. In other embodiments, such as the embodiment illustrated in FIG. 9C, the aperture formed in the sacrificial layer extends through the sacrificial layer, but not through the optical stack 16. For example, FIG. 7D illustrates the lower end of the support post plugs 42 in contact with the optical stack 16. In certain embodiments, the post 18 or other support structures may be formed by depositing a layer of support structure material over the sacrificial layer and patterning portions of the support structure material located away from apertures in the sacrificial layer. In some embodiments, the support structures may be located within the apertures, as illustrated in FIG. 9C, but in other embodiments at least a portion of the support structure may extend over a portion of the sacrificial layer. As noted above, the patterning of the sacrificial layer and/or the support posts may be done by a patterning and etching process, but may also be done by alternative etching methods discussed below.
  • The process 800 illustrated in FIG. 8 continues at step 820 with the formation of a movable reflective layer or membrane such as the movable reflective layer 14 illustrated in FIGS. 1, 7 and 9D. The movable reflective layer 14 may be formed by employing one or more deposition steps, e.g., reflective layer (e.g., aluminum, aluminum alloy) deposition, along with one or more patterning, masking, and/or etching steps. As discussed above, the movable reflective layer 14 is typically electrically conductive, and may be referred to herein as an electrically conductive layer. In certain embodiments, the movable reflective layer 14 may comprise a plurality of sublayers as shown in FIG. 9D. In certain embodiments, one of the sublayers 64 a may comprise a highly reflective sublayer selected for its optical properties, and another sublayer 64 b may comprise a mechanical sublayer selected for its mechanical properties. Since the sacrificial layer is still present in the partially fabricated interferometric modulator formed at step 820 of the process 800, the movable reflective layer 14 is typically not movable at this stage. A partially fabricated interferometric modulator that contains a sacrificial layer may be referred to herein as an “unreleased” interferometric modulator.
  • The process 800 illustrated in FIG. 8 continues at step 825 with the formation of a cavity, e.g., a cavity 19 as illustrated in FIGS. 1, 7, and 9E. The cavity 19 may be formed by exposing the sacrificial material 62 (deposited at step 810) to an etchant. For example, an etchable sacrificial material such as molybdenum or amorphous silicon may be removed by dry chemical etching, e.g., by exposing the sacrificial layer to a gaseous or vaporous etchant, such as vapors derived from solid xenon difluoride (XeF2) for a period of time that is effective to remove the desired amount of material, typically selectively relative to the structures surrounding the cavity 19. Other etching methods, e.g. wet etching and/or plasma etching, may also be used, and certain alternate etching methods are discussed in greater detail below. Since the sacrificial layer is removed during step 825 of the process 800, the movable reflective layer 14 is typically movable after this stage. After removal of the sacrificial material, the resulting fully or partially fabricated interferometric modulator may be referred to herein as a “released” interferometric modulator.
  • As will be understood, the process of removal of a sacrificial layer via an etching process may also be applied to other MEMS devices which comprise a gap or other spacing between adjacent components, and is not limited to utilization with the exemplary interferometric modulator described herein. As described above, this removal may be done via a variety of different processes, including but not limited to wet etches, dry etches, and plasma etches. The XeF2 dry etch described above can be used in conjunction with sacrificial layers such as molybdenum, germanium, and silicon, for example. This process involves the use of an etching process gas that contains XeF2 as the primary etchant, and that optionally contains other etchant (such as oxygen) and/or inert carrier gases. As will be discussed in greater detail below, although several of the methods below are discussed with respect to the use of XeF2 as the primary etchant, the methods may be adapted to utilize other noble gas fluorides, such as KrF2, in place of XeF2.
  • In certain embodiments, the manufacturing processes and equipment used to manufacture MEMS devices using a XeF2 sacrificial etch may be modified so as to recover the XeF2 and/or to recover the xenon (Xe) component of the XeF2. The recovered XeF2 may be recycled for use in additional etching processes. The recovered Xe may be reacted with F2 used to generate XeF2, which may also be recycled for use in additional etching processes. During or after the etching process, the process gases may be collected from the etch chamber and then processed as desired. The process gases may include the unused XeF2 (or other etchant), by-products of the etching reaction, impurities and any carrier gases which may be present. When other noble gas fluorides are used, either or both of the unused noble gas fluorides and the corresponding noble gas component may be recovered.
  • The reaction byproducts are the products of the etching reactions between the XeF2 etchant and the sacrificial layer, and it will be understood that the byproducts will differ depending on, at least, the nature of the etchant and sacrificial layer used. For an embodiment in which the sacrificial layer comprises molybdenum, the byproducts will typically include MoFx (where x is an integer in the range of 2 to 6), and for an embodiment in which silicon is used as the sacrificial layer, the byproducts will typically include SixFy (where x is an integer in the range of 1 to 2, and where y is an integer in the range of 2 to 6). Where additional etchants (e.g., oxygen) are used in conjunction with the XeF2 etchant, the byproducts may include complex fluorides of the sacrificial element (e.g., MoOxFy).
  • Common impurities that may be present in the etching process gas include H2O, HF, CFx, CHFx, COFx, CO, CO2, residues of purging gases and trace amounts of metal fluorites. It will also be understood that the etching process gases may contain reaction intermediates, such as XeF or F, but because these reaction intermediates have comparatively short lifetimes before they react further to form the reaction byproducts, they are not separately discussed below.
  • In order to recover and/or recycle xenon, the unique physical properties of xenon may be utilized, such as the relatively low boiling point and inertness. These physical and chemical properties may be used to facilitate separation of the xenon from the other process gases and other materials which may be collected during the etching process.
  • FIG. 10 illustrates a generalized process flow 100 which may be used to extract Xe from the process gases and utilize the collected Xe to generate XeF2 for use in the etch process. It will be understood, however, that the process may be modified in a variety of ways, including the addition or removal of steps, and that the steps may be performed in a different order. The process begins at a etch process step 110 wherein a MEMS device or other device to be fabricated is exposed to XeF2 gas. In step 120, the process gases are collected, such as through the collection of process exhaust from the etching reaction chamber. In step 130, the system may be exposed to purge gas so as to drive the process flow. In step 140, a Xe converter is utilized to generate Xe from the collected process gases. In step 150, the generated Xe is separated from the remainder of the process gases and purified, such as through the use of a cold trap. This separated Xe may be pressurized or condensed in a step 160. Fluorine (F2) may be added in a step 170, and XeF2 generated in a step 180. The XeF2 may be purified in a step 190 before being returned to the etch process.
  • A variety of methods and devices may be used to convert recovered Xe-containing species to elemental Xe. For example, xenon converters may comprise liquid bath converters, solid bed converters, or gas bath converters, each of which will be discussed in turn. The Xe-containing species to be converted may comprise primarily unused XeF2, in addition to other impurity or intermediate xenon fluorides, as well as complex fluorides if co-etchants in addition to XeF2 are used.
  • XeF2 can react with water in a hydrolysis reaction to produce elemental Xe and O2. However, in order to accelerate the conversion of XeF2 to Xe, an acidic or basic solution may be used instead of a neutral aqueous solution. XeF2 hydrolyzes with a first order rate constant of about 4.2×10−4/sec in acidic solution at 298° K to release hydrofluoric acid, atomic xenon, and molecular oxygen according to the following reaction:

  • XeF2(g)+H2O(l)→HF(aq)+Xe(g)↑+O2(g)
  • Basic solutions may also be used as the liquid bath solution, including for example, NaOH or KOH, and the selection of a particular basic solution allows generation of desired byproducts for a particular embodiment. In one embodiment, the use of basic solutions such as NaOH or KOH, in which hydroxide (OH) serves as a reducing agent, results in the generation of water, elemental xenon, and molecular oxygen according to the following reaction:
  • XeF 2 ( g ) + 2 HO ( aq ) - F ( aq ) - + H 2 O ( aq ) + Xe ( g ) + 1 2 O 2 ( g )
  • In another embodiment, it can be seen that the use of an alternate reducing agent can yield different byproducts, which may be soluble products such as organic acid salts, rather than gases which will mix with the released Xe. In a particular embodiment, methanoate salts (also known as formate salts) such as sodium methanoate (also known as sodium formate) may be used, in accordance with the following reaction:

  • XeF2(g)+4HCOO(l) →2F(aq) +CO3(aq) 2−+2H2O(aq)+Xe(g)
  • Furthermore, if the sacrificial materials are metals, such as Mo and W, their gaseous etching byproducts (such as MoFx), will typically hydrolyze in the basic solution. The gases emerging from the liquid bath Xe converter thus include elemental Xe, in addition to water vapor and any purge gases used. In a particular embodiment, the purge gas and bath solution may be selected such that the purge gas is absorbed by the solution, along with any etching byproducts, so as to provide a Xe converter which performs multiple functions. In a particular embodiment, CO2 may be used as the purge gas, and an aqueous solution containing sodium methanoate and sodium hydroxide is used in the converting bath, the XeF2 will be converted to elemental Xe, and the purge gas and the byproducts of the etching process will be absorbed.
  • In other embodiments, solid bed converters or gas bath converters may be used as the Xe converter. A solid bed converter may comprise any reducing agent which reduces xenon fluorides to elemental Xe. For certain of these reducing agents, such as nickel, copper, and iron, the XeF2 will react to form solid fluorides on the surfaces of the materials, via adsorption or absorption reactions. Other reducing agents include, but are not limited to, materials that form solid fluorides or sub-fluorides, sub-oxides, sub-nitride, sub-halogen compounds or organic salts. In other embodiments, materials such as silicon, molybdenum or germanium may be used as reducing agents, and may result in the formation of gas or liquid fluorides, rather than solid fluorides (e.g., depending on the pressure). Other similar reducing agents include materials that form gaseous fluorides and sub-fluorides, sub-oxides, sub-nitride, sub-halogen compounds, organics or polymer. Similarly, gaseous reduction agents can be used in gas bath converters, and may comprise, for example, organics such as ethane, ethylene, and formaldehyde, or inorganics such as ammonia, hydrazine, and phosphine.
  • Once elemental xenon has been obtained within the process gases, the xenon may be separated from the other process gases and purified. The selection of a process for separating the elemental xenon from the other materials will depend in large part on the other gases or other materials present with the xenon at this point in the recycling process, which in turn are dependant upon the combination of etchant(s) and sacrificial materials used, as well as the presence and specifics of the Xe converter.
  • One method of separating and purifying elemental xenon is a cryogenic trap, which may also be referred to as a cold trap. As noble gases have low boiling points (the boiling point of Xenon is 165° K, the boiling point of argon is 87° K, and the boiling point of He is 4.2° K), the process gases can be driven through a cold trap at a temperature which is above the boiling point of the noble gas, but sufficiently low that the remaining components of the process gases will condense, leaving a mixture which is substantially composed of the noble gas, with only trace amounts of other materials. When XeF2 is used as an etchant, and Mo is used as a sacrificial layer, a trap that is cooled with, for example, solid CO2 (dry ice, typically used in conjunction with acetone) to a temperature below the sublimation point of CO2, can be used to cause condensation of the other gases, including etching byproducts such as MoFx, as their boiling points are significantly higher. The majority of the moisture within the process gases will also typically be condensed (the remaining amount of water in the gas after passing through the CO2 trap may have a partial pressure on the order of 0.2 mTorr), and subsequent processes, such as the use of a CaO desiccant, may be used to further remove moisture.
  • In other embodiments, the process gases may comprise a material which has a boiling point similar to that of elemental xenon. For example, if Si is used as a sacrificial material, one of the primary byproducts of the etching process is SiF4, which has a boiling point of 187° K, close to that of Xe. FIG. 11 illustrates the vapor pressures of Xe and SiF4 as a function of temperature. It can be seen that the vapor pressure of SiF4 is roughly 189 Torr at the boiling point of Xe, and thus if the cold trap were at 165° K (the boiling point of Xe), the vapor pressure of SiF4 would still be 189 Torr, and thus significant amounts of SiF4 would likely pass through the cold trap. It can also be seen in FIG. 11 that at lower temperatures, the difference between the vapor pressure of Xe and SiF4 increases. Thus, at 125° K, the vapor pressure of Xe is 19.5 Torr and the vapor pressure of SiF4 is 0.75 Torr, and at 120° K, these values decrease to 11.1 Torr and less than 0.4 Torr, respectively. By adding an active chemical agent, such as NaF, SiF4 can be further eliminated. In one embodiment, in the presence of NaF, the equilibrium SiF4 vapor pressure may be reduced to 10−47 Torr at room temperature, as the NaF will react with the SiF4 according to the following reaction

  • SiF4(g)+NaF(s)→Na2SiF6(s)
  • Other alkaline and earth alkaline fluorides can be used to reduce the presence of SiF4, as well.
  • Cold traps at such low temperatures may be provided in a variety of ways. In some embodiments, the cold trap is cooled via solid-liquid melting, such as via the use of chloroethene. In other embodiments, the cold trap is cooled via liquid-gas fusion, such as through the use of krypton. In still other embodiments, solid-liquid vaporization at low pressure may be used, such as solid CF4 in propane. Melting and fusion systems are suitable for maintaining an accurate temperature over a prolonged period of time. Solid-liquid vaporization systems provide additional control over the temperature in the cold bath.
  • In a particular embodiment, solid CF4 in propane may be used to provide a cold bath with a temperature in the range of 100° K-140° K, by varying the pressure from 5 Torr to 760 Torr. In certain embodiments, purge gases such as N2 or He (which may have lower boiling points than xenon or another gas being separated and purified) may not be separated by an initial cold trap, as they will remain in gas form when the Xe remains in gas form. A subsequent cold trap, such as a liquid N2 cold trap, may be used to condense the Xe, and the purge gas will remain in the gas phase.
  • This recycling method may be modified as described above in order to apply the method to recycle other noble gas-based etchants. For example, this method may be used to recycle noble gases from xenon fluorides (such as XeF4, XeF6, XeF8 and XeF2.XeF4, etc.), xenon halogen fluorides (such as XeCl2F2 and XeClF5, etc.) xenon oxyfluorides (XeOF2, XeOF4, XeO2F2, XeOF6, etc.), other xenon compounds (such as XeO3 and XeO4, etc.) and other noble gas compounds (KrF2, etc.). Certain of the methods described above may be more effective for different chemistries. Routine experimentation guided by the disclosure provided herein may be used to identify suitable conditions for particular situations.
  • It will also be understood that different methods may be used to separate XeF2 from the process gases than those used to separate Xe. The separation of XeF2 may also be done during the etch process to increase the efficiency of the etch process, as discussed in greater detail below. The separation of either XeF2 or Xe may be done via any of the methods described herein, and may be done by utilizing their physical or chemical properties. For example, differences in vapor pressure may be utilized during a vacuum distillation or condensation process, differences in phase change temperatures such as boiling or melting points may be utilized in distillation and filtration processes, differences in solubility may be utilized in an extraction process, or differences in crystal structures may be used in recrystallization processes. Other properties such as ionization energy, molecular weight, diffusivity, density, absorption, adsorption, and chromatographic properties may be used to separate materials.
  • In one particular embodiment, XeF2 may be a comparatively large molecule compared to the other molecules in the process gases. Using size-discrimination membranes or molecular sieves, the XeF2 may be separated from the other molecules and may be returned to the process, sometimes after additional purification.
  • FIG. 12 illustrates an embodiment of such a process 200, which begins at a step 210 in which a XeF2 etch is used during an etching process. In step 220, the process gases may be exhausted from the etch chamber. In step 230, the XeF2 is separated from the other molecules (including elemental Xe) through the use of a size discriminator, such as the size-discrimination membrane or molecular sieve, or another suitable method of separating the XeF2. The separated XeF2 may be returned to the etch process in a step 240 and the elemental Xe may itself be recycled in some manner in a step 250.
  • A cold trap may also be used to separate the XeF2, in a similar manner to the separation of elemental Xe discussed above. When XeF2 is used as an etchant, and Mo is used as a sacrificial layer, the primary etching byproduct is MoF6. A suitable temperature for the cold trap is about 230° K, although it will be understood that temperatures both above and below 230° K may be used. At 230° K, the vapor pressure of MoF6 is several Torr, while that of XeF2 is below 0.1 Torr. This temperature may be maintained in a variety of ways, such as through the use of solid-liquid tetrachloroethane, which will maintain a temperature of roughly 230.8° K at 1 atmosphere, or liquid-gas chlolodifluoridomethane, which will maintain a temperature of about 232.5° K at 1 atmosphere.
  • FIG. 13 illustrates an exemplary process 300 for the separation and collection of XeF2 using a cold trap. In step 310, the process exhaust is collected. In a step 320, water vapor may be removed from the process gases via the use of a desiccant. The process may then move to a step 330 where the XeF2 is removed from the other process gases using a cold trap. The process may also include a step 370 where the elemental Xe separated from the XeF2 in the cold trap is recycled. After the XeF2 is separated via the cold trap in step 330, the XeF2 may be further purified in a step 340 wherein the XeF2 undergoes a distillation or filtration process, and a step 350 wherein the XeF2 is recrystallized. In particular, the XeF2 may be vaporized at one location and then recrystallized at another location. In some embodiments, steps 340 and 350 may be repeated as necessary to obtain a desired level of purity. Finally, in a step 360, sufficiently pure XeF2 may be obtained, which may be in a solid form if the recrystallization step 350 is performed.
  • As noted above, many different methods may be used to separate XeF2, and the suitability of a particular method will depend in large part on the other materials from which the XeF2 is to be separated. In an embodiment in which XeF2 is used as an etchant and Mo is used as a sacrificial layer, the primary byproduct will typically be MoF6, as noted above. At a temperature in the range of about 290° K to 307° K, and at one atmosphere, MoF6 is a liquid and XeF2 is a solid. Thus, filtration at room temperature may be used to separate the solid and the liquid.
  • Collected elemental Xe may be used to generate XeF2, as noted above. In one embodiment, the Xe can be exposed to F2 at high pressure to form XeF2, using nickel as a catalyst. In another embodiment, Xe may directly react with an approximately stoichiometrically equal twice amount of F2 when exposed to heat, UV light, or irradiation from electrical discharge. In a particular embodiment, Xe and F2 may be irradiated with UV light at a wavelength of about 250 nm to 350 nm, generating substantially pure XeF2.
  • Thus, it will be understood that the various methods described above may be combined in various ways, and that certain steps may be modified, replaced, or left out altogether. In certain embodiments, elemental Xe may be extracted from the process exhaust, and may be stored for later recycling, or may be used to generate XeF2 through the processes described above, or through other suitable processes. In other embodiments, unused XeF2 may be separated and purified. In further embodiments, generated or separated XeF2 may be returned to the etching process, as will be discussed in greater detail below.
  • FIG. 14 illustrates the reactant composition of a typical chemical reaction. The ratio of the pressure at equilibrium (t=∞) to that at the beginning (t=0) can be used to determine the theoretical efficiency (i.e., the possible maximum). This determination may be calculated using the standard Gibbs free energy of the reactants and products, as understood by a person having ordinary skill in the art. In an etching process wherein XeF2 at 1 Torr is used to etch a Mo sacrificial layer, and the molar ratio of Mo to XeF2 is 1:3, the equilibrium pressure of XeF2 at room temperature is roughly 1×10−58 Torr, meaning that the theoretical efficiency is very close to 100%. Current estimates of the efficiency of actual etching processes are significantly below 100%, on the order of 50%. Suspected causes of the discrepancy between actual and theoretical efficiency include process conditions which are not in equilibrium and the etching processes being designed for overetch, to ensure removal of all of the sacrificial material. While these factors may prevent attainment of the theoretical maximum efficiency, the efficiency may nonetheless be improved, at least by moving forward the reaction coordination and modifying the etching process flow. The efficiency of the XeF2 etching process may thus be improved.
  • In certain embodiments, the etching process may be modified to increase the release rate. Generally, the release rate may be expressed by the following relationship:

  • Rate=k·A sac ·P XeF2 n ·P product m ·P product2 l . . .
  • where k is a rate constant, Asac is the exposed surface area of the sacrificial materials, and P is the partial pressure for the respective products. The reaction orders for the various reactant and products are given by n, m, l, etc., and n is a positive number, while m and l are either zero or negative.
  • It can be seen that an increase in the exposed sacrificial material surface area Asac will increase the release rate of the etching process. In certain embodiments, this may be increased through the inclusion or addition of etch holes extending through an overlying layer and permitting access to the sacrificial layer. In further embodiments, these etch holes may be nano-size holes which permit the passage of XeF2. For example, as compared to typical embodiments in which relatively few relatively large etch holes are provided and etching gasses and byproducts need to travel relatively far to exit the reaction area within the cavity as it is being formed, providing a relatively large number of relatively small nano-size holes decreases the distance within the cavity traversed by the etching gasses and byproducts, and may provide a faster release rate. In further embodiments, porous sacrificial materials may be used so as to increase the exposed surface area at a given point in the etching process.
  • In another embodiment, the partial pressure of the byproduct may be reduced, and the partial pressure of the etchant maintained or the reduction minimized. FIG. 15 illustrates a system 400 which is configured to achieve this result utilizing certain techniques previously discussed. This system includes an etching chamber 410, which is separated from a XeF2 supplier 420 via a valve 412 which controls the rate of flow of XeF2 into the etching chamber 410. One or more sensors to monitor the state of the etching chamber 410 may be provided. In the illustrated embodiment, the system 400 comprises a sensor 432 configured to monitor the total pressure and a sensor 434 configured to monitor the XeF2 pressure, permitting a determination of the partial pressure of the XeF2 at any given point during the etching process. A circulation pump 440 is separated from the etching chamber via a valve 414, and is configured to push process gases through a valve 416 towards a byproduct remover 450, which in certain embodiments is a size discriminator that may separate the XeF2 from the remainder of the materials based upon the size of the XeF2 molecule. Thus, the byproduct remover 450 may comprise, for example, a permeable membrane or a size-selective absorber or adsorber. A Xe separation apparatus 460 may be provided, so as to generate elemental Xe from the etching byproducts. When necessary, a valve 419 may be opened and a vacuum pump 470 used to remove the process gases from the etching chamber. The separated XeF2 may then be permitted to flow back into the etching chamber. In certain embodiments, the valves may be two-way valves.
  • Thus, in one embodiment, the circulation pump 440 drives process gases towards the byproduct remover 450 during the etching process. The etching byproducts are removed during the etching via the byproduct remover 450, so as to reduce the partial pressure of the byproducts. By circulating the separated XeF2 back into the etching chamber 410, the rate of decrease of the XeF2 partial pressure can be slowed. Where necessary or desired, the sensors 432 and 434 which monitor the XeF2 partial pressure can be used to provide an indication of how long valve 412 should be opened in order to release additional XeF2, so as to maintain the partial pressure of XeF2 at a desired location.
  • In another embodiment, a valve 418 may be provided between the byproduct remover 450 and the etch chamber 410 so as to permit operation in a pulse etching mode, wherein valves 414 and 416 are periodically opened to permit process gases to flow towards the byproduct remover 450, and then valve 418 is opened to permit the purified XeF2 to flow into the etch chamber 410. The circulation pump 440 may be used in both steps to facilitate gas flow.
  • In another embodiment, a variable volume chamber may be used in a pulse etching process to increase the rate constant of the etching process. The etching of a molybdenum layer using XeF2 has been shown to be a first order reaction. Namely, the etch reaction rate at a given temperature for a given workpiece (assuming the exposed Mo surface area is constant) is as follows:

  • Rate=k·P XeF2 n,
  • where k is the reaction rate constant, and PXeF2 is the partial pressure of the XeF2 in the process chamber. When the etching takes place in a closed vessel, the relative consumption rate of XeF2 due to etching Mo is independent of the initial partial pressure of XeF2 in the process chamber. This relative consumption rate can be expressed in terms of the half time of XeF2 within the chamber (the time to consume 50% of the total XeF2 within the chamber), wherein the half time is given by:

  • t 1/2=ln (2/k).
  • The half time of the etchant thus determines the usage efficiency of the etching process. For a given process, a dwell time of 2t1/2 will result in a usage efficiency of 75%, and a dwell time of 4t1/2 will result in a usage efficiency of 94%. Thus, by doubling the dwell time to four times the half time, the usage efficiency of XeF2 increases by almost 20%, but at the cost of a decrease in throughput.
  • A series of shorter dwell times used in a pulse etching process provides greater etching at the cost of a lower usage efficiency for the XeF2 etchant. Thus, in one embodiment, a series of three XeF2 pulse etch cycles may be used, wherein XeF2 at 1 Torr partial pressure is introduced into an etching chamber for dwell periods of 30 seconds each, followed by a pump-out purge between cycles.
  • In an embodiment in which the half time of XeF2 during this etching process is about 15 seconds, the ratio of unused XeF2 to initial XeF2 within the process chamber as a function of the total etch dwell time is shown in FIG. 16. It can be seen the ratio 554 of unused to initial XeF2 for this process decreases to about 0.25 at the end of each cycle. 75% of the total XeF2 is thus used in this process. The remainder can be recovered and/or recycled as discussed above.
  • As noted above, the relative rate of XeF2 consumption is independent of the initial partial pressure of the XeF2. By increasing the initial partial pressure of the XeF2, the half time will remain constant and the etch rate of the Mo will increase. In another embodiment, the three cycle etch described above may be replaced with a single cycle, with an initial partial pressure of 3 Torr and a dwell time of about 90 seconds. As the relative consumption rate of the etchant is independent of the initial partial pressure, the plot 566 of the ratio of unused XeF2 to initial XeF2 as a function of time parallels the plot 564 of the same ratio from the previously discussed pulse etching process for the first thirty seconds of the dwell time, and then continues downward, such that roughly 99% of the XeF2 is used by the end of the 90 second dwell time.
  • As the same total amount of XeF2 was introduced in the single etch cycle at 3 Torr as was introduced by the combination of the three etch cycles at 1 Torr, it can be seen that the efficiency has been significantly increased by the introduction of XeF2 at a higher partial pressure. Although described with respect to a single extended etch cycle, it will be understood that multiple cycles at higher XeF2 partial pressures may be used in succession in an etching process where an increase in throughput at the expense of etching efficiency may be desirable.
  • FIG. 17 illustrates an embodiment of a system 470 which is configured to introduce an etchant into a process chamber 480 at an increased partial pressure. The system 470 is similar to the system 400 of FIG. 15, but differs in two significant respects. Because the system 470 need not constantly maintain the partial pressure of etchants within the processing chamber 470, the sensors 432 and 434 of system 400 need not be included in system 470, although sensors to monitor the partial pressure of the system 470 may be included if desired. The system 470 also includes an expansion chamber 482 located between the XeF2 source 420 and the processing chamber 480. The expansion chamber 482 may comprise a mechanism for varying the volume of the expansion chamber 482 in order to increase the pressure of the XeF2. In another embodiment, the processing chamber 480 may include a mechanism for varying the volume of the processing chamber 480 as well, and in particular embodiments no expansion chamber 482 may be necessary when the processing chamber 480 has a variable volume.
  • In an embodiment in which the system 470 comprises an expansion chamber 482 with a varying volume, an etching process may proceed as follows. Valve 411 is opened to permit XeF2 to flow from the XeF2 storage chamber 420 into the expansion chamber 482. The XeF2 in the expansion chamber 482 may then be compressed to increase the pressure of the XeF2 within the expansion chamber 482. Valve 412 may then be opened to allow the pressurized XeF2 to flow from expansion chamber 482 into the process chamber 480. Because pressurized XeF2 is flowing into the process chamber 480, the partial pressure of XeF2 within the process chamber 480 will be increased, and the amount of sacrificial material consumed per unit time will be increased accordingly, as the relative rate of consumption will remain constant with a larger initial amount of etchant within the chamber.
  • If multiple cycles are to be used, a circulation pump 440 may be used to draw process gases out of the process chamber 480 between cycles, as discussed above. Similarly, as discussed above, a size discriminator 450 and a Xe recycler 460 may be used to recycle Xe remaining within the chamber 480 at the end of an etch cycle. In addition, when multiple cycles are used, the time between cycles may be reduced by introducing additional XeF2 into the expansion chamber 482 during the dwell time of the previous cycle. By compressing the XeF2 in the expansion chamber 482 at or during this time, pressurized XeF2 may be ready for release into the process chamber immediately upon completion of the pump-out process at the end of the previous etch cycle.
  • In other embodiments, the volume of the process chamber 480 may be reduced once the XeF2 is introduced to increase the partial pressure of the etchant. This may be done either in place of or subsequent to compression of the etchant in the expansion chamber 482.
  • In further embodiments, the XeF2 may be maintained at a higher temperature before introduction into the process chamber 480, while the expansion chamber 482 is kept at the normal process temperature. The pressure within the process chamber 480 is dependent upon the volume of the expansion chamber 482 and the volume ratio between the expansion chamber 482 and the process chamber 480. The pressure within the expansion chamber 482 can be controlled by controlling the minimum temperature in the XeF2 storage area 420, the expansion chamber 482, and the gas manifold. The higher this minimum temperature, the higher the possible pressure within the expansion chamber 482. So long as the pressure within the process chamber 480 is kept below the XeF2 vapor pressure at the process temperature, condensation of the XeF2 etchant can be avoided.
  • For example, in a particular embodiment wherein the volume ratio between the process chamber 480 and the expansion chamber 482 at the point of gas release is 1:1, XeF2 at a temperature of 50° C. and a pressure of 23 Torr can be released into the process chamber 480 kept at 30° C. and will result in a XeF2 vapor pressure of about 8 Torr within the process chamber 480. The specific temperatures and pressures used may depend on a variety of design considerations, included but not limited to the desired throughput rate for the manufacturing process, the desired process temperature, and the structure to be etched.
  • In another embodiment, the rate constant k may be increased in order to increase the etching rate. In general, the rate constant k is defined by the Arrhenius equation:
  • k = f · - E a RT
  • where k is the rate constant, f is the pre-exponential factor, Ea is the activation energy, R is the universal gas constant, T is the absolute temperature. For a particular reaction, f and Ea are constant. Increasing the temperature at which the etching process is performed will increase the etching rate. However, the effectiveness of increasing the temperature depends in large part upon the activation energy. The device being fabricated may also limit the temperature at which the etching process may be performed.
  • It will also be understood that the rate constant may be varied by altering the etching process. This may be done by altering the etchant or sacrificial material, or by the addition of a co-etchant. In one embodiment, oxygen is used in addition to XeF2 to etch a molybdenum sacrificial layer, where the reaction is given by:
  • Mo ( s ) + 1 2 O 2 ( g ) + 2 XeF 2 ( g ) MoOF 4 ( g ) + 2 Xe ( g )
  • The XeF2 may also react directly with the Mo to form MoF6. As the MoF6 may then react with oxygen to form additional MoOF4, the MoOF4 may be the primary eventual byproduct. As MoOF4 has a lower vapor pressure than XeF2, the process gases may be passed through a cold trap to condense the MoOF4 and recirculate the separated XeF2 back into the etch chamber.
  • FIG. 18 illustrates an exemplary system 500 which may be configured for use with the XeF2 and O2 etching process described above. The system includes valves 506 located throughout the system (specific valves are identified herein by a particular suffix). The system also includes pressure sensors 502 and 504, which in the illustrated embodiment comprise 100 Torr manometers and 1000 Torr manometers, respectively, although other pressure sensors may be used. A XeF2 storage chamber 512 and an O2 storage chamber 514 are used to store the etchants, and a purge gas chamber 516 may also be provided, and may contain, for example, nitrogen. Control of the XeF2 flow may be provided via a valve 506 a and control of the O2 flow may be provided via, inter alias a valve 506 b. Mass flow controllers 508 may also be utilized to provide additional control over gas flow, as well.
  • Although any of the gases may be dispensed directly into the etching chamber 510 via a valve 506 k, the XeF2 and O2 may be mixed and stored in a separate etchant mixing chamber 518, wherein the composition of the mixture may be controlled, and the pressure monitored via the pressure sensors in communication with the mixing chamber. A valve 506 d may be used to dispense the etchant mixture into the etching chamber 510. When necessary, a valve 506 e may be opened, and process gases may be pulled from the etch chamber 510 via circulation pump 520. When desired, a bypass valve 506 f may be closed, and cold trap inlet valve 506 a and exit valve 506 h may be opened, directing fluid flow through the cold trap 530. The cold trap 530 will thus collect condensed MoOF4 as the process gases flow through the cold trap. The unused XeF2 may be then routed back into the etching chamber. The components of the system other than the cold trap may in some embodiments be maintained at an elevated temperature so as to prevent the collection of residue.
  • It may become necessary to remove excess MoOF4 from the cold trap 530 after prolonged operation. In such a case, the cold trap inlet and exit valves 506 g and 506 h may be closed, the cold trap warmed to a temperature sufficient to permit vaporization of the MoOF4, a valve 506 i may be opened, and the vaporized MoOF4 pulled out via exhaust pump 540. A size discriminator 550 may be provided downstream of the exhaust pump, and may be used in conjunction with a xenon recycling process to isolate or remove desired species. The exhaust pump may also be used, when a valve 506 j is open, to remove the gas from the etching chamber, such as in conjunction with the release of purge gas.
  • Thus, the system 500 can reduce the partial pressure of byproducts such as MoOF4, while the XeF2 and O2 mixture is continuously pumped into the etch chamber 510 (and isolated unused etchant is recirculated into the etch chamber 510) in order to maintain a desired partial pressure of the etchant. It will also be understood that portions of the system 500 may be used in conjunction with other devices and processes disclosed herein, and that portions of the system 500 may be replaced, rearranged, or removed entirely, as appropriate.
  • In another embodiment, alternate sacrificial materials or structures may be used. In certain embodiments, the etching rate may be increased by utilizing a sacrificial layer other than Mo, Si, or Ge. In particular, the use of a material having a higher molar volume (e.g., a lighter material) will reduce the amount of material needed to fill in a given volume, reducing the amount of etchant utilized to remove a given volume of sacrificial material. In addition, where multiple step reactions are utilized to etch sacrificial material, the use of the intermediate products of these reactions as sacrificial material may reduce the amount of etchant utilized to etch the sacrificial material, and may also increase the speed of the etching process.
  • As noted above, when XeF2 is used to etch Mo as the sacrificial material, the stoichiometric chemistry is as follows:

  • Mo(s)3XeF2(g)→3Xe(g)+MoF6(g)
  • If, instead, an intermediate of the above reaction, such as molybdenum subfluoride, is used as the starting sacrificial material, the stoichiometric chemistry for the release process is now as follows:
  • MoF 3 ( s ) + 3 2 XeF 2 ( g ) 1 2 Xe ( g ) + MoF 6 ( g )
  • Thus, it can be seen that 50% less XeF2 etchant is utilized to release a given molar volume of MoF3, in comparison to the amount utilized to release a given molar volume of just molybdenum. However, it will also be understood that the higher volume of MoF3 (32.96 cm3/mol in contrast to 9.53 cm3/mol for Mo), will reduce the amount of MoF3 deposited to fill a given space. For example, it will take 0.03 moles of MoF3 to fill a cubic centimeter of space, in comparison to the 0.105 moles needed for Mo. The amount of XeF2 utilized to remove one cubic centimeter of MoF3 is 0.045 moles, as compared to the 0.315 moles utilized to remove the same volume of Mo. Thus, by using MoF3 in place of Mo, the amount of XeF2 utilized to etch the sacrificial layer can be reduced to roughly 14% of what would be utilized to etch Mo.
  • The etching of a sacrificial layer such as the partially fluorinated MoF3 may take place faster than the etching of only Mo. Such accelerated etching has been observed in partially oxidized Mo layers, such as the exposed portions of a Mo sacrificial layer adjacent to etch holes or other apertures which expose the Mo layer.
  • It will be understood that a wide variety of intermediate products and other Mo-, Si-, W-, and/or Ge-containing materials used as sacrificial materials may provide a similar reduction in the amount of utilized etchant. Table 1 is a non-limiting list of examples of such materials, along with their molar volume, XeF2 stoichiometry, melting point, and their ratio of XeF2 usage to that of Mo for a given volume of material.
  • TABLE 1
    Mate- Molar volume XeF2 Melting Point XeF2 usage
    rials (cm3/mol) stoichiometry (C.) vs. Mo
    Mo 9.53 3 2622 1
    Si 12.06 2 1414 0.527
    W 9.42 3 3414 1.01
    Ge 13.63 2 938.25 0.466
    MoF3 32.96 1.5 >600 0.1451
    MoF5 54.55 0.5 67 0.029
    MoF4 Unknown 1 Unknown Unknown
    MoN 11.95 3 1750 0.797
    MoSi2 24.53 7 1900 0.9067
    *MoO2 19.77 2 1100 0.321
    *MoO3 30.63 2 860 0.207
    MoCl3 54.09 3 1027 0.176
    WN2 27.51 3 600 0.346
    WCl4 70.49 3 450 0.135
    *WO2 19.99 3 1500 0.477
    WF4 unknown 1 800 unknown
    *SiO 20.22 2 >1200 0.314
    Si3N4 44.25 6 1900 0.431
    GeF2 30.39 1 110 0.105
  • The XeF2 stoichiometry shown in Table 1 assumes that the final byproducts are full fluorides for the sub-fluorides listed, full fluorides and oxyfluorides for the sub-oxides, full fluorides and molecular nitrogen for sub-nitrides, and full fluorides and molecular chlorine for sub-chlorines. It will be understood that in various embodiments and process conditions, the actual etching reactions may vary. Furthermore, the volumes are listed on the basis of bulk material data, and the molar values of thin films and porous materials may change significantly. For MoO2, MoO3, WO2 and SiO, the stoichiometric chemistry given in the above table is based upon certain assumptions. Although not listed, non-stoichiometric materials may also be used.
  • It will be understood by those skilled in the art that various physical properties described herein (such as boiling point) may be adjusted by modifying the conditions (such as pressure) under which they are determined. Thus, in view of the guidance provided herein, the descriptions of particular physical properties (such as boiling points and separation conditions at a given pressure) herein will be understood as descriptions of the corresponding physical properties under other conditions (such as the corresponding boiling points at other pressures).
  • It will be appreciated that Xe and XeF2 recovered from a particular etching process in any of the manners described herein need not be absolutely purified in order to be useful for recycling and re-use in other process, such as in subsequent etching processes (i.e., the reused etching gas may include significant concentrations of impurities and still be useful for etching). For example, for releasing a Si sacrificial layer, recycling as little as 4% by volume of XeF2 can still act as a commercially viable etchant. An embodiment provides a recovered Xe product that has been sufficiently purified by the methods described herein to render it useful for subsequent reaction with F2 to form a XeF2-containing etching gas that is sufficiently free of deleterious impurities so as to be useful for subsequent etching processes to make, for example, MEMS devices as described herein. Another embodiment provides a recovered XeF2 product that has been sufficiently purified by the methods described herein so that is sufficiently free of deleterious impurities so as to be useful for subsequent etching processes to make, for example, MEMS devices as described herein.
  • In addition to XeF2, a wide variety of other etchants may be used to etch sacrificial layers. Depending on the embodiment, in certain applications, some of these etchants may not react with the sacrificial layer at a sufficient rate, and may be used in conjunction with a chemical or physical excitation process, as noted below. In addition, depending on the particular materials and structures used, certain of these etchants may be used in conjunction with etch barrier layers to protect layers which could otherwise be damaged by the etchants.
  • Some suitable alternative etchants are fluorine-based. For example, some oxygen fluorides and derivatives which may be suitable include, but are not limited to, F2O, F2O2, F2O4, FONO, and FONO2. Some halogen fluorides and derivatives which may be suitable include, but are not limited to, ClF, BrF, ClF3, ClF5, ClO2, OClF, O2ClF, O3ClF, BrF3, BrF5, IF3, IF7, ClIF4, and NCF. When using certain halogen fluorides as release etchants, an etch barrier layer may be used as discussed above, particularly to protect aluminum layers. In addition, BrF3 may be refluorinated with fluorine and recycled for reuse, if desired, in a manner similar to certain of the methods discussed above. Some nitrogen fluorides and derivatives which may be suitable include, but are not limited to, NF3, N2F4, ONF, O3NF, C(NF2)4, (CH3)2C(NF2)2, 1,1-di-difluoroamino, fluorinatated cyclohexane, 1,1,4,4-tetradifluoroamino, F2C(NF2)2 and FC(NF2)3. In addition, other materials may be suitable, as well, including but not limited to SF6, FOOF, NF3 and NH3.
  • In certain embodiments, etchants having chemical properties similar to those of XeF2 may be used in etching processes. In particular embodiments, OF2 and its derivatives—including but not limited to FOOF, CF3OF, C2F5OF, SF5OF, and others—may be used as isotropic etchants, and in specific embodiments may be used to etch sacrificial layers such as Si and Mo, although other materials such as Ge, W. and V may also be etched. The materials to be etched may be reacted with OF2 and its derivatives to form volatiles. As will be discussed in greater detail below, the etchants may be excited in a variety of ways to cause or accelerate the etching processes.
  • XeF2 is a linear molecule which is thermally stable at temperatures as high as 500° C. It has a first dissociation energy of roughly 250 kJ/mole, significantly higher than the F2 dissociation energy of roughly 160 kJ/mole. The second dissociation energy of XeF2, from an XeF radical to elemental Xe and a F radical, is roughly 15 kJ/mole. Similarly, the first dissociation energy of OF2, from OF2 to OF and F radicals, is close to that of XeF2, also at roughly 250 kJ/mole. The second dissociation energy of OF2, splitting the OF free radical into O and F, is less than the first dissociation energy, at roughly 160 kJ/mole.
  • By replacing one of the F atoms in the OF2 molecule with a substitute, the properties of the molecule may be modified to provide a molecule having a desired property. For example, XeF2 has a molecular weight of 170 amu. By replacing one of the F atoms with SF5, forming SF5OF, a molecule having a molecular weight of 162 amu, close to that of XeF2. If a molecule having a lower first dissociation energy is needed, one of the F atoms may be replaced with CF3 to form CF3OF, which has a first dissociation energy of roughly 180 kJ/mole, less than that of OF2.
  • In certain embodiments, OF2 derivatives such as C2F5OF and FOC2F4OF may comprise both OF and fluorocarbon groups. Such molecules may function not only as sacrificial etchants, but also as fluorocarbon deposition precursors. In certain embodiments, when an electronic device being etched comprises a MEMS device such as interferometric modulators, the deposited fluorocarbons which result from the use of these materials as etchants may serve as an anti-stiction layer which prevents undesirable or permanent adhesion between two adjacent layers in the MEMS device. The carbon chain length may also be increased through the use of chain growth reactants such as diiodomethane.
  • In an exemplary embodiment in which OF2 is used to etch Si, the OF2 molecule may undergo various chemical reactions with the Si layer, depending on the dissociation conditions. A possible reaction proceeds as follows:

  • OF2(g)→OF+F

  • Si(s)+4F→SiF4(g)
  • Another possible reaction of OF2 with the Si layer proceeds as follows:

  • OF2(g)→O+2F

  • Si(s)+OF+F→SiOF2(g)
  • Generally, many chemicals may be physically excited so as to cause dissociation and generate a chemically active species, or may be physically excited so as to cause a chemical in an inert state to be excited to a chemically active excited state. Such chemicals may be referred to as etchant precursors.
  • UV activation of F2 discussed above is one such example of UV dissociation of materials. In another example, SF6 may be used in a downstream plasma etching process so as to isotropically etch a Si sacrificial layer. Because many of such active species may be unstable (or metastable), the chemically active species may in certain embodiments be generated in close proximite to the sacrificial material to be etched. In other embodiments, active species having sufficiently long lifetimes for a desired application may be selected.
  • Various methods of physical excitation may be utilized, such as plasma (RF or discharge), exposure to UV light and other high energy radiation such as X-rays or gamma radiation, laser activation, high temperatures, microwave heating, and high energy ion incidence. In certain embodiments, etchant precursors may be activated only through thermal energy. OF2 will begin to decompose at a temperature of between 250 and 270° C. However, in order to increase the etch rate of OF2, an F atom can be replaced with a CF3 molecule, forming CF3OF. The first dissociation energy is thus decreased from roughly 250 kj/mol to roughly 180 kJ/mol. At a temperature of 55° C., the dissociation rate is much faster (roughly 1011 times faster) than that of OF2.
  • Another OF2 derivative having similar properties to that of XeF2 is FO—CF2—CF2—OF, 1,1,2,2-tetrafluoroethyl 1,2-dihypofluorite. This compound has a molecular weight of 170 amu, the same as XeF2, and is expected to have a similar first dissolution energy (roughly 180 kj/mol), from FOC2F4OF to an F radical and FOC2F4O, Similarly, the second dissolution energy, from FOC2F4O to an F free radical and OC2F4O is expected to be similar to that of XeF2, as the free radical is highly energetic.
  • By heating such an etchant or etchant precursor to a level sufficient to cause dissociation, the etch rate may be increased to a desired level. As an increased temperature may alter the etch selectivity of the etchant, it may be necessary to maintain the temperature below a particular temperature. In one embodiment, the etchant or etchant precursor is heated to a temperature of less than 300° C. In one embodiment, the etchant or etchant precursor is heated to a temperature of greater than 250° C. The specific temperature used may vary based at least in part on the specific etchant or etchant precursor, the other materials being used in the electronic device to be etched, and the desired dissociation rate and/or etch time.
  • For MEMS devices wherein layers underlying the sacrificial layer are at least partially light-transmissive, exposure to UV or other radiation may be done by exposure through these light-transmissive layers. In certain embodiments, the sacrificial layer or other layer to be etched may itself comprise a light-transmissive material, such as SixNy (e.g., deposited by PECVD).
  • In certain embodiments, F2 may be used to etch Si when excited by UV radiation to form an activated etching species in the form of a F free radical according to the following reaction, referred to as photolysis or photodissociation:
  • Figure US20090074646A1-20090319-C00001
  • These F free radicals may be produced from F2 at low pressure, e.g., up to 1-2 Torr in 20 Torr Ar, by the use of microwave heating in a sapphire tube, exposure to UV light, laser activation, or by placement in a furnace at a sufficiently high temperature, such as between 300 and 600° C. In an embodiment, the F2 may be photodissociated by UV light having a wavelength of about 290 nm, although other wavelengths of UV light may also be used.
  • Because of its low dissociation energy, F2 can be dissociated into F free radicals having a lifetime of several minutes, although the lifetime will be dependent at least in part upon the partial pressure of the F free radical in an inert gas. These F free radicals are not highly corrosive to most alloys, and can thus be piped via copper or stainless steel piping.
  • These F free radicals are substantially non-reactive to materials such as SiO2 and Al2O3, and can thus be used to selectively etch sacrificial layers such as Si or Mo relative to adjacent SiO2 or Al2O3 layers. Furthermore, the reaction rate between the F free radicals and Mo (to form MoF6) or Si (to form SiF4) gases is relatively high, such that in most embodiments the photolysis rate of the F2 will control the etching rate. The photolysis rate may be dependent upon the light intensity, the photon cross-section of F2, and the quantum yield of the dissociation reaction. In a particular embodiment the etchant comprises F2 at 400 Torr and a temperature of 55° C. exposed to UV light with a wavelength of 290 nm and at an intensity of 5 W/cm2. In such an embodiment, Mo will be etched by the F free radicals at a rate of about 130 nm/sec (8 μm/sec).
  • In the embodiment depicted in FIG. 19, a sacrificial layer 710 has been deposited over a substrate 700 which is at least partially transmissive to light, and in particular may be at least partially transmissive to UV light. In certain embodiments, such as the illustrated embodiment, the sacrificial layer 710 has been deposited over an optical stack 720 located on the substrate, wherein the optical stack is also least partially transmissive to UV light. A mechanical layer 730 has been deposited over the sacrificial layer, and an etch hole 732 formed in the mechanical layer to expose a portion of the sacrificial layer. An initial etch has been performed so as to remove a portion 712 of the sacrificial material to expose the underlying optical stack 720. A physically excitable chemical, such as a gaseous chemical, may then be introduced into the etch chamber in which this process is performed. This excitable chemical will enter the removed portion 712 of the sacrificial layer.
  • The excitable chemical is then exposed to UV radiation through the light-transmissive layers, exciting the chemical to create an active species which interacts with the sacrificial material so as to etch the sacrificial material. Because the excitable chemical will permeate the cavity defined by the removed sacrificial layer, the UV light will continue to generate the active species adjacent the edge of the sacrificial layer as the sacrificial layer is etched. This process thus permits the use of active species which may have short lifetimes and which would otherwise be unable to isotropically diffuse down the length of the cavity to reach portions of the sacrificial layer.
  • In a particular embodiment, the initial etch may be performed by exposing the exposed sacrificial layer to UV radiation from the opposite side of the movable layer. In certain embodiments, a single UV light source may be used, and the substrate rotated or the light source moved with respect to the substrate once the initial etch has etched through the substrate. In other embodiments, UV light sources on both sides may be used. In an embodiment in which the sacrificial layer comprises a light-transmissive material, only a UV light source on the side of the substrate may be needed. In other embodiments, the initial etch may be performed by another etching method, such as etch subsequent to the formation of etch holes in the movable layer. In certain embodiments this etch may be done using either the same mask as that used to form the etch holes or by using the patterned movable layer itself as a mask.
  • Under the conditions discussed above (400 Torr F2, 55° C., 290 nm UV light at 5 W/cm2 intensity), and assuming an additional 10 μm undercut in a 0.2 μm gap, the undercut etch rate of Mo will be about 65 μm/sec when exposed through Corning 1737 glass. In other embodiments, by varying the above process conditions, the etch rate may be increased or decreased.
  • A variety of excitable chemicals may be used in such an etching process. For example, F2 may be excited as discussed above. A mixture comprising F2 and O2 may be exposed to UV light to generate FOOF and FOO radicals. It will also be understood that particular excitable chemicals may be used in conjunction with particular sacrificial materials. For example, SF6 and NF3 may be excited and used to etch Si. NH3 may be excited and used to etch SiO2. This excitation may be done via plasma, or via UV radiation in the manner described above, functioning similarly to plasma. UV radiation with a wavelength of 248 nm has photon energy of 5 eV, which is sufficient to break most single bonds. Shorter wavelengths can provide still additional energy. In some embodiments, pulsing IR and/or microwave energy on/off (or amplitude modulating) can “pump” the reactant in and out of the cavity as the sacrificial layer is released. It will also be understood that many of the other fluorides may be excited in a similar manner to that discussed above.
  • In certain embodiments, homogenous catalysts may be used in conjunction with UV or other types of excitation. In one embodiment, KrF2 (or Kr+F2) may be used as etchants, under conditions in which the etchants are excited, chemically or physically. KrF2 in crystalline form sublimes at 213° K, and at room temperature KrF2 is a gas. KrF2 gas pressures can be obtained which are one or two orders of magnitude greater than for XeF2 gas, which may increase the etch rate of sacrificial material, as discussed above. In addition, KrF2 is significantly less expensive than XeF2. It has a lifetime of many hours, and it may be practical to generate it on-site in a manufacturing line and use in an etching process in a nearby system. Delivery of KrF2 may be done via stainless steel or copper tubing. It may be generated by exposing a mixture of Kr and F2 to UV light with a wavelength in the range of about 250 to about 350 nm.
  • Once produced, KrF2 may be used in an etching process with or without further purification. KrF2 may also be produced in the same chamber used as the etching chamber, eliminating the need for KrF2 to be piped to the etching chamber. In a particular embodiment, the device to be etched is loaded into the chamber, and Kr and F2 are then released into the chamber. A UV light is then activated in operable proximity to the chamber, and KrF2 is produced. Under certain conditions, F2 may be produced, as well. Both KrF2 and the F radical will begin etching the sacrificial material.
  • The Kr in the KrF2 molecules will serve as a homogenous catalyst during the fluorination of the sacrificial material, according to the following reaction:
  • Figure US20090074646A1-20090319-C00002
  • It can be seen that the Kr is not consumed by this fluorination process, and thus, the same amount of Kr will be regenerated from the etching reaction. In addition, the extended lifetime of the KrF2 molecules makes them suitable for use in etching a high-aspect ratio sacrificial layer such as that in an interferometric modulator, where the etchant diffuses down a long path within the cavity being released before reaching portions of the sacrificial material.
  • Similarly, Xe may be used as a homogenous catalyst in a UV-activated F2 etching process, resulting in in situ XeF2 generation and etching of a layer such as a Si or Mo layer according to the following chemical reactions (described with respect to the etching of a Mo layer):
  • Figure US20090074646A1-20090319-C00003
  • If sufficient Xe is utilized such that Xe is not a limiting reagent of the XeF2 generation reaction, the XeF2 generation rate will be dependent upon the amount of F2 present, the degree to which the material is exposed to UV light (e.g., areal or volumetric exposure rate), and the wavelength and power output of the UV light source. In an embodiment in which the process chamber comprises 400 Torr F2 and a 1000W UV lamp emitting light with a wavelength of about 290 nm on an area 10 cm×10 cm in size, 1.2 g/min of XeF2 can be generated. If instead OF2 at 400 Torr is used, and the lamp emits light with a wavelength of 248 nm, XeF2 may be generated at a rate of 5.4 g/min.
  • As described above with respect to the use of Kr, the Xe is utilized as a catalyst, but is not consumed. At the conclusion of the etching process, some or all of the Xe or Kr utilized in the etching process may be recovered using a suitable method, such as the methods discussed above.
  • Etchants suitable for etching a high-aspect sacrificial or other layer may be particularly suitable for use when the surrounding layers are not significantly transmissive to UV radiation, such as in a non-optical MEMS device comprising two metal or otherwise opaque layers separated by a sacrificial layer during the fabrication process. For example, such an etching process may be suitable for the etching of a sacrificial layer in certain MEMS switches including two adjacent opaque layers separated by the sacrificial layer.
  • In another embodiment, the use of a noble gas such as Kr or Xe as a homogenous catalyst may be used to maintain an etching process where the initial etchant comprises a noble gas fluoride such as XeF2 or KrF2. In a particular embodiment, an etching process begins by releasing XeF2 into a process chamber. F2 may also be released into the chamber, either along with the XeF2, or at a different time, such as subsequent to the XeF2 release. As elemental Xe may be one of the byproducts of the XeF2 etching process, the process gases within the process chamber may eventually contain elemental Xe, even though it was not released into the process chamber in that form.
  • The process gases within the process chamber may then be exposed to UV radiation, which will cause the elemental Xe and F2 to form XeF2, as discussed above. The XeF2 will then continue the etching process. A XeF2 process can be maintained in this manner without the introduction of additional XeF2, or with the introduction of less additional XeF2 than would otherwise be necessary. The additional F2 can in certain embodiments be released over time, either continuously or in pulses. In particular embodiments, the XeF2 may be generated by exposing the process gases to UV radiation through a transmissive substrate and/or other transmissive layers, such that the XeF2 may be generated directly at the etch boundary. Although discussed with respect to XeF2, this method may be used with other noble gas fluorides, such as KrF2, to maintain etching processes using those etchants, as well.
  • UV excitation may also be used in an embodiment of an etching process in which an O—F bond in an OF2 molecule or a derivative thereof is dissociated to form radicals. As noted above, the dissociation conditions may determine the manner in which the OF2 molecule is dissociated. For example, when a photon having a wavelength of about 250 nm or less is used, the OF2 molecule will fully dissociate into 0 and F radicals in the following reaction:
  • Figure US20090074646A1-20090319-C00004
  • If a photon having a wavelength of about 250 nm to 495 nm is used, the OF2 molecule will dissociate in the following manner:
  • Figure US20090074646A1-20090319-C00005
  • If a photon having a wavelength of about 495 nm to 550 nm is used, the OF2 molecule will dissociate according to the following reaction:
  • Figure US20090074646A1-20090319-C00006
  • The dissociation rate of OF2 is dependent upon, for example, the absorptivity of the OF2 molecule, the intensity of the UV light, and the quantum yield. If one assumes that the quantum yield is substantially constant across the UV spectrum, the dissociation rate is the product of the absorptivity of OF2 to UV light and the transmission of the UV light through any intervening media. In an embodiment in which OF2 adjacent the sacrificial material is exposed to UV light through a light-transmissive substrate, the dominant factor in the transmittance of the UV light is the transmittance of the substrate. In a particular embodiment, the substrate being used is a 0.7 mm-thick Corning 1737 glass substrate.
  • FIG. 20A is a plot of OF2 absorption of UV light as a function of wavelength. FIG. 20B is a plot of UV transmission of 0.7 mm Corning 1737 glass, as given by the Corning 1737 datasheet. FIG. 20C is a plot of the dissociation rate of OF2 as a function of wavelength of UV light transmitted through such a substrate. It can be seen that the highest rate of dissociation will occur using UV light having a wavelength in the range of about 310 nm to about 350 nm. Exposure to UV light at this wavelength will cause dissociation into 0 and OF, as noted above. When used to etch a Mo sacrificial layer, an etch byproduct will be MoF4, which is less susceptible to water and less corrosive to silicon than byproducts of some other etching reactions, facilitating the etching of a Mo layer selectively with respect to adjacent layers and also facilitating the handling of the etch byproducts.
  • Although the above embodiments of UV-excited or UV-photodissociated etchants have been discussed with respect to broad areas of UV exposure, more focused UV exposure may be used to localize etching. Commercially available UV lasers are capable of generating spot beams having a width of less than 1 μm, such as the ESI 9850UV tool, which is capable of producing a 0.8 μm spot size UV beam.
  • For UV-photodissociated etchants such as Cl2 or F2 photodissociated by a spot UV beam, the Cl or F radicals will be formed substantially only along the beam path. Given appropriate process conditions, Cl or F radicals having a mean free path on the same order of magnitude as a submicron spot size UV beam may be generated. In certain embodiments, this may be done through the inclusion of radical scavengers which prevent the chain propagation and diffusion of radicals. For example, Si can be patterned by UV-enhanced Cl2 etching at 50° C. using O2 at 400 Torr as a radical scavenger. Under such conditions, the mean free path of the Cl radicals generated by photodissociation of the Cl2 molecules will be less than 0.5 μm.
  • Thus, under appropriate process conditions, the use of a UV laser or other spot UV source may permit maskless etching of materials. As discussed above, the fabrication of a MEMS device such as an interferometric modulator typically comprises multiple patterning steps, which may involve the use of one or more masks. In certain embodiments, spot UV-enhanced patterning may be used to accurately pattern such layers at the resolution desired for MEMS device fabrication.
  • For example, the interferometric modulator fabrication process discussed above may comprise the patterning of a sacrificial layer to form apertures for support structures. In one embodiment, this is done without the use of a mask by introducing a UV-enhanced etchant and a radical scavenger into a process chamber, and exposing the portions of the sacrificial layer to be etched to UV light via a UV spot light source such as a UV laser. Radicals are generated in the path of the light beam, and the portion of the sacrificial layer exposed to the UV light source will be etched by the generated radicals. In a specific embodiment, a fluorine-based compound which dissociates under UV irradiation can be used to pattern a sacrificial layer comprising Mo or Si, as discussed above. Other sacrificial layers which can be etched in this manner include, but are not limited to, Ge and Ge—Si layers.
  • Other suitable UV-activated etchants may also be used, and other materials or layers within MEMS devices may also be patterned in this manner. For example, Mo may also be used in an optical stack, and may be etched by F2 under UV irradiation, as discussed above. MoCr layers may be used in optical stacks, and may be etched by F2 under UV-irradiation to form MoF6 and CrF5. Al may be used as a highly reflective layer, such as in a movable reflective sublayer, and may be etched by Cl2 under UV-irradiation to form Al2Cl6.
  • When a UV laser is used to excite the etchant, particularly in combination with radical scavengers, there may be no need for a mask or other method of constraining the etch in the lateral direction, as the radicals will exist substantially only in the path of the UV laser beam. However, as the etch will expose underlying layers, an etch stop layer may be provided underneath the layer to be etched, when the underlying layer is susceptible to etching by the generated radicals. In certain embodiments, the etch stop layer may comprise a suitable oxide or nitride. For example, in particular embodiments, materials which may be used as etch stop layers underlying a layer to be etched include, but are not limited to, SiO2, SixNy, and Al2O3.
  • In other embodiments, chemical excitement may be utilized. In particular embodiments, additional materials may be deposited with or adjacent to the sacrificial layer to serve as a catalyst or reagent in an etching reaction. Chemical excitement may be done for example by radical generation. In some embodiments, a radical initiator from the azo-nitrile family may be used. One example is 2,2-azobis[2-methyl-]-propanenitrile (AZDH). Various molecules in this family can be used to generate free radicals at different temperatures, and these radicals may last for hours. Azonitrile radical initiators may be obtained commercially (e.g., Vazo® family, produced by Dupont). Organic peroxides (e.g., di-tert-butyl peroxide), hyperperoxides (e.g., n-docecyl hyperperoxide), and peresters (e.g., benzperoxoic acid ethyl ester) are other examples of free radical initiators.
  • In an embodiment in which OF2 dissociation is used to generate radicals which etch a particular material, NO may be introduced along with the OF2 in order to accelerate the dissociation of the OF2. NO is a molecular free radical with an unpaired election which can cause the dissocation of OF2 according to the following reaction:

  • OF2+NO→F+FONO
  • The FONO may then further decompose according to the following reaction:

  • FONO→F+NO2
  • A F free radical is generated by this reaction with NO, and the F free radical can then react with the material to be etched.
  • Although not specifically discussed with respect to individual systems or methods, it will be understood that etching systems suitable for performing the above embodiments may comprise a computer or other control system. Such a computer or other control system may be operably connected to one or more of the components of the system, and may also be connected to system components not shown, such as pressure systems or other monitoring equipment. It will also be understood that at least some the steps of the various methods discussed herein may be performed by a computer, and that such a computer may utilize a computer-readable medium comprising instructions for performing certain of the steps of the various methods discussed herein.
  • It will be understood that various combinations of the above embodiments are possible. For example, as noted above, other noble gas fluorides may be used in place of XeF2 in the above embodiments. It is also to be recognized that, depending on the embodiment, the acts or events of any methods described herein can be performed in other sequences, may be added, merged, or left out altogether (e.g., not all acts or events are necessary for the practice of the methods), unless the text specifically and clearly states otherwise.
  • While the above detailed description has shown, described, and pointed out novel features of the invention as applied to various embodiments, it will be understood that various omissions, substitutions, and changes in the form and details of the device of process illustrated may be made by those skilled in the art without departing from the spirit of the invention. As will be recognized, the present invention may be embodied within a form that does not provide all of the features and benefits set forth herein, as some features may be used or practiced separately from others.

Claims (26)

1. A method of fabricating an electronic device comprising a sacrificial material, wherein the method comprises:
providing an electronic device comprising a sacrificial material, the sacrificial material comprising a compound of the general formula MpXq, wherein M is selected from Mo, W, Si, and Ge; X is selected from Cl, F, O, N, and Si, wherein M is different from X, wherein p and q are in the range of 1 to 6, and wherein a molar volume of MpXq for a given M is greater than a molar volume of M; and
exposing the electronic device to an etchant.
2. The method of claim 1, wherein the etchant comprises a noble gas fluoride.
3. The method of claim 2, wherein the etchant further comprises O2.
4. The method of claim 2, wherein the etchant comprises XeF2.
5. The method of claim 4, wherein for a given M, a molar unit of MpXq is etchable by a smaller volume of XeF2 than a molar unit of M.
6. The method of claim 1, wherein the sacrificial material comprises MoF3.
7. The method of claim 1, wherein the sacrificial material comprises MoF4.
8. The method of claim 1, wherein the sacrificial material comprises MoF6.
9. The method of claim 1, wherein the sacrificial material comprises MoN.
10. The method of claim 1, wherein the sacrificial material comprises MoSi2.
11. The method of claim 1, wherein the sacrificial material comprises MoO2.
12. The method of claim 1, wherein the sacrificial material comprises MoO3.
13. The method of claim 1, wherein the sacrificial material comprises MoCl3.
14. The method of claim 1, wherein the sacrificial material comprises WN2.
15. The method of claim 1, wherein the sacrificial material comprises WCl4.
16. The method of claim 1, wherein the sacrificial material comprises WO2.
17. The method of claim 1, wherein the sacrificial material comprises WF4.
18. The method of claim 1, wherein the sacrificial material comprises SiO.
19. The method of claim 1, wherein the sacrificial material comprises Si3N4.
20. The method of claim 1, wherein the sacrificial material comprises GeF2.
21. A partially fabricated electronic device comprising a sacrificial material, the sacrificial material comprising a compound of the general formula MpXq, wherein M is selected from Mo, W, Si, and Ge; X is selected from Cl, F, O, N, and Si, wherein M is different from X, wherein p and q are in the range of 1 to 6, and wherein a molar volume of MpXq for a given M is greater than a molar volume of M.
22. The device of claim 21, wherein for a given M, a molar unit of MpXq is etchable by a smaller volume of XeF2 than a molar unit of M.
23. An etching device for use in fabricating a MEMS device, the etching device comprising:
a storage system configured to store an etchant, wherein the etchant comprises XeF2 and O2;
an etching chamber configured to retain a MEMS device during an etching process, wherein the etching chamber is in fluid communication with the storage system; and
a cold trap in fluid communication with the etching chamber, wherein the cold trap is configured to remove a byproduct of the etching process.
24. The etching device of claim 23, wherein the storage system comprises:
a first storage chamber configured to store XeF2;
a second storage chamber configured to store O2; and
a mixing chamber in fluid communication with both of said first and second storage chambers.
25. The etching device of claim 23, additionally comprising a purge storage chamber, wherein the purge storage chamber is configured to store a purge gas, and wherein the purge storage chamber is in fluid communication with the etching chamber.
26. The etching device of claim 23, additionally comprising:
an exhaust pump in fluid communication with the etching chamber, wherein the exhaust pump is configured to remove process gases from the etching chamber; and
a size discriminator configured to separate components from the process gases.
US12/210,138 2007-09-14 2008-09-12 Etching processes used in mems production Abandoned US20090074646A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/210,138 US20090074646A1 (en) 2007-09-14 2008-09-12 Etching processes used in mems production

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US97274807P 2007-09-14 2007-09-14
US12/210,138 US20090074646A1 (en) 2007-09-14 2008-09-12 Etching processes used in mems production

Publications (1)

Publication Number Publication Date
US20090074646A1 true US20090074646A1 (en) 2009-03-19

Family

ID=40225368

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/210,026 Abandoned US20090071933A1 (en) 2007-09-14 2008-09-12 Etching processes used in mems production
US12/210,010 Expired - Fee Related US8308962B2 (en) 2007-09-14 2008-09-12 Etching processes used in MEMS production
US12/210,138 Abandoned US20090074646A1 (en) 2007-09-14 2008-09-12 Etching processes used in mems production
US12/210,042 Expired - Fee Related US8323516B2 (en) 2007-09-14 2008-09-12 Etching processes used in MEMS production

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/210,026 Abandoned US20090071933A1 (en) 2007-09-14 2008-09-12 Etching processes used in mems production
US12/210,010 Expired - Fee Related US8308962B2 (en) 2007-09-14 2008-09-12 Etching processes used in MEMS production

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/210,042 Expired - Fee Related US8323516B2 (en) 2007-09-14 2008-09-12 Etching processes used in MEMS production

Country Status (6)

Country Link
US (4) US20090071933A1 (en)
JP (1) JP2011501874A (en)
KR (1) KR20100061731A (en)
CN (1) CN101802985A (en)
TW (1) TW200919577A (en)
WO (1) WO2009036215A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120244715A1 (en) * 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US8425662B2 (en) 2010-04-02 2013-04-23 Battelle Memorial Institute Methods for associating or dissociating guest materials with a metal organic framework, systems for associating or dissociating guest materials within a series of metal organic frameworks, and gas separation assemblies
US10079150B2 (en) 2015-07-23 2018-09-18 Spts Technologies Limited Method and apparatus for dry gas phase chemically etching a structure
US10903817B2 (en) 2018-05-17 2021-01-26 Samsung Electro-Mechanics Co., Ltd. Bulk acoustic wave resonator and method of manufacturing the same
US11053584B2 (en) * 2013-11-05 2021-07-06 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI289708B (en) 2002-12-25 2007-11-11 Qualcomm Mems Technologies Inc Optical interference type color display
US7342705B2 (en) 2004-02-03 2008-03-11 Idc, Llc Spatial light modulator with integrated optical compensation structure
US7630123B2 (en) * 2004-09-27 2009-12-08 Qualcomm Mems Technologies, Inc. Method and device for compensating for color shift as a function of angle of view
EP2366943B1 (en) 2006-10-06 2013-04-17 Qualcomm Mems Technologies, Inc. Optical loss structure integrated in an illumination apparatus of a display
EP1943551A2 (en) * 2006-10-06 2008-07-16 Qualcomm Mems Technologies, Inc. Light guide
WO2008045311A2 (en) 2006-10-06 2008-04-17 Qualcomm Mems Technologies, Inc. Illumination device with built-in light coupler
EP1958010A2 (en) 2006-10-10 2008-08-20 Qualcomm Mems Technologies, Inc Display device with diffractive optics
WO2009036215A2 (en) * 2007-09-14 2009-03-19 Qualcomm Mems Technologies, Inc. Etching processes used in mems production
WO2009057583A1 (en) * 2007-10-31 2009-05-07 Tohoku University Plasma processing system and plasma processing method
US8068710B2 (en) * 2007-12-07 2011-11-29 Qualcomm Mems Technologies, Inc. Decoupled holographic film and diffuser
WO2009102733A2 (en) * 2008-02-12 2009-08-20 Qualcomm Mems Technologies, Inc. Integrated front light diffuser for reflective displays
WO2009102731A2 (en) * 2008-02-12 2009-08-20 Qualcomm Mems Technologies, Inc. Devices and methods for enhancing brightness of displays using angle conversion layers
EP2248188A2 (en) * 2008-02-12 2010-11-10 QUALCOMM MEMS Technologies, Inc. Dual layer thin film holographic solar concentrator/collector
US20090323144A1 (en) * 2008-06-30 2009-12-31 Qualcomm Mems Technologies, Inc. Illumination device with holographic light guide
JP2012503221A (en) * 2008-09-18 2012-02-02 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Increasing the angular range of light collection in solar collectors / collectors
US8902484B2 (en) 2010-12-15 2014-12-02 Qualcomm Mems Technologies, Inc. Holographic brightness enhancement film
GB2487716B (en) * 2011-01-24 2015-06-03 Memsstar Ltd Vapour Etch of Silicon Dioxide with Improved Selectivity
KR101272593B1 (en) 2011-06-13 2013-06-11 기아자동차주식회사 De-Mineralizer for fuel cell
CN103021812B (en) * 2012-12-20 2016-02-17 中国科学院上海微系统与信息技术研究所 A kind of preparation method of III-V OI structure
CN105637638A (en) * 2015-09-28 2016-06-01 京东方科技集团股份有限公司 Manufacturing method of display substrate of display panel
CN107516636A (en) * 2016-06-17 2017-12-26 上海新昇半导体科技有限公司 A kind of low-temperature epitaxy method and device
JP2019062039A (en) * 2017-09-26 2019-04-18 株式会社東芝 Etching apparatus and method, processing system, and article, semiconductor device, and manufacturing method for semiconductor chip
KR102504833B1 (en) 2017-11-16 2023-03-02 삼성전자 주식회사 Etching gas mixture, and method of forming pattern and method of manufacturing integrated circuit device using the same
EP3869534A1 (en) * 2020-02-20 2021-08-25 Bühler Alzenau GmbH In-situ etch rate or deposition rate measurement system
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3439973A (en) * 1963-06-28 1969-04-22 Siemens Ag Polarizing reflector for electromagnetic wave radiation in the micron wavelength
US3701586A (en) * 1971-04-21 1972-10-31 George G Goetz Light modulating deflectable membrane
US3955190A (en) * 1972-09-11 1976-05-04 Kabushiki Kaisha Suwa Seikosha Electro-optical digital display
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4231818A (en) * 1972-03-30 1980-11-04 Allegheny Ludlum Industries, Inc. Methods of producing silicon steel strip
US4407695A (en) * 1981-12-31 1983-10-04 Exxon Research And Engineering Co. Natural lithographic fabrication of microstructures over large areas
US4425572A (en) * 1980-05-16 1984-01-10 Sharp Kabushiki Kaisha Thin film transistor
US4551197A (en) * 1984-07-26 1985-11-05 Guilmette Joseph G Method and apparatus for the recovery and recycling of condensable gas reactants
US4617608A (en) * 1984-12-28 1986-10-14 At&T Bell Laboratories Variable gap device and method of manufacture
US4880441A (en) * 1986-08-15 1989-11-14 Permea, Inc. Asymmetric gas separation membranes having graded density skins
US4923283A (en) * 1987-05-07 1990-05-08 U.S. Philips Corporation Electroscopic fluid display
US5114226A (en) * 1987-03-20 1992-05-19 Digital Optronics Corporation 3-Dimensional vision system utilizing coherent optical detection
US5206632A (en) * 1989-09-11 1993-04-27 Deutsche Thomson-Brandt Gmbh Actuating circuit for a liquid crystal display
US5259923A (en) * 1991-05-29 1993-11-09 Tokyo Electron Limited Dry etching method
US5337191A (en) * 1993-04-13 1994-08-09 Photran Corporation Broad band pass filter including metal layers and dielectric layers of alternating refractive index
US5439783A (en) * 1993-04-05 1995-08-08 Mec Co., Ltd. Composition for treating copper or copper alloys
US5454904A (en) * 1993-01-04 1995-10-03 General Electric Company Micromachining methods for making micromechanical moving structures including multiple contact switching system
US5500761A (en) * 1994-01-27 1996-03-19 At&T Corp. Micromechanical modulator
US5795208A (en) * 1994-10-11 1998-08-18 Yamaha Corporation Manufacture of electron emitter by replica technique
US5801084A (en) * 1992-06-17 1998-09-01 Harris Corporation Bonded wafer processing
US5824374A (en) * 1996-07-22 1998-10-20 Optical Coating Laboratory, Inc. In-situ laser patterning of thin film layers during sequential depositing
US5835255A (en) * 1986-04-23 1998-11-10 Etalon, Inc. Visible spectrum modulator arrays
US5880921A (en) * 1997-04-28 1999-03-09 Rockwell Science Center, Llc Monolithically integrated switched capacitor bank using micro electro mechanical system (MEMS) technology
US5882468A (en) * 1996-02-23 1999-03-16 International Business Machines Corporation Thickness control of semiconductor device layers in reactive ion etch processes
US5914804A (en) * 1998-01-28 1999-06-22 Lucent Technologies Inc Double-cavity micromechanical optical modulator with plural multilayer mirrors
US5949571A (en) * 1998-07-30 1999-09-07 Lucent Technologies Mars optical modulators
US6020047A (en) * 1996-09-04 2000-02-01 Kimberly-Clark Worldwide, Inc. Polymer films having a printed self-assembling monolayer
US6040937A (en) * 1994-05-05 2000-03-21 Etalon, Inc. Interferometric modulation
US6046659A (en) * 1998-05-15 2000-04-04 Hughes Electronics Corporation Design and fabrication of broadband surface-micromachined micro-electro-mechanical switches for microwave and millimeter-wave applications
US6094285A (en) * 1996-12-04 2000-07-25 Trw Inc. All optical RF signal channelizer
US6107184A (en) * 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6115172A (en) * 1997-06-30 2000-09-05 Daewoo Electronics Co., Ltd. Thin film actuated mirror array
US6142358A (en) * 1997-05-31 2000-11-07 The Regents Of The University Of California Wafer-to-wafer transfer of microstructures using break-away tethers
US6191671B1 (en) * 1997-08-22 2001-02-20 Siemens Electromechanical Components Gmbh & Co. Kg Apparatus and method for a micromechanical electrostatic relay
US6248654B1 (en) * 1998-08-10 2001-06-19 Samsung Electronics Co., Ltd. Method for forming self-aligned contact
US6351577B1 (en) * 1998-12-14 2002-02-26 Lucent Technologies Inc. Surface-micromachined out-of-plane tunable optical filters
US20020025595A1 (en) * 2000-02-02 2002-02-28 Ji-Hai Xu MEMS variable capacitor with stabilized electrostatic drive and method therefor
US20020033229A1 (en) * 2000-09-19 2002-03-21 Lebouitz Kyle S. Apparatus for etching semiconductor samples and a source for providing a gas by sublimination thereto
US6384952B1 (en) * 1997-03-27 2002-05-07 Mems Optical Inc. Vertical comb drive actuated deformable mirror device and method
US20020150130A1 (en) * 2001-04-16 2002-10-17 Coldren Larry A. Tunable VCSEL assembly
US20030012866A1 (en) * 2001-05-25 2003-01-16 Harnett Cindy K. Heat-depolymerizable polycarbonate sacrificial layer
US6535318B1 (en) * 1999-11-12 2003-03-18 Jds Uniphase Corporation Integrated optoelectronic devices having pop-up mirrors therein and methods of forming and operating same
US20030123125A1 (en) * 2000-03-20 2003-07-03 Np Photonics, Inc. Detunable Fabry-Perot interferometer and an add/drop multiplexer using the same
US20030214639A1 (en) * 2000-08-03 2003-11-20 Satyadev Patel Micromirrors with OFF-angle electrodes and stops
US20030218603A1 (en) * 2002-04-25 2003-11-27 Fuji Photo Film Co., Ltd. Image display unit and method of manufacturing the same
US6674562B1 (en) * 1994-05-05 2004-01-06 Iridigm Display Corporation Interferometric modulation of radiation
US20040012298A1 (en) * 2001-11-09 2004-01-22 Coventor, Inc. MEMS device having electrothermal actuation and release and method for fabricating
US20040029026A1 (en) * 2002-01-28 2004-02-12 Kei Hayasaki Substrate treating method, substrate-processing apparatus, developing method, method of manufacturing a semiconductor device, and method of cleaning a developing solution nozzle
US20040027225A1 (en) * 2002-08-09 2004-02-12 Hsiao-Wen Lee Micro electromechanical differential actuator
US20040124452A1 (en) * 2002-12-31 2004-07-01 Uwe Wellhausen Reducing stress in integrated circuits
US6780491B1 (en) * 1996-12-12 2004-08-24 Micron Technology, Inc. Microstructures including hydrophilic particles
US6808953B2 (en) * 2002-12-31 2004-10-26 Robert Bosch Gmbh Gap tuning for surface micromachined structures in an epitaxial reactor
US6838304B2 (en) * 2001-12-26 2005-01-04 Sony Corporation MEMS element manufacturing method
US20050045276A1 (en) * 2001-05-22 2005-03-03 Patel Satyadev R. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20050068627A1 (en) * 2003-08-11 2005-03-31 Ryosuke Nakamura Tunable optical filter and method of manufacturing same
US20050124135A1 (en) * 2003-11-25 2005-06-09 Farrokh Ayazi Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050146401A1 (en) * 2003-12-24 2005-07-07 Interuniversitair Microelektronica Centrum (Imec Vzw) Acoustic resonator
US6931935B2 (en) * 2000-11-10 2005-08-23 Vaisala Oyj Surface-micromachined absolute pressure sensor and a method for manufacturing thereof
US20050184836A1 (en) * 2004-02-20 2005-08-25 Chia-Shing Chou Microelectromechanical device having a common ground plane layer and a set of contact teeth and method for making the same
US6943448B2 (en) * 2003-01-23 2005-09-13 Akustica, Inc. Multi-metal layer MEMS structure and process for making the same
US6947195B2 (en) * 2001-01-18 2005-09-20 Ricoh Company, Ltd. Optical modulator, optical modulator manufacturing method, light information processing apparatus including optical modulator, image formation apparatus including optical modulator, and image projection and display apparatus including optical modulator
US20050206993A1 (en) * 2003-02-12 2005-09-22 Jonathan Doan Protection layers in micromirror array devices
US6949202B1 (en) * 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US20050231787A1 (en) * 2004-04-20 2005-10-20 Fujitsu Limited Micro-oscillation element
US20060008200A1 (en) * 2004-07-09 2006-01-12 Ryosuke Nakamura Tunable filter and method of manufacturing the same, and sensing device
US20060016784A1 (en) * 2004-07-21 2006-01-26 Voss Curtis L Etching with electrostatically attracted ions
US7012726B1 (en) * 2003-11-03 2006-03-14 Idc, Llc MEMS devices with unreleased thin film components
US20060067651A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Photonic MEMS and structures
US20060077526A1 (en) * 2004-09-28 2006-04-13 Samsung Electro-Mechanics Co., Ltd. Open hole-based diffractive light modulator
US20060077527A1 (en) * 2004-09-27 2006-04-13 Cummings William J Methods and devices for inhibiting tilting of a mirror in an interferometric modulator
US20060082863A1 (en) * 2003-04-30 2006-04-20 Arthur Piehl Optical interference pixel display
US7037844B2 (en) * 2002-10-02 2006-05-02 Infineon Technologies Ag Method for manufacturing a housing for a chip having a micromechanical structure
US7061661B2 (en) * 2003-11-06 2006-06-13 Eastman Kodak Company Electromechanical display panel incorporating a porous movable film
US20060146396A1 (en) * 2004-12-30 2006-07-06 Au Optronics Corp. Optical microelectromechanical device
US20060146472A1 (en) * 2003-06-26 2006-07-06 Van Beek Jozef Thomas M Micro-electromechanical device and module and method of manufacturing same
US20060148262A1 (en) * 2004-12-31 2006-07-06 Au Optronics Corp. Method for fabricating microelectromechanical optical display devices
US7082684B2 (en) * 2004-08-04 2006-08-01 Palo Alto Research Center Incorporated Intermetallic spring structure
US20060170012A1 (en) * 2005-02-03 2006-08-03 Franz Larmer Micromechanical component and suitable method for its manufacture
US20060183644A1 (en) * 2005-01-28 2006-08-17 Ryosuke Nakamura Optical tunable filter and method of manufacturing the same
US7113339B2 (en) * 2003-08-29 2006-09-26 Sharp Kabushiki Kaisha Interferometric modulator and display unit
US7123216B1 (en) * 1994-05-05 2006-10-17 Idc, Llc Photonic MEMS and structures
US20070018761A1 (en) * 2005-07-22 2007-01-25 Hitachi, Ltd. Switch, semiconductor device, and manufacturing method thereof
US7190245B2 (en) * 2003-04-29 2007-03-13 Medtronic, Inc. Multi-stable micro electromechanical switches and methods of fabricating same
US20070077525A1 (en) * 2005-10-05 2007-04-05 Hewlett-Packard Development Company Lp Multi-level layer
US7221512B2 (en) * 2002-01-24 2007-05-22 Nanoventions, Inc. Light control material for displaying color information, and images
US7245285B2 (en) * 2004-04-28 2007-07-17 Hewlett-Packard Development Company, L.P. Pixel device
US7252861B2 (en) * 2002-05-07 2007-08-07 Microfabrica Inc. Methods of and apparatus for electrochemically fabricating structures via interlaced layers or via selective etching and filling of voids
US7327510B2 (en) * 2004-09-27 2008-02-05 Idc, Llc Process for modifying offset voltage characteristics of an interferometric modulator
US7329917B2 (en) * 2003-08-29 2008-02-12 Micron Technology, Inc. Permeable capacitor electrode
US20080035607A1 (en) * 2004-06-17 2008-02-14 O'hara Anthony Method and Apparatus for the Etching of Microstructures
US20080158645A1 (en) * 2006-12-27 2008-07-03 Chih-Wei Chiang Aluminum fluoride films for microelectromechanical system applications
US20080157413A1 (en) * 2005-02-04 2008-07-03 Qualcomm Mems Technologies, Inc. Method of manufacturing optical interference color display
US7399710B2 (en) * 2005-06-14 2008-07-15 Alcatel Method of controlling the pressure in a process chamber
US20080217645A1 (en) * 2007-03-09 2008-09-11 Adam William Saxler Thick nitride semiconductor structures with interlayer structures and methods of fabricating thick nitride semiconductor structures
US20090071933A1 (en) * 2007-09-14 2009-03-19 Qualcomm Mems Technologies, Inc. Etching processes used in mems production
US20090218312A1 (en) * 2004-09-27 2009-09-03 Idc, Llc Method and system for xenon fluoride etching with enhanced efficiency
US20100265563A1 (en) * 2005-08-19 2010-10-21 Qualcomm Mems Technologies, Inc. Electromechanical device configured to minimize stress-related deformation and methods for fabricating same

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US419088A (en) * 1890-01-07 Anvil-mold
US1234567A (en) * 1915-09-14 1917-07-24 Edward J Quigley Soft collar.
US2534846A (en) * 1946-06-20 1950-12-19 Emi Ltd Color filter
FR2279443A1 (en) * 1974-07-25 1976-02-20 Asahi Fiber Cy Ltd PROCESS FOR PURIFYING RESIDUAL GAS CONTAINING A FLUORINE COMPOUND
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
JPH0626208B2 (en) * 1985-02-14 1994-04-06 株式会社東芝 Dry etching method
GB8621439D0 (en) 1986-09-05 1986-10-15 Secr Defence Electro-optic device
US5439763A (en) * 1991-03-19 1995-08-08 Hitachi, Ltd. Optical mask and method of correcting the same
US5170283A (en) 1991-07-24 1992-12-08 Northrop Corporation Silicon spatial light modulator
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
IL119246A (en) * 1996-09-12 2000-10-31 Oramir Semiconductor Ltd Laser removal of foreign materials from surfaces
JP2921510B2 (en) * 1996-10-07 1999-07-19 日本電気株式会社 Bootstrap circuit
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844711A (en) 1997-01-10 1998-12-01 Northrop Grumman Corporation Tunable spatial light modulator
US6101972A (en) * 1998-05-13 2000-08-15 Intevac, Inc. Plasma processing system and method
JP4111288B2 (en) 1998-07-09 2008-07-02 Tdk株式会社 Wavelength selective photodetector
US6662950B1 (en) 1999-10-25 2003-12-16 Brian R. Cleaver Wafer shipping and storage container
JP2001272613A (en) 2000-03-24 2001-10-05 Seiko Epson Corp Optical switching element, optical switching device, their manufacturing methods, optical switching unit and video display device
EP1354031A2 (en) * 2000-07-31 2003-10-22 Maxygen, Inc. Nucleotide incorporating enzymes
JP4769350B2 (en) * 2000-09-22 2011-09-07 大陽日酸株式会社 Noble gas recovery method and apparatus
TW508458B (en) 2001-04-25 2002-11-01 Bruce C S Chou Micro-tunable filter structure design suitable for various spectrum
JP4720022B2 (en) 2001-05-30 2011-07-13 ソニー株式会社 OPTICAL MULTILAYER STRUCTURE, ITS MANUFACTURING METHOD, OPTICAL SWITCHING DEVICE, AND IMAGE DISPLAY DEVICE
WO2003021663A1 (en) 2001-09-02 2003-03-13 Borealis Technical Limited Electrode sandwich separation
JP2003136499A (en) 2001-11-05 2003-05-14 Seiko Epson Corp Micromachine and its manufacturing method
US7145143B2 (en) 2002-03-18 2006-12-05 Honeywell International Inc. Tunable sensor
JP2003315732A (en) 2002-04-25 2003-11-06 Fuji Photo Film Co Ltd Image display device
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6984422B2 (en) * 2002-05-14 2006-01-10 Seagate Technology Llc Photo process to improve tribological performance of thin lubricant film
US6852454B2 (en) 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6967986B2 (en) * 2002-10-16 2005-11-22 Eastman Kodak Company Light modulation apparatus using a VCSEL array with an electromechanical grating device
US6661069B1 (en) 2002-10-22 2003-12-09 International Business Machines Corporation Micro-electromechanical varactor with enhanced tuning range
US6872467B2 (en) * 2002-11-12 2005-03-29 Nve Corporation Magnetic field sensor with augmented magnetoresistive sensing layer
JP2006510066A (en) * 2002-12-16 2006-03-23 イー−インク コーポレイション Backplane for electro-optic display
US6929969B2 (en) * 2003-04-23 2005-08-16 Taiwan Semiconductor Manufacturing Company Reflective spatial light modulator mirror device manufacturing process and layout method
EP1493711B1 (en) 2003-07-04 2008-04-16 STMicroelectronics S.r.l. Process for the obtainment of a semiconductor device comprising a suspended micro-system and corresponding device
KR100645278B1 (en) * 2003-09-29 2006-11-15 파이오니아 가부시키가이샤 Plasma display panel and plasma display device
JP2005342808A (en) 2004-05-31 2005-12-15 Oki Electric Ind Co Ltd Manufacturing method of mems device
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US20080158635A1 (en) 2005-02-23 2008-07-03 Pixtronix, Inc. Display apparatus and methods for manufacture thereof
JP2006303309A (en) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp Plasma treatment apparatus
DE102005029803A1 (en) 2005-06-27 2007-01-04 Robert Bosch Gmbh Method for producing a micromechanical component and micromechanical component
JP4774885B2 (en) * 2005-09-22 2011-09-14 セイコーエプソン株式会社 Manufacturing method of MEMS element
US20070117396A1 (en) * 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7456042B2 (en) 2006-06-04 2008-11-25 Robert Bosch Gmbh Microelectromechanical systems having stored charge and methods for fabricating and using same
EP2129619A2 (en) 2007-04-04 2009-12-09 Qualcomm Mems Technologies, Inc. Eliminate release etch attack by interface modification in sacrificial layers

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3439973A (en) * 1963-06-28 1969-04-22 Siemens Ag Polarizing reflector for electromagnetic wave radiation in the micron wavelength
US3443854A (en) * 1963-06-28 1969-05-13 Siemens Ag Dipole device for electromagnetic wave radiation in micron wavelength ranges
US3701586A (en) * 1971-04-21 1972-10-31 George G Goetz Light modulating deflectable membrane
US4231818A (en) * 1972-03-30 1980-11-04 Allegheny Ludlum Industries, Inc. Methods of producing silicon steel strip
US3955190A (en) * 1972-09-11 1976-05-04 Kabushiki Kaisha Suwa Seikosha Electro-optical digital display
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4425572A (en) * 1980-05-16 1984-01-10 Sharp Kabushiki Kaisha Thin film transistor
US4407695A (en) * 1981-12-31 1983-10-04 Exxon Research And Engineering Co. Natural lithographic fabrication of microstructures over large areas
US4551197A (en) * 1984-07-26 1985-11-05 Guilmette Joseph G Method and apparatus for the recovery and recycling of condensable gas reactants
US4617608A (en) * 1984-12-28 1986-10-14 At&T Bell Laboratories Variable gap device and method of manufacture
US5835255A (en) * 1986-04-23 1998-11-10 Etalon, Inc. Visible spectrum modulator arrays
US4880441A (en) * 1986-08-15 1989-11-14 Permea, Inc. Asymmetric gas separation membranes having graded density skins
US5114226A (en) * 1987-03-20 1992-05-19 Digital Optronics Corporation 3-Dimensional vision system utilizing coherent optical detection
US4923283A (en) * 1987-05-07 1990-05-08 U.S. Philips Corporation Electroscopic fluid display
US5206632A (en) * 1989-09-11 1993-04-27 Deutsche Thomson-Brandt Gmbh Actuating circuit for a liquid crystal display
US5259923A (en) * 1991-05-29 1993-11-09 Tokyo Electron Limited Dry etching method
US5801084A (en) * 1992-06-17 1998-09-01 Harris Corporation Bonded wafer processing
US5454904A (en) * 1993-01-04 1995-10-03 General Electric Company Micromachining methods for making micromechanical moving structures including multiple contact switching system
US5439783A (en) * 1993-04-05 1995-08-08 Mec Co., Ltd. Composition for treating copper or copper alloys
US5337191A (en) * 1993-04-13 1994-08-09 Photran Corporation Broad band pass filter including metal layers and dielectric layers of alternating refractive index
US5500761A (en) * 1994-01-27 1996-03-19 At&T Corp. Micromechanical modulator
US6674562B1 (en) * 1994-05-05 2004-01-06 Iridigm Display Corporation Interferometric modulation of radiation
US6040937A (en) * 1994-05-05 2000-03-21 Etalon, Inc. Interferometric modulation
US7123216B1 (en) * 1994-05-05 2006-10-17 Idc, Llc Photonic MEMS and structures
US5795208A (en) * 1994-10-11 1998-08-18 Yamaha Corporation Manufacture of electron emitter by replica technique
US5882468A (en) * 1996-02-23 1999-03-16 International Business Machines Corporation Thickness control of semiconductor device layers in reactive ion etch processes
US5824374A (en) * 1996-07-22 1998-10-20 Optical Coating Laboratory, Inc. In-situ laser patterning of thin film layers during sequential depositing
US6020047A (en) * 1996-09-04 2000-02-01 Kimberly-Clark Worldwide, Inc. Polymer films having a printed self-assembling monolayer
US6094285A (en) * 1996-12-04 2000-07-25 Trw Inc. All optical RF signal channelizer
US6780491B1 (en) * 1996-12-12 2004-08-24 Micron Technology, Inc. Microstructures including hydrophilic particles
US6384952B1 (en) * 1997-03-27 2002-05-07 Mems Optical Inc. Vertical comb drive actuated deformable mirror device and method
US5880921A (en) * 1997-04-28 1999-03-09 Rockwell Science Center, Llc Monolithically integrated switched capacitor bank using micro electro mechanical system (MEMS) technology
US6142358A (en) * 1997-05-31 2000-11-07 The Regents Of The University Of California Wafer-to-wafer transfer of microstructures using break-away tethers
US6115172A (en) * 1997-06-30 2000-09-05 Daewoo Electronics Co., Ltd. Thin film actuated mirror array
US6191671B1 (en) * 1997-08-22 2001-02-20 Siemens Electromechanical Components Gmbh & Co. Kg Apparatus and method for a micromechanical electrostatic relay
US5914804A (en) * 1998-01-28 1999-06-22 Lucent Technologies Inc Double-cavity micromechanical optical modulator with plural multilayer mirrors
US6046659A (en) * 1998-05-15 2000-04-04 Hughes Electronics Corporation Design and fabrication of broadband surface-micromachined micro-electro-mechanical switches for microwave and millimeter-wave applications
US5949571A (en) * 1998-07-30 1999-09-07 Lucent Technologies Mars optical modulators
US6248654B1 (en) * 1998-08-10 2001-06-19 Samsung Electronics Co., Ltd. Method for forming self-aligned contact
US6107184A (en) * 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6351577B1 (en) * 1998-12-14 2002-02-26 Lucent Technologies Inc. Surface-micromachined out-of-plane tunable optical filters
US6949202B1 (en) * 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US6535318B1 (en) * 1999-11-12 2003-03-18 Jds Uniphase Corporation Integrated optoelectronic devices having pop-up mirrors therein and methods of forming and operating same
US20020025595A1 (en) * 2000-02-02 2002-02-28 Ji-Hai Xu MEMS variable capacitor with stabilized electrostatic drive and method therefor
US20030123125A1 (en) * 2000-03-20 2003-07-03 Np Photonics, Inc. Detunable Fabry-Perot interferometer and an add/drop multiplexer using the same
US20030214639A1 (en) * 2000-08-03 2003-11-20 Satyadev Patel Micromirrors with OFF-angle electrodes and stops
US20020033229A1 (en) * 2000-09-19 2002-03-21 Lebouitz Kyle S. Apparatus for etching semiconductor samples and a source for providing a gas by sublimination thereto
US6931935B2 (en) * 2000-11-10 2005-08-23 Vaisala Oyj Surface-micromachined absolute pressure sensor and a method for manufacturing thereof
US6947195B2 (en) * 2001-01-18 2005-09-20 Ricoh Company, Ltd. Optical modulator, optical modulator manufacturing method, light information processing apparatus including optical modulator, image formation apparatus including optical modulator, and image projection and display apparatus including optical modulator
US20020150130A1 (en) * 2001-04-16 2002-10-17 Coldren Larry A. Tunable VCSEL assembly
US20050045276A1 (en) * 2001-05-22 2005-03-03 Patel Satyadev R. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20030012866A1 (en) * 2001-05-25 2003-01-16 Harnett Cindy K. Heat-depolymerizable polycarbonate sacrificial layer
US20040012298A1 (en) * 2001-11-09 2004-01-22 Coventor, Inc. MEMS device having electrothermal actuation and release and method for fabricating
US6838304B2 (en) * 2001-12-26 2005-01-04 Sony Corporation MEMS element manufacturing method
US7221512B2 (en) * 2002-01-24 2007-05-22 Nanoventions, Inc. Light control material for displaying color information, and images
US20040029026A1 (en) * 2002-01-28 2004-02-12 Kei Hayasaki Substrate treating method, substrate-processing apparatus, developing method, method of manufacturing a semiconductor device, and method of cleaning a developing solution nozzle
US20030218603A1 (en) * 2002-04-25 2003-11-27 Fuji Photo Film Co., Ltd. Image display unit and method of manufacturing the same
US7252861B2 (en) * 2002-05-07 2007-08-07 Microfabrica Inc. Methods of and apparatus for electrochemically fabricating structures via interlaced layers or via selective etching and filling of voids
US20040027225A1 (en) * 2002-08-09 2004-02-12 Hsiao-Wen Lee Micro electromechanical differential actuator
US7037844B2 (en) * 2002-10-02 2006-05-02 Infineon Technologies Ag Method for manufacturing a housing for a chip having a micromechanical structure
US6808953B2 (en) * 2002-12-31 2004-10-26 Robert Bosch Gmbh Gap tuning for surface micromachined structures in an epitaxial reactor
US20040124452A1 (en) * 2002-12-31 2004-07-01 Uwe Wellhausen Reducing stress in integrated circuits
US6943448B2 (en) * 2003-01-23 2005-09-13 Akustica, Inc. Multi-metal layer MEMS structure and process for making the same
US20050206993A1 (en) * 2003-02-12 2005-09-22 Jonathan Doan Protection layers in micromirror array devices
US7190245B2 (en) * 2003-04-29 2007-03-13 Medtronic, Inc. Multi-stable micro electromechanical switches and methods of fabricating same
US20060082863A1 (en) * 2003-04-30 2006-04-20 Arthur Piehl Optical interference pixel display
US20060146472A1 (en) * 2003-06-26 2006-07-06 Van Beek Jozef Thomas M Micro-electromechanical device and module and method of manufacturing same
US20050068627A1 (en) * 2003-08-11 2005-03-31 Ryosuke Nakamura Tunable optical filter and method of manufacturing same
US7329917B2 (en) * 2003-08-29 2008-02-12 Micron Technology, Inc. Permeable capacitor electrode
US7113339B2 (en) * 2003-08-29 2006-09-26 Sharp Kabushiki Kaisha Interferometric modulator and display unit
US7012726B1 (en) * 2003-11-03 2006-03-14 Idc, Llc MEMS devices with unreleased thin film components
US7061661B2 (en) * 2003-11-06 2006-06-13 Eastman Kodak Company Electromechanical display panel incorporating a porous movable film
US20050124135A1 (en) * 2003-11-25 2005-06-09 Farrokh Ayazi Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050146401A1 (en) * 2003-12-24 2005-07-07 Interuniversitair Microelektronica Centrum (Imec Vzw) Acoustic resonator
US20050184836A1 (en) * 2004-02-20 2005-08-25 Chia-Shing Chou Microelectromechanical device having a common ground plane layer and a set of contact teeth and method for making the same
US20050231787A1 (en) * 2004-04-20 2005-10-20 Fujitsu Limited Micro-oscillation element
US7245285B2 (en) * 2004-04-28 2007-07-17 Hewlett-Packard Development Company, L.P. Pixel device
US20080035607A1 (en) * 2004-06-17 2008-02-14 O'hara Anthony Method and Apparatus for the Etching of Microstructures
US20060008200A1 (en) * 2004-07-09 2006-01-12 Ryosuke Nakamura Tunable filter and method of manufacturing the same, and sensing device
US20060016784A1 (en) * 2004-07-21 2006-01-26 Voss Curtis L Etching with electrostatically attracted ions
US7082684B2 (en) * 2004-08-04 2006-08-01 Palo Alto Research Center Incorporated Intermetallic spring structure
US20060067651A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Photonic MEMS and structures
US20090218312A1 (en) * 2004-09-27 2009-09-03 Idc, Llc Method and system for xenon fluoride etching with enhanced efficiency
US20060077527A1 (en) * 2004-09-27 2006-04-13 Cummings William J Methods and devices for inhibiting tilting of a mirror in an interferometric modulator
US7327510B2 (en) * 2004-09-27 2008-02-05 Idc, Llc Process for modifying offset voltage characteristics of an interferometric modulator
US20060077526A1 (en) * 2004-09-28 2006-04-13 Samsung Electro-Mechanics Co., Ltd. Open hole-based diffractive light modulator
US20060146396A1 (en) * 2004-12-30 2006-07-06 Au Optronics Corp. Optical microelectromechanical device
US20060148262A1 (en) * 2004-12-31 2006-07-06 Au Optronics Corp. Method for fabricating microelectromechanical optical display devices
US20060183644A1 (en) * 2005-01-28 2006-08-17 Ryosuke Nakamura Optical tunable filter and method of manufacturing the same
US20060170012A1 (en) * 2005-02-03 2006-08-03 Franz Larmer Micromechanical component and suitable method for its manufacture
US20080157413A1 (en) * 2005-02-04 2008-07-03 Qualcomm Mems Technologies, Inc. Method of manufacturing optical interference color display
US7399710B2 (en) * 2005-06-14 2008-07-15 Alcatel Method of controlling the pressure in a process chamber
US20070018761A1 (en) * 2005-07-22 2007-01-25 Hitachi, Ltd. Switch, semiconductor device, and manufacturing method thereof
US20100265563A1 (en) * 2005-08-19 2010-10-21 Qualcomm Mems Technologies, Inc. Electromechanical device configured to minimize stress-related deformation and methods for fabricating same
US20070077525A1 (en) * 2005-10-05 2007-04-05 Hewlett-Packard Development Company Lp Multi-level layer
US20080158645A1 (en) * 2006-12-27 2008-07-03 Chih-Wei Chiang Aluminum fluoride films for microelectromechanical system applications
US20080217645A1 (en) * 2007-03-09 2008-09-11 Adam William Saxler Thick nitride semiconductor structures with interlayer structures and methods of fabricating thick nitride semiconductor structures
US20090071933A1 (en) * 2007-09-14 2009-03-19 Qualcomm Mems Technologies, Inc. Etching processes used in mems production
US20090101623A1 (en) * 2007-09-14 2009-04-23 Qualcomm Mems Technologies, Inc. Etching processes used in mems production

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120244715A1 (en) * 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US8425662B2 (en) 2010-04-02 2013-04-23 Battelle Memorial Institute Methods for associating or dissociating guest materials with a metal organic framework, systems for associating or dissociating guest materials within a series of metal organic frameworks, and gas separation assemblies
US9115435B2 (en) 2010-04-02 2015-08-25 Battelle Memorial Institute Methods for associating or dissociating guest materials with a metal organic framework, systems for associating or dissociating guest materials within a series of metal organic frameworks, and gas separation assemblies
US11053584B2 (en) * 2013-11-05 2021-07-06 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US10079150B2 (en) 2015-07-23 2018-09-18 Spts Technologies Limited Method and apparatus for dry gas phase chemically etching a structure
US10903817B2 (en) 2018-05-17 2021-01-26 Samsung Electro-Mechanics Co., Ltd. Bulk acoustic wave resonator and method of manufacturing the same

Also Published As

Publication number Publication date
WO2009036215A8 (en) 2009-08-20
US20090101623A1 (en) 2009-04-23
US20090071933A1 (en) 2009-03-19
US20090071932A1 (en) 2009-03-19
WO2009036215A2 (en) 2009-03-19
KR20100061731A (en) 2010-06-08
US8308962B2 (en) 2012-11-13
JP2011501874A (en) 2011-01-13
TW200919577A (en) 2009-05-01
WO2009036215A3 (en) 2009-06-11
US8323516B2 (en) 2012-12-04
CN101802985A (en) 2010-08-11

Similar Documents

Publication Publication Date Title
US8308962B2 (en) Etching processes used in MEMS production
US7566664B2 (en) Selective etching of MEMS using gaseous halides and reactive co-etchants
US7382515B2 (en) Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US7534640B2 (en) Support structure for MEMS device and methods therefor
US7569488B2 (en) Methods of making a MEMS device by monitoring a process parameter
US8124434B2 (en) Method and system for packaging a display
US8536059B2 (en) Equipment and methods for etching of MEMS
US20060065622A1 (en) Method and system for xenon fluoride etching with enhanced efficiency
US8222066B2 (en) Eliminate release etch attack by interface modification in sacrificial layers
US8358458B2 (en) Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US7816164B2 (en) MEMS processing
US20090002804A1 (en) Electromechanical device treatment with water vapor

Legal Events

Date Code Title Description
AS Assignment

Owner name: QUALCOMM MEMS TECHNOLOGIES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SASAGAWA, TERUO;YAN, XIAOMING;REEL/FRAME:021879/0945;SIGNING DATES FROM 20081030 TO 20081110

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: SNAPTRACK, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:QUALCOMM MEMS TECHNOLOGIES, INC.;REEL/FRAME:039891/0001

Effective date: 20160830