US20090159213A1 - Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead - Google Patents

Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead Download PDF

Info

Publication number
US20090159213A1
US20090159213A1 US12/004,472 US447207A US2009159213A1 US 20090159213 A1 US20090159213 A1 US 20090159213A1 US 447207 A US447207 A US 447207A US 2009159213 A1 US2009159213 A1 US 2009159213A1
Authority
US
United States
Prior art keywords
channels
showerhead
manifold
gas
plural
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/004,472
Inventor
Kallol Bera
Shahid Rauf
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/004,472 priority Critical patent/US20090159213A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RAUF, SHAHID, BERA, KALLOL
Priority to PCT/US2008/013421 priority patent/WO2009078921A1/en
Priority to TW097149804A priority patent/TWI474869B/en
Publication of US20090159213A1 publication Critical patent/US20090159213A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • This application concerns a plasma reactor for processing a workpiece such as a semiconductor wafer, and in particular a gas distribution plate for such a reactor.
  • a gas distribution showerhead is located at the reactor chamber ceiling overlying the workpiece or semiconductor wafer.
  • One conventional showerhead has an annular plenum in which gas is introduced at one end and circulates azimuthally around the annular plenum.
  • the gas injection orifices of the showerhead are azimuthally distributed outlets in the floor of the plenum.
  • One problem with such a showerhead is that gas distribution is azimuthally non-uniform because the gas pressure is not uniform along the azimuthally flow path through the plenum.
  • Another problem is that during some process transitions, such as a transition from an Argon process gas to an Oxygen process gas, some arcing (plasma light-up) in the gas outlets occurs.
  • Oxygen predominates in the region nearest the gas supply and Argon predominates in the region furthest from the gas supply.
  • the plasma below the showerhead has a corresponding non-uniform distribution of Oxygen and Argon.
  • Plasma density becomes correspondingly non-uniform because the portion of the plasma containing more Argon absorbs more plasma source power.
  • the sheath thickness of the portion of the plasma containing more Argon is less than the portion containing predominantly Oxygen. This leads to light-up or arcing in the showerhead outlets overlying the region of the plasma containing more Argon than Oxygen. This condition may last until all the Argon has been displaced by the incoming Oxygen gas, which may take on the order of a few seconds.
  • a gas distribution showerhead assembly for use in a plasma reactor adapted to process a workpiece such as a semiconductor wafer.
  • a showerhead of the assembly has an internal plenum comprising a showerhead floor and a plurality of gas injection holes through the floor of the showerhead.
  • a path splitting manifold is immersed inside the plenum and comprises a gas supply inlet, plural gas outlets, and a plurality of channels comprising plural paths of equal lengths between the inlet and respective ones of the plural outlets.
  • An electrode underlies the floor of the showerhead, the electrode having plural axial holes in registration with the gas injection holes of the showerhead.
  • FIG. 1 is a simplified block diagram including a cut-away side view of a plasma reactor in accordance with one embodiment.
  • FIG. 2 is a top view of a ceiling lid of the gas distribution plate of the reactor of FIG. 1 .
  • FIG. 3A is a top view of the top surface of a manifold of the gas distribution plate of the reactor of FIG. 1 .
  • FIG. 3B is a top view of the bottom surface of the manifold of the gas distribution plate of the reactor of FIG. 1 .
  • FIG. 4 is a top view of a showerhead of the gas distribution plate of the reactor of FIG. 1 .
  • FIG. 5 is a top view of the inner zone of the manifold of FIG. 3B and showing the alignment of the gas injection orifices 110 of the showerhead of FIG. 4 relative to the inner zone of the manifold of FIG. 3B .
  • FIG. 6 is a top view of the outer zone of the manifold of FIG. 3B and showing the alignment of the gas injection orifices 110 of the showerhead of FIG. 4 relative to the outer zone of the manifold of FIG. 3B .
  • FIG. 7 is a top view of one embodiment of the ceiling electrode in the reactor of FIG. 1 .
  • FIG. 8A includes a cut-away side view of a plasma reactor in accordance with a further embodiment, in which a lid, a path splitting manifold and a showerhead are axially stacked, and the path splitting manifold is radially distributed.
  • FIG. 8B is an enlarged side view of the showerhead assembly of FIG. 8A .
  • FIG. 8C is an enlarged side view of a showerhead assembly of a related embodiment in which the manifold of FIG. 8A is separated from the showerhead by a temperature control plate.
  • FIG. 9A is a top view of the top surface of the manifold of the gas distribution plate of the reactor of FIG. 8A .
  • FIG. 9B is a top view of the bottom surface of the manifold of the gas distribution plate of the reactor of FIG. 8A .
  • FIG. 10 is a top view of a showerhead of the gas distribution plate of the reactor of FIG. 8A .
  • FIG. 11 is a top view of one embodiment of the ceiling electrode in the reactor of FIG. 8A .
  • FIG. 12 is a top view of a showerhead assembly in accordance with an embodiment in which the manifolds and showerheads are radially juxtaposed rather than being axially stacked, and the manifolds feed gas in a radially outward direction.
  • FIG. 13 is a cut-away side view corresponding to FIG. 12 .
  • FIG. 14 is a cut-away side view of a related embodiment in which the gas distribution assembly is separated from the ceiling electrode by a temperature control plate.
  • FIG. 15 is a top view of an embodiment in which the manifolds and showerheads are radially juxtaposed, and the manifolds feed gas in a radially inward direction.
  • FIG. 16A is a cut-away side view corresponding to FIG. 15 .
  • FIG. 16B is a cut-away side view of a related embodiment in which the gas distribution assembly is separated from the ceiling electrode by a temperature control plate.
  • FIG. 17 is a cut-away side view of an embodiment in which each path splitting manifold is immersed within a respective showerhead.
  • FIG. 18 is a top view of an embodiment of a path splitting manifold having both radially inward facing outlets and radially outward facing outlets, for use in the embodiment of FIG. 17 .
  • FIG. 19 is a simplified orthographic view of an embodiment in which the path splitting channels of the manifold are vertically stacked.
  • FIG. 20 is a top view corresponding to FIG. 19 .
  • FIG. 21 is a side view illustrating an embodiment having inner and outer manifolds with vertically stacked path splitting channels.
  • FIG. 22 is a top view corresponding to FIG. 21 .
  • FIG. 23 is a side view of a modification of the embodiment of FIG. 19 in which the vertically stacked path splitting manifold and the showerhead are side-by-side.
  • a workpiece 102 which may be a semiconductor wafer, is held on a workpiece support 103 within a reactor chamber 104 .
  • the workpiece support 103 may be raised and lowered by a lift servo 105 .
  • the chamber 104 is bounded by a chamber sidewall 106 and a ceiling 108 .
  • the ceiling 108 is a gas distribution showerhead assembly including a lid 505 ( FIG. 2 ), a manifold 510 ( FIGS. 3A and 3B ) and a showerhead 515 ( FIG. 4 ). As indicated in FIG.
  • the lid 505 rests on top of the manifold 510 and the manifold 510 rests on top of the showerhead 515 .
  • the showerhead 515 has small gas injection orifices 110 extending through it, as illustrated in FIG. 4 .
  • the gas distribution showerhead assembly 108 receives process gas from a process gas supply 112 .
  • a capacitively coupled RF plasma source power applicator consists of an electrode 116 in the ceiling 108 .
  • an inductively coupled power applicator such as an overhead coil antenna 114 depicted in FIG. 1
  • the ceiling 108 may be formed of a dielectric material such as a ceramic, and the ceiling electrode 116 may have multiple radial slots.
  • the coil antenna 114 is driven by an RF generator 118 .
  • the coil antenna 114 may consist of inner and outer conductor windings 114 a , 114 b while the generator 118 may be respective RF generators 118 a , 118 b coupled through respective impedance matches 120 a , 120 b to the inner and outer coil antennas 114 a , 114 b .
  • the coil antennas 114 ( 114 a and 114 b ) may be eliminated in embodiments for other uses, such as dielectric etch, in which case the electrode 116 may be unslotted and the ceiling 108 may be formed of metal.
  • an RF power generator 122 provides high frequency (HF) or very high frequency (VHF) power (e.g., within a range of about 27 MHz through 200 MHz) through an impedance match element 124 to the overhead electrode 116 .
  • Power is coupled to a bulk plasma 126 within the chamber 104 formed over the workpiece support 103 .
  • RF plasma bias power is coupled to the workpiece 102 from an RF bias power supply coupled to an electrode 130 underlying the wafer 102 .
  • the RF bias power supply may include a low frequency (LF) RF power generator 132 (100 kHz to 4 MHz) and another RF power generator 134 that may be a high frequency (HF) RF power generator (4 MHz to 27 MHz).
  • An impedance match element 136 is coupled between the bias power generators 132 , 134 and the workpiece support electrode 130 .
  • a vacuum pump 160 evacuates process gas from the chamber 104 through a valve 162 which can be used to regulate the evacuation rate. If the workpiece support 103 is an electrostatic chuck, then a D.C. chucking voltage supply 170 is connected to the electrode 130 .
  • a capacitor 172 provides isolation from the D.C. voltage supply 170 .
  • a system controller 140 may control the source power generators 118 , 122 .
  • the controller 140 may also control the pumping rate of the vacuum pump 160 and/or the opening size of the evacuation valve 162 .
  • the controller 140 may control the bias power generators 132 , 134 .
  • the lid 505 in one embodiment is depicted in FIG. 2 , and may be a disk composed of metal or insulating material.
  • the lid 505 has elongate radial inner and outer zone gas supply passages 1201 , 1202 extending inwardly from the outer edge of the lid 505 .
  • Inner zone and outer zone gas panels 112 a , 112 b of the gas supply 112 furnish process gas to respective ones of the gas supply passages 1201 , 1202 .
  • the gas panels 112 a , 112 b control process gas flow rates from individual ones of plural (multiple) process gas sources containing different process gas species or compounds.
  • the manifold 510 in one embodiment is a disk depicted in the top and bottom views of FIGS. 3A and 3B , having gas distribution passages formed as channels 1204 in its top surface ( FIG. 3A ) and channels 1206 in its bottom surface ( FIG. 3B ).
  • the top surface channels 1204 communicate with the bottom surface channels 1206 through orifices 1208 extending through the manifold 510 .
  • the top surface channels 1204 of FIG. 3A may consist of a radially inner group of channels 1210 occupying a circular region or inner zone 1211 , and a radially outer group of channels 1212 occupying an annular region or outer zone 1213 .
  • the showerhead/ceiling assembly 108 FIG.
  • these zones divides gas distribution into plural concentric independent gas distribution zones.
  • these zones consist of the circular inner zone 1211 (having the inner group of channels 1210 ) and the annular outer zone 1213 (having the outer group of channels 1212 ).
  • the outer channels 1212 of the manifold 510 begin at a receiving end 1214 that faces an axial port 1202 a (shown in FIG. 2 ) of the gas supply passage 1202 of the lid 505 .
  • the outer channels 1212 are laid out in multiple T-junctions 1216 in which gas flow is equally divided into opposite circumferential directions at each T-junction 1216 .
  • Each T-junction 1216 is at the center of a corresponding T-pattern 1219 .
  • the T-junctions 1216 are cascaded so that gas flow is divided among successively shorter arcuate channels 1212 - 1 , 1212 - 2 , 1212 - 2 , 1212 - 4 in a sequence beginning with the long channels 1212 - 1 and ending with the short channels 1212 - 4 .
  • the short channels 1212 - 4 are terminated at tip ends 1220 .
  • Each of the orifices 1208 is located at a respective one of the tip ends 1220 .
  • Each T-pattern 1219 is symmetrical about the corresponding T-junction 1216 so that the distances traveled through the channels 1212 by gas from the receiving end 1214 to the different orifices 1208 are all the same. This feature can provide uniform gas pressure throughout all the orifices 1208 in the outer gas zone 1213 .
  • the inner zone channels 1210 in the embodiment of FIG. 3A are likewise arranged in T-patterns.
  • the inner zone channels 1210 of the manifold 510 of FIG. 3A begin at a gas receiving end 1230 that underlies an axial port 1201 a of the lid 505 (shown in FIG. 2 ) of the supply channel 1201 in the lid 505 .
  • the gas flow is split into two opposing circumferential directions along a concentric channel 1210 - 1 at a first T-junction 1232 a , gas flow in each of those two opposing directions then being split in half at a pair of T-junctions 1232 b , 1232 c , creating four divided gas flow paths that supply four respective T-patterns 1234 a , 1234 b , 1234 c , 1234 d .
  • Each one of the T-patterns 1234 a - 1234 d consists of channels 1236 - 1 , 1236 - 2 forming the T-pattern.
  • a corresponding one of the orifices 1208 is located within and near the tip end of a corresponding one of the T-pattern channels 1236 - 1 , 1236 - 2 .
  • the T-patterns 1234 a through 1234 d are symmetrical so that the gas flow distances from the receiving end 1230 to each of the orifices 1208 in the inner zone are the same, in order to ensure uniform gas pressure at the orifices 1208 in the inner zone 1211 .
  • the gas flow extends less than a circle (e.g., less than a half-circle in the embodiment of FIG. 3A ) in opposing directions from the input end 1230 .
  • bottom surface channels 1206 in the bottom surface of the manifold 510 are divided into a circular inner zone 1300 and an annular outer zone 1302 surrounding the inner zone 1300 , in one embodiment.
  • the channels 1206 in each of the zones 1300 , 1302 form successive “H” patterns 1309 .
  • the channels consist of arcuate concentric channels 1310 , 1312 and radial channels 1314 .
  • Each “H” pattern 1309 is formed by one of the radial channels connecting the concentric channels 1310 , 1312 .
  • Each of the concentric channels 1310 , 1312 extends over a limited arc (e.g., a quarter circle).
  • the orifices 1208 in the outer zone 1302 are located in the center of each radial channel 1314 .
  • the bottom surface channels 1206 include sets of arcuate concentric channels 1320 , 1321 , 1322 , each extending less than a complete circle.
  • the innermost circumferential channel 1320 extends around an arc that is nearly (but slightly less than) a complete circle.
  • the next circumferential channel 1321 (of which there are two) extends around an arc of about a half circle.
  • the next circumferential channel 1322 (of which there are four) extends around an arc of about a quarter of a circle.
  • Radial channels 1323 connect the arcuate channels 1320 , 1321 , 1322 .
  • An “H” pattern 1309 is formed by the connection between each radial channel 1323 and the pair of the concentric channels 1321 , 1322 .
  • Orifices 1208 are located in the radial channels 1323 halfway between the concentric channels 1321 , 1322 .
  • some orifices 1208 are located in the innermost concentric channel 1320 .
  • the two orifices 1208 - 1 and 1208 - 2 in the inner zone 1300 are the orifices of the T-pattern 1234 b of FIG. 3A .
  • FIG. 4 depicts an embodiment of the showerhead 515 and the gas injection orifices 110 that extend therethrough.
  • Various ones of the showerhead gas injection orifices 110 are aligned with various ones of the bottom surface channels 1206 of the manifold 510 . Since each of the injection orifices extends completely through the showerhead 515 , their hole patterns on the top and bottom faces of the showerhead 515 are the same.
  • the top surface channels 1204 of the manifold 510 can uniformly distribute gas pressure from each of the inner and outer zone gas input channels 1201 , 1202 to the orifices 1208 .
  • the bottom surface channels 1206 in the manifold 510 can uniformly distribute gas pressure from orifices 1208 of the manifold 510 to the gas injection orifices 110 of the showerhead 515 .
  • FIG. 5 depicts the alignment of the showerhead gas injection orifices 110 with the inner zone 1300 of bottom surface channels 1206 of the manifold 510 in accordance with one embodiment.
  • FIG. 6 depicts the alignment of the showerhead gas injection orifices 110 with the outer zone 1302 of bottom surface channels 1206 of the manifold 510 in accordance with one embodiment.
  • the gas flow path from a manifold orifice 1208 to the closest showerhead gas injection orifice 110 is the same for all manifold orifices 1208 of the inner zone 1300 .
  • the gas flow path from a manifold orifice 1208 to the corresponding showerhead gas injection orifice 110 is the same for all manifold orifices 1208 of the outer zone 1302 .
  • This feature can provide a uniform gas pressure at all gas injection orifices 110 of the showerhead 515 within each zone 1300 , 1302 , while the different zones 1300 , 1302 may have different gas pressures.
  • FIG. 7 is a top view of the planar electrode 116 formed inside the showerhead 515 as a thin conductive layer in accordance to an embodiment of the present invention.
  • the radial slots 1340 in the electrode 116 are provided if the inductively coupled power applicator 114 is present.
  • the radial slots 1340 prevent absorption of inductively coupled power by the electrode 116 , thereby enabling power to be inductively coupled from the coil antenna 114 through the electrode 116 and into the chamber with little or no loss.
  • the radial slots 1340 may coincide with the gas injection orifices 110 of the showerhead 515 (although the orifices 110 would not normally be visible in the view of FIG. 4 ). If the coil antenna 114 is not present, then the radial slots 1340 may be eliminated, in which case the electrode 116 forms a continuous surface.
  • FIGS. 8A and 8B depict a plasma reactor in accordance with one embodiment in which a modified showerhead assembly 208 replaces the showerhead assembly 108 of FIG. 1 .
  • the modified showerhead assembly 208 includes the lid 505 of FIG. 2 . It further includes a manifold 610 depicted in FIGS. 10A and 10B . It further includes a showerhead 615 depicted in FIG. 10 .
  • the showerhead assembly 208 can include a ceiling electrode 216 , which may be below the showerhead 615 .
  • the manifold 610 is depicted in the top and bottom views of FIGS. 9A and 9B .
  • the top surface of the manifold 610 has gas distribution passages formed as channels 1204 .
  • the bottom surface of the manifold 610 is flat and devoid of channels.
  • the showerhead 615 shown in FIG. 10 is shaped to form the bottom and sides of an empty volume or plenum 210 shown in FIG. 8B , the top of which is enclosed by the manifold 610 .
  • the top surface channels 1204 communicate with the plenum 210 through orifices 1208 extending through the manifold 610 .
  • the top surface channels 1204 consist of a radially inner group of channels 1210 occupying a circular region or inner zone 1211 and a radially outer group of channels 1212 occupying an annular region or outer zone 1213 (as shown in FIG. 9A ).
  • the outer channels 1212 begin at a receiving end 1214 that faces an axial port 1202 a (shown in FIG. 9 ) of the gas supply passage 1202 of the lid 605 .
  • the outer channels 1212 are laid out in multiple T-junctions 1216 in which gas flow is equally divided into opposite circumferential directions at each T-junction 1216 .
  • Each T-junction 1216 is at the center of a corresponding T-pattern 1219 .
  • the T-junctions 1216 are cascaded so that gas flow is divided among successively shorter arcuate channels 1212 - 1 , 1212 - 2 , 1212 - 2 , 1212 - 4 in a sequence beginning with the long channels 1212 - 1 and ending with the short channels 1212 - 4 .
  • the short channels 1212 - 4 are terminated at tip ends 1220 .
  • Each of the orifices 1208 is located at a respective one of the tip ends 1220 .
  • Each T-pattern 1219 in the illustrated embodiment is symmetrical about the corresponding T-junction 1216 so that the distances traveled through the channels 1212 by gas from the receiving end 1214 to the different orifices 1208 are all the same. This feature can provide uniform gas pressure throughout all the orifices 1208 in the outer gas zone 1213 .
  • the inner zone channels 1210 of FIG. 9A are arranged in T-patterns, in one embodiment.
  • the inner zone channels 1210 begin at a gas receiving end 1230 that underlies an axial port 1201 a (shown in FIG. 9 ) of the supply channel 1202 in the lid 605 .
  • the gas flow is split into two opposing circumferential directions along a concentric channel 1210 - 1 at a first T-junction 1232 a , gas flow in each of those two opposing directions then being split in half at a pair of T-junctions 1232 b , 1232 c , creating four divided gas flow paths that supply four respective T-patterns 1234 a , 1234 b , 1234 c , 1234 d .
  • Each one of the T-patterns 1234 a - 1234 d consists of a pair of channels 1236 - 1 , 1236 - 2 forming the T-pattern.
  • a corresponding one of the orifices 1208 is located within and near the tip end of a corresponding one of the T-pattern channels 1236 - 1 , 1236 - 2 .
  • the T-patterns 1234 a through 1234 d are symmetrical so that the gas flow distances from the receiving end 1230 to each of the orifices 1208 in the inner zone are the same, in order to ensure uniform gas pressure at the orifices 1208 in the inner zone 1211 .
  • the gas flow extends less than a circle (e.g., less than a half-circle in the embodiment of FIG. 9A ) in opposing directions from the input end 1230 .
  • the manifold 610 provides only a single layer of path-splitting channels 1204 whose gas outlet holes 1208 directly feed the plenum 210 shown in FIG. 8B . Gas flowing through the outlet holes 1208 gathers in the plenum 210 and is injected into the chamber interior through the holes 110 in the showerhead 615 .
  • annular wall 211 in the plenum 210 divides the plenum into concentric inner and outer plenums 212 , 214 fed by the inner and outer zones 1211 , 1213 of the manifold 610 respectively.
  • the annular wall 211 extends from the top surface of the showerhead 615 to the bottom surface of the manifold 610 .
  • the outlet holes 1208 of the manifold 610 are arranged along concentric imaginary circles 220 , 224 indicated in phantom line.
  • the gas outlet holes 1208 of the outer zone 1213 lie along the outermost circle 220 .
  • the gas outlet holes 1208 of the inner zone 1211 lie along an intermediate circle 224 .
  • the outlet holes 110 of the showerhead 615 may be more closely spaced and more numerous than the outlet holes 1208 of the manifold 610 , as shown in FIG. 10 .
  • FIG. 11 illustrates the overhead electrode 216 .
  • the electrode 216 may be placed beneath the showerhead 615 .
  • the electrode 216 has gas outlet holes 217 in registration with the gas outlet holes 110 of the showerhead 615 , as shown in FIG. 11 .
  • the lid 605 and manifold 610 may be external or separated from the showerhead 615 .
  • this separation may accommodate a temperature control plate 230 , such as a chiller or heater plate, between the manifold 610 and the showerhead 615 .
  • the temperature control plate 230 has holes 232 extending through it that are in registration with the outlet holes 1208 of the manifold 610 .
  • the plenum 210 is defined between the showerhead 615 and the temperature control plate 230 .
  • the annular wall 211 of FIG. 10 extends from the top surface of the showerhead 615 to the bottom surface of the temperature control plate 230 .
  • the annular wall 211 divides the plenum 210 into inner and outer plenums 212 , 214 .
  • successive ones of the channels 1204 in the top surface of the manifold 610 are split into a pair of channels of equal length, in a hierarchy of successively split channels, as described above.
  • the manifold 610 may therefore be referred to as a path splitting manifold.
  • the successively split channels 1204 terminate at individual ones of the outlet holes 1208 .
  • the outlet holes are axial, while the manifold 610 and the showerhead 615 are axially displaced from one another, so that the manifold outlet holes 1208 axially feed the showerhead 615 , in accordance with the foregoing description.
  • FIG. 12 depicts an embodiment in which a path splitting manifold feeds a showerhead in the radial direction, as distinguished from the axial direction.
  • an inner path splitting manifold 410 has a gas supply inlet 411 from which gas flow is split between two halves of a half-circle gas flow channel 412 . Gas flow from each of the two ends of the channel 412 is split between two halves of respective quarter circle channels 414 - 1 , 414 - 2 . Gas flow from each of the two ends of each channel 414 - 1 , 414 - 2 is split between two halves of respective one-eighth circle channels 416 - 1 through 416 - 4 .
  • gas flow from each end of the channel 414 - 1 is split between two halves of a respective one of the channels 416 - 1 and 416 - 2 .
  • gas flow from each end of the channel 414 - 2 is split between two halves of a respective one of the channels 416 - 3 and 416 - 4 .
  • Each of the channels 416 - 1 through 416 - 4 has a pair of ends terminating in respective radial outlet holes 418 , there being a total of eight outlet holes extending in the radial direction in the illustrated embodiment. Other embodiments may have a different number of outlets.
  • An inner showerhead 420 surrounds or radially faces the inner manifold 410 and receives gas flow from the manifold 410 through the radial holes 418 .
  • the inner showerhead 420 includes an inner plenum 422 having a floor 424 with gas injection holes 426 extending axially through the floor and providing gas flow into the reactor chamber interior 104 .
  • channel 412 is described above as a half circle, the channels 414 - 1 and 414 - 2 are described as being quarter circles and the channels 416 - 1 through 416 - 4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 411 to each of the outlets 418 .
  • an outer path splitting manifold 430 has a gas supply inlet 431 from which gas flow is split between two halves of a half-circle gas flow channel 432 .
  • Gas flow from each of the two ends of the channel 432 is split between two halves of respective quarter circle channels 434 - 1 , 434 - 2 .
  • Gas flow from each of the two ends of each channel 434 - 1 , 434 - 2 is split between two halves of respective one-eighth circle channels 436 - 1 through 436 - 4 .
  • gas flow from each end of the channel 434 - 1 is split between two halves of a respective one of channels 436 - 1 and 436 - 2 .
  • each end of the channel 434 - 2 is split between two halves of a respective one of channels 436 - 3 and 436 - 4 .
  • Each of the channels 436 - 1 through 436 - 4 has a pair of ends terminating in respective radial outlet holes 438 , there being a total of eight outlet holes extending in the radial direction in the illustrated embodiment. Other embodiments may have a different number of outlets.
  • An outer showerhead 440 surrounds or radially faces the outer manifold 430 and receives gas flow from the manifold 430 through the radial holes 438 .
  • the outer showerhead 440 includes a plenum 442 having a floor 444 with gas injection holes 446 extending axially through the floor and providing gas flow into the reactor chamber interior 104 .
  • channel 432 is described above as a half circle, the channels 434 - 1 and 434 - 2 are described as being quarter circles and the channels 436 - 1 through 436 - 4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 431 to each of the outlets 438 .
  • the inner manifold 410 , the inner showerhead 420 , the outer manifold 430 and the outer showerhead 440 are mutually concentric components comprising a gas distribution plate 445 .
  • the electrode 216 underlies the bottom of the plate 445 .
  • the electrode has holes 217 some of which are in registration with the outlet holes 426 of the inner showerhead 420 and others of which are in registration with the outlet holes 446 of the outer showerhead 440 .
  • a temperature control plate 450 such as a chiller plate or heater plate, may be placed between the assembly 445 and the electrode 216 .
  • the temperature control plate 450 has holes 452 that continue the axial paths provided by the holes 426 of the inner showerhead 420 and the holes 446 of the outer showerhead 440 .
  • FIGS. 13 and 14 depict embodiments in which each of the inner manifold 410 is planar or flat and is radially adjacent the showerhead 420 .
  • gas flow to each showerhead is in the radially outward direction.
  • FIGS. 15 and 16A depict a different embodiment in which gas flow to each showerhead is in the radially inward direction.
  • a center path splitting manifold 2410 surrounds and supplies gas to a center showerhead 2420
  • an outer path splitting manifold 2430 surrounds and supplies gas to an outer showerhead 2440 .
  • the inner path splitting manifold 2410 has a gas supply inlet 2411 from which gas flow is split between two halves of a half-circle gas flow channel 2412 .
  • Gas flow from each of the two ends of the channel 2412 is split between two halves of respective quarter circle channels 2414 - 1 , 2414 - 2 .
  • Gas flow from each of the two ends of each channel 2414 - 1 , 2414 - 2 is split between two halves of respective one-eighth circle channels 2416 - 1 through 2416 - 4 .
  • gas flow from each end of the channel 2414 - 1 is split between two halves of a respective one of channels 2416 - 1 and 2416 - 2 .
  • gas flow from each end of the channel 2414 - 2 is split between two halves of a respective one of channels 2416 - 3 and 2416 - 4 .
  • Each of the one-eighth circle channels 2416 - 1 through 2416 - 4 has a pair of ends terminating in respective radial outlet holes 2418 , a total of eight outlet holes 2418 extending in the radial direction.
  • the inner showerhead 2420 is surrounded by the inner manifold 2410 and receives gas flow in the radially inward direction from the manifold 2410 through the radial holes 2418 .
  • the inner showerhead 2420 includes an inner plenum 2422 having a floor 2424 with gas injection holes 2426 extending axially through the floor and providing gas flow into the reactor chamber interior 104 .
  • the outer path splitting manifold 2430 has a gas supply inlet 2431 from which gas flow is split between two halves of a half-circle gas flow channel 2432 .
  • Gas flow from each of the two ends of the channel 2432 is split between two halves of respective quarter circle channels 2434 - 1 , 2434 - 2 .
  • Gas flow from each of the two ends of each channel 2434 - 1 , 2434 - 2 is split between two halves of respective one-eighth circle channels 2436 - 1 through 2436 - 4 .
  • gas flow from each end of the channel 2434 - 1 is split between two halves of a respective one of the channels 2436 - 1 and 2436 - 2 .
  • each end of the channel 2434 - 2 is split between two halves of a respective one of channels 2436 - 3 and 2436 - 4 .
  • Each of the channels 2436 - 1 through 2436 - 4 has a pair of ends terminating in respective radial outlet holes 2438 , there being a total of eight outlet holes 2438 extending in the radial direction in the illustrated embodiment. Other embodiments may have a different number of outlets.
  • the outer showerhead 2440 is surrounded by the outer manifold 2430 and receives gas flow in the radially inward direction from the manifold 2430 through the radial holes 2438 .
  • the outer showerhead 2440 includes a plenum 2442 having a floor 2444 with gas injection holes 2446 extending axially through the floor and providing gas flow into the reactor chamber interior 104 .
  • the inner manifold 2410 , the inner showerhead 2420 , the outer manifold 2430 and the outer showerhead 2440 are mutually concentric components comprising a gas distribution plate 2445 .
  • the electrode 216 underlies the bottom of the plate 2445 .
  • the electrode has holes 217 some of which are in registration with the outlet holes 2426 of the inner showerhead 2420 and others of which are in registration with the outlet holes 2446 of the outer showerhead 2440 .
  • a temperature control plate 450 may be placed between the gas distribution plate 2445 and the electrode 216 in the manner depicted in FIG. 16B .
  • the temperature control plate 450 has holes 452 in registration with the showerhead outlet holes 2426 and 2446 .
  • gas flow from each of the path splitting manifolds is in the radial direction so that the respective showerheads (e.g., the showerheads 420 , 440 of FIG. 12 ) are juxtaposed radially or side-by-side with the path splitting manifolds.
  • these embodiments can provide an advantage over the embodiments of FIGS. 1-11 , in that the separation between the inner and outer gas injection zones established by the inner and outer showerheads (e.g., the inner and outer showerheads 410 , 430 of FIG. 12 ) is greater, and therefore provides superior resolution between the gas flows of the inner and outer gas injection zones.
  • FIG. 17 depicts an embodiment in which each showerhead 420 , 440 is enlarged to form a large interior volume, and the respective path-splitting manifold 410 , 430 is immersed or contained inside the enlarged interior volume of the showerhead.
  • the manifolds 410 , 430 eject gas radially outwardly.
  • the manifolds 410 , 430 may be replaced by the manifolds 2410 , 2430 , respectively, that eject gas radially inwardly.
  • the manifolds 410 and 430 have been modified to eject gas in both the radially inward direction and the radially outward direction.
  • FIG. 18 is a plan view of the modification of the inner manifold 410 for use in the embodiment of FIG. 17 , in which gas is ejected from the manifold 410 in both the radially outward direction and the radially inward direction.
  • the gas outlet holes 418 extend to both the inner and outer surfaces 410 a , 410 b of the manifold 410 , so that each hole forms an outwardly facing opening 418 a and an inwardly facing opening 418 b .
  • FIG. 18 also depicts the modification of the outer manifold 430 in which gas is ejected from the manifold 430 in both the radially outward direction and the radially inward direction.
  • the gas outlet holes 438 extend to both the inner and outer surfaces 430 a , 430 b of the manifold 430 , so that each hole forms an outwardly facing opening 438 a and an inwardly facing opening 438 b.
  • An advantage of the embodiments of FIGS. 17 and 18 is that there can be greater number of outlet channels in each of the path splitting manifolds (e.g., the path splitting manifolds 410 , 430 of FIG. 18 ) than in other embodiments.
  • the outer path splitting manifold 430 (for example) as both a set of outwardly-facing outlets 438 a and a set of inwardly-facing outlets 438 b , and therefore has a significantly larger (e.g., twice) number of gas outlets relative to the embodiment of FIG. 12 (for example).
  • the embodiment of FIG. 18 can have a proportionately greater gas conductance.
  • FIG. 19 depicts an embodiment in which a path splitting manifold is vertically distributed or stacked.
  • the manifold of FIG. 19 has a gas supply inlet 3411 from which gas flow is split between two halves of a half-circle gas flow channel 3412 .
  • Quarter circle channels 3414 - 1 and 3414 - 2 are axially displaced below the half circle channel, with their midpoints being coupled to respective ends of the half circle channel 3412 by respective axial channels 3413 - 1 and 3413 - 2 .
  • Gas flow from each of the two ends of the channel 3412 is split between two halves of the respective quarter circle channels 3414 - 1 , 3414 - 2 .
  • One-eighth circle channels 3416 - 1 through 3416 - 4 are axially displaced below the quarter circle channels 3414 - 1 , 3414 - 2 , with their midpoints being coupled to respective ends of the quarter circle channels 3414 - 1 and 3414 - 2 by respective axial channels 3415 - 1 through 3415 - 4 .
  • Gas flow from each of the two ends of each channel 3414 - 1 , 3414 - 2 is split between two halves of the respective one-eighth circle channels 3416 - 1 through 3416 - 4 .
  • gas flow from each end of the channel 3414 - 1 is split between two halves of a respective one of channels 3416 - 1 and 3416 - 2 .
  • gas flow from each end of the channel 3414 - 2 is split between two halves of a respective one of channels 3416 - 3 and 3416 - 4 .
  • Each of the channels 3416 - 1 through 3416 - 4 has a pair of ends terminating in respective outlets 3418 - 1 through 3418 - 8 , there being a total of eight outlets 3418 - 1 through 3418 - 8 in the illustrated embodiment.
  • Other embodiments may have a different number of outlets.
  • FIG. 20 is a plan view corresponding to FIG. 19 and showing how the gas flow channels of FIG. 19 may be confined to a narrow cylindrical annulus.
  • FIGS. 21 and 22 depict a gas distribution system having inner and outer vertically stacked manifolds and inner and outer showerheads.
  • the gas distribution system includes an inner manifold 3410 axially above an inner showerhead 3420 and an outer manifold 3430 axially displaced above an outer showerhead 3440 .
  • the inner manifold 3410 of FIG. 21 has a gas supply inlet 3411 from which gas flow is split between two halves of a half-circle gas flow channel 3412 .
  • Quarter circle channels 3414 - 1 and 3414 - 2 are axially displaced below the half circle channel, with their midpoints being coupled to respective ends of the half circle channel 3412 by respective axial channels 3413 - 1 and 3413 - 2 .
  • Gas flow from each of the two ends of the channel 3412 is split between two halves of the respective quarter circle channels 3414 - 1 , 3414 - 2 .
  • One-eighth circle channels 3416 - 1 through 3416 - 4 are axially displaced below the quarter circle channels 3414 - 1 , 3414 - 2 , with their midpoints being coupled to respective ends of the quarter circle channels 3414 - 1 and 3414 - 2 by respective axial channels 3415 - 1 through 3415 - 4 .
  • Gas flow from each of the two ends of each channel 3414 - 1 , 3414 - 2 is split between two halves of the respective one-eighth circle channels 3416 - 1 through 3416 - 4 .
  • gas flow from each end of the channel 3414 - 1 is split between two halves of a respective one of channels 3416 - 1 and 3416 - 2 .
  • each end of the channel 3414 - 2 is split between two halves of a respective one of channels 3416 - 3 and 3416 - 4 .
  • Each of the channels 3416 - 1 through 3416 - 4 has a pair of ends terminating in respective outlets 3418 - 1 through 3418 - 8 , there being a total of eight outlets 3418 - 1 through 3418 - 8 extending axially to the underlying inner showerhead 3420 in the illustrated embodiment.
  • Other embodiments may have a different number of outlets.
  • channel 3412 is described above as a half circle, the channels 3414 - 1 and 3414 - 2 are described as being quarter circles and the channels 3416 - 1 through 3416 - 4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 3411 to each of the outlets 3418 .
  • the outer manifold 3430 of FIG. 21 has a gas supply inlet 3431 from which gas flow is split between two halves of a half-circle gas flow channel 3432 .
  • Quarter circle channels 3434 - 1 and 3434 - 2 are axially displaced below the half circle channel, with their midpoints being coupled to respective ends of the half circle channel 3432 by respective axial channels 3433 - 1 and 3433 - 2 .
  • Gas flow from each of the two ends of the channel 3432 is split between two halves of the respective quarter circle channels 3434 - 1 , 3434 - 2 .
  • One-eighth circle channels 3436 - 1 through 3436 - 4 are axially displaced below the quarter circle channels 3434 - 1 , 3434 - 2 , with their midpoints being coupled to respective ends of the quarter circle channels 3434 - 1 and 3434 - 2 by respective axial channels 3435 - 1 through 3435 - 4 .
  • Gas flow from each of the two ends of each channel 3434 - 1 , 3434 - 2 is split between two halves of the respective one-eighth circle channels 3436 - 1 through 3436 - 4 .
  • gas flow from each end of the channel 3434 - 1 is split between two halves of a respective one of channels 3436 - 1 and 3436 - 2 .
  • each end of the channel 3434 - 2 is split between two halves of a respective one of channels 3436 - 3 and 3436 - 4 .
  • Each of the channels 3436 - 1 through 3436 - 4 has a pair of ends terminating in respective outlets 3438 - 1 through 3438 - 8 , there being a total of eight outlets in the illustrated embodiment. Other embodiments may have a different number of outlets.
  • the outlets 3438 - 1 through 3438 - 8 extend in the axial direction to the underlying outer showerhead 3440 .
  • channel 3432 is described above as a half circle, the channels 3434 - 1 and 3434 - 2 are described as being quarter circles and the channels 3436 - 1 through 3436 - 4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 3431 to each of the outlets 3438 - 1 through 3438 - 8 .
  • FIG. 22 illustrates a temperature control plate 230 may be interposed between the different axial layers of the manifolds 3410 , 3430 .
  • the temperature control 230 plate may be placed axially between the layer consisting of the half circle channels 3412 and 3432 and the layer consisting of the quarter circle channels 3414 - 1 , 3414 - 2 and 3434 - 1 and 3434 - 2 .
  • FIG. 23 illustrates a further embodiment, in which the vertically stacked path splitting manifold 3410 and the showerhead 3420 are at least partially side-by-side, and the outlets 3418 are oriented in the radial direction. Also in FIG. 23 , the vertically stacked path splitting manifold 3430 and the showerhead 3440 are at least partially side-by-side and the outlets 3438 are oriented in radial directions.
  • the path-splitting channels of the embodiments of FIGS. 3A , 9 A, 12 , 15 and 18 are distributed in azimuthally extending planes.
  • the path-splitting channels of the embodiments of FIGS. 19 and 21 are axially distributed.
  • These embodiments each consist of successive layers of split channels, in which the gas flow paths are recursively (repeatedly) split, each layer having twice as many channels as the previous layer. For example, in the embodiment of FIG.
  • the input channel 1214 is split between two halves of the channel 1212 - 1 , which in turn is split into two halves of channels 1212 - 2 , which in turn are split into four pairs of halves of channels 1212 - 3 , which in turn is split into eight pairs of halves of channels 1212 - 4 .
  • there are a total of four levels of parallel splits there being a single split in the first layer and eight splits in the fourth layer, for a total of sixteen outputs.
  • This multiplication of the number of outputs may be referred to as recursive splitting or recursive connection at the midpoints of successive channels.
  • the number of outputs N is determined by the number of levels of splits, n.
  • Each of these embodiments constitutes a hierarchy of channels recursively coupled at their midpoints to outputs of other channels of the hierarchy.

Abstract

A showerhead of a plasma reactor has an internal plenum comprising a showerhead floor and a plurality of gas injection holes through the floor of the showerhead. A path splitting manifold is immersed inside the plenum and comprises a gas supply inlet, plural gas outlets, and a plurality of channels comprising plural paths of equal lengths between the inlet and respective ones of the plural outlets.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application contains subject matter related to U.S. patent application Ser. No. 11/693,089, filed Mar. 29, 2007 by Alexander Paterson et al. entitled “Plasma Reactor With An Overhead Inductive Antenna And An Overhead Gas Distribution Showerhead” and assigned to the present assignee, the disclosure of which is incorporated herein in its entirety.
  • TECHNICAL FIELD
  • This application concerns a plasma reactor for processing a workpiece such as a semiconductor wafer, and in particular a gas distribution plate for such a reactor.
  • BACKGROUND
  • A gas distribution showerhead is located at the reactor chamber ceiling overlying the workpiece or semiconductor wafer. One conventional showerhead has an annular plenum in which gas is introduced at one end and circulates azimuthally around the annular plenum. The gas injection orifices of the showerhead are azimuthally distributed outlets in the floor of the plenum. One problem with such a showerhead is that gas distribution is azimuthally non-uniform because the gas pressure is not uniform along the azimuthally flow path through the plenum. Another problem is that during some process transitions, such as a transition from an Argon process gas to an Oxygen process gas, some arcing (plasma light-up) in the gas outlets occurs. This is due at least in part to the non-uniform distribution of Argon and Oxygen in the plenum during the transition. During the transition, Oxygen predominates in the region nearest the gas supply and Argon predominates in the region furthest from the gas supply.
  • The plasma below the showerhead has a corresponding non-uniform distribution of Oxygen and Argon. Plasma density becomes correspondingly non-uniform because the portion of the plasma containing more Argon absorbs more plasma source power. Moreover, the sheath thickness of the portion of the plasma containing more Argon is less than the portion containing predominantly Oxygen. This leads to light-up or arcing in the showerhead outlets overlying the region of the plasma containing more Argon than Oxygen. This condition may last until all the Argon has been displaced by the incoming Oxygen gas, which may take on the order of a few seconds.
  • There is a need to introduce process gas in a manner that avoids such non-uniform distribution of gases during a process transition from one process gas to a different process gas.
  • SUMMARY
  • In one embodiment, a gas distribution showerhead assembly is provided for use in a plasma reactor adapted to process a workpiece such as a semiconductor wafer. A showerhead of the assembly has an internal plenum comprising a showerhead floor and a plurality of gas injection holes through the floor of the showerhead. A path splitting manifold is immersed inside the plenum and comprises a gas supply inlet, plural gas outlets, and a plurality of channels comprising plural paths of equal lengths between the inlet and respective ones of the plural outlets. An electrode underlies the floor of the showerhead, the electrode having plural axial holes in registration with the gas injection holes of the showerhead.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited embodiments of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a simplified block diagram including a cut-away side view of a plasma reactor in accordance with one embodiment.
  • FIG. 2 is a top view of a ceiling lid of the gas distribution plate of the reactor of FIG. 1.
  • FIG. 3A is a top view of the top surface of a manifold of the gas distribution plate of the reactor of FIG. 1.
  • FIG. 3B is a top view of the bottom surface of the manifold of the gas distribution plate of the reactor of FIG. 1.
  • FIG. 4 is a top view of a showerhead of the gas distribution plate of the reactor of FIG. 1.
  • FIG. 5 is a top view of the inner zone of the manifold of FIG. 3B and showing the alignment of the gas injection orifices 110 of the showerhead of FIG. 4 relative to the inner zone of the manifold of FIG. 3B.
  • FIG. 6 is a top view of the outer zone of the manifold of FIG. 3B and showing the alignment of the gas injection orifices 110 of the showerhead of FIG. 4 relative to the outer zone of the manifold of FIG. 3B.
  • FIG. 7 is a top view of one embodiment of the ceiling electrode in the reactor of FIG. 1.
  • FIG. 8A includes a cut-away side view of a plasma reactor in accordance with a further embodiment, in which a lid, a path splitting manifold and a showerhead are axially stacked, and the path splitting manifold is radially distributed.
  • FIG. 8B is an enlarged side view of the showerhead assembly of FIG. 8A.
  • FIG. 8C is an enlarged side view of a showerhead assembly of a related embodiment in which the manifold of FIG. 8A is separated from the showerhead by a temperature control plate.
  • FIG. 9A is a top view of the top surface of the manifold of the gas distribution plate of the reactor of FIG. 8A.
  • FIG. 9B is a top view of the bottom surface of the manifold of the gas distribution plate of the reactor of FIG. 8A.
  • FIG. 10 is a top view of a showerhead of the gas distribution plate of the reactor of FIG. 8A.
  • FIG. 11 is a top view of one embodiment of the ceiling electrode in the reactor of FIG. 8A.
  • FIG. 12 is a top view of a showerhead assembly in accordance with an embodiment in which the manifolds and showerheads are radially juxtaposed rather than being axially stacked, and the manifolds feed gas in a radially outward direction.
  • FIG. 13 is a cut-away side view corresponding to FIG. 12.
  • FIG. 14 is a cut-away side view of a related embodiment in which the gas distribution assembly is separated from the ceiling electrode by a temperature control plate.
  • FIG. 15 is a top view of an embodiment in which the manifolds and showerheads are radially juxtaposed, and the manifolds feed gas in a radially inward direction.
  • FIG. 16A is a cut-away side view corresponding to FIG. 15.
  • FIG. 16B is a cut-away side view of a related embodiment in which the gas distribution assembly is separated from the ceiling electrode by a temperature control plate.
  • FIG. 17 is a cut-away side view of an embodiment in which each path splitting manifold is immersed within a respective showerhead.
  • FIG. 18 is a top view of an embodiment of a path splitting manifold having both radially inward facing outlets and radially outward facing outlets, for use in the embodiment of FIG. 17.
  • FIG. 19 is a simplified orthographic view of an embodiment in which the path splitting channels of the manifold are vertically stacked.
  • FIG. 20 is a top view corresponding to FIG. 19.
  • FIG. 21 is a side view illustrating an embodiment having inner and outer manifolds with vertically stacked path splitting channels.
  • FIG. 22 is a top view corresponding to FIG. 21.
  • FIG. 23 is a side view of a modification of the embodiment of FIG. 19 in which the vertically stacked path splitting manifold and the showerhead are side-by-side.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The drawings in the figures are all schematic and not to scale.
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, a workpiece 102, which may be a semiconductor wafer, is held on a workpiece support 103 within a reactor chamber 104. Optionally, the workpiece support 103 may be raised and lowered by a lift servo 105. The chamber 104 is bounded by a chamber sidewall 106 and a ceiling 108. In one embodiment, the ceiling 108 is a gas distribution showerhead assembly including a lid 505 (FIG. 2), a manifold 510 (FIGS. 3A and 3B) and a showerhead 515 (FIG. 4). As indicated in FIG. 1, the lid 505 rests on top of the manifold 510 and the manifold 510 rests on top of the showerhead 515. The showerhead 515 has small gas injection orifices 110 extending through it, as illustrated in FIG. 4. Referring again to FIG. 1, the gas distribution showerhead assembly 108 receives process gas from a process gas supply 112. A capacitively coupled RF plasma source power applicator consists of an electrode 116 in the ceiling 108.
  • Many embodiments described herein concern primarily a capacitively coupled plasma reactor for dielectric etch processes (for example), in which there is no inductively coupled power applicator. However, in embodiments for other process applications, such as polysilicon etch processes or metal etch processes, an inductively coupled power applicator, such as an overhead coil antenna 114 depicted in FIG. 1, may be provided. In such an embodiment, in order to permit inductive coupling into the chamber 104 from the overhead coil antenna 114, the ceiling 108 may be formed of a dielectric material such as a ceramic, and the ceiling electrode 116 may have multiple radial slots. The coil antenna 114 is driven by an RF generator 118. In one embodiment, the coil antenna 114 may consist of inner and outer conductor windings 114 a, 114 b while the generator 118 may be respective RF generators 118 a, 118 b coupled through respective impedance matches 120 a, 120 b to the inner and outer coil antennas 114 a, 114 b. However, it is understood that the coil antennas 114 (114 a and 114 b) may be eliminated in embodiments for other uses, such as dielectric etch, in which case the electrode 116 may be unslotted and the ceiling 108 may be formed of metal.
  • In one embodiment, an RF power generator 122 provides high frequency (HF) or very high frequency (VHF) power (e.g., within a range of about 27 MHz through 200 MHz) through an impedance match element 124 to the overhead electrode 116. Power is coupled to a bulk plasma 126 within the chamber 104 formed over the workpiece support 103.
  • RF plasma bias power is coupled to the workpiece 102 from an RF bias power supply coupled to an electrode 130 underlying the wafer 102. In one embodiment, the RF bias power supply may include a low frequency (LF) RF power generator 132 (100 kHz to 4 MHz) and another RF power generator 134 that may be a high frequency (HF) RF power generator (4 MHz to 27 MHz). An impedance match element 136 is coupled between the bias power generators 132, 134 and the workpiece support electrode 130. A vacuum pump 160 evacuates process gas from the chamber 104 through a valve 162 which can be used to regulate the evacuation rate. If the workpiece support 103 is an electrostatic chuck, then a D.C. chucking voltage supply 170 is connected to the electrode 130. A capacitor 172 provides isolation from the D.C. voltage supply 170.
  • In one embodiment, a system controller 140 may control the source power generators 118, 122. The controller 140 may also control the pumping rate of the vacuum pump 160 and/or the opening size of the evacuation valve 162. In addition, the controller 140 may control the bias power generators 132, 134.
  • The lid 505 in one embodiment is depicted in FIG. 2, and may be a disk composed of metal or insulating material. The lid 505 has elongate radial inner and outer zone gas supply passages 1201, 1202 extending inwardly from the outer edge of the lid 505. Inner zone and outer zone gas panels 112 a, 112 b of the gas supply 112 (FIG. 1) furnish process gas to respective ones of the gas supply passages 1201, 1202. The gas panels 112 a, 112 b control process gas flow rates from individual ones of plural (multiple) process gas sources containing different process gas species or compounds.
  • The manifold 510 in one embodiment is a disk depicted in the top and bottom views of FIGS. 3A and 3B, having gas distribution passages formed as channels 1204 in its top surface (FIG. 3A) and channels 1206 in its bottom surface (FIG. 3B). The top surface channels 1204 communicate with the bottom surface channels 1206 through orifices 1208 extending through the manifold 510. The top surface channels 1204 of FIG. 3A may consist of a radially inner group of channels 1210 occupying a circular region or inner zone 1211, and a radially outer group of channels 1212 occupying an annular region or outer zone 1213. In one embodiment, the showerhead/ceiling assembly 108 (FIG. 1) divides gas distribution into plural concentric independent gas distribution zones. In the illustrated embodiment of the manifold 510 of FIG. 3, these zones consist of the circular inner zone 1211 (having the inner group of channels 1210) and the annular outer zone 1213 (having the outer group of channels 1212).
  • In one embodiment, the outer channels 1212 of the manifold 510 begin at a receiving end 1214 that faces an axial port 1202 a (shown in FIG. 2) of the gas supply passage 1202 of the lid 505. In the embodiment of FIG. 3A, the outer channels 1212 are laid out in multiple T-junctions 1216 in which gas flow is equally divided into opposite circumferential directions at each T-junction 1216. Each T-junction 1216 is at the center of a corresponding T-pattern 1219. The T-junctions 1216 are cascaded so that gas flow is divided among successively shorter arcuate channels 1212-1, 1212-2, 1212-2, 1212-4 in a sequence beginning with the long channels 1212-1 and ending with the short channels 1212-4. The short channels 1212-4 are terminated at tip ends 1220. Each of the orifices 1208 is located at a respective one of the tip ends 1220. Each T-pattern 1219 is symmetrical about the corresponding T-junction 1216 so that the distances traveled through the channels 1212 by gas from the receiving end 1214 to the different orifices 1208 are all the same. This feature can provide uniform gas pressure throughout all the orifices 1208 in the outer gas zone 1213.
  • In one embodiment, the inner zone channels 1210 in the embodiment of FIG. 3A are likewise arranged in T-patterns. The inner zone channels 1210 of the manifold 510 of FIG. 3A begin at a gas receiving end 1230 that underlies an axial port 1201 a of the lid 505 (shown in FIG. 2) of the supply channel 1201 in the lid 505. Returning to FIG. 3A, in one embodiment, the gas flow is split into two opposing circumferential directions along a concentric channel 1210-1 at a first T-junction 1232 a, gas flow in each of those two opposing directions then being split in half at a pair of T- junctions 1232 b, 1232 c, creating four divided gas flow paths that supply four respective T- patterns 1234 a, 1234 b, 1234 c, 1234 d. Each one of the T-patterns 1234 a-1234 d consists of channels 1236-1, 1236-2 forming the T-pattern. A corresponding one of the orifices 1208 is located within and near the tip end of a corresponding one of the T-pattern channels 1236-1, 1236-2. The T-patterns 1234 a through 1234 d are symmetrical so that the gas flow distances from the receiving end 1230 to each of the orifices 1208 in the inner zone are the same, in order to ensure uniform gas pressure at the orifices 1208 in the inner zone 1211. The gas flow extends less than a circle (e.g., less than a half-circle in the embodiment of FIG. 3A) in opposing directions from the input end 1230.
  • Referring to the bottom view of the manifold 510 illustrated in FIG. 3B, bottom surface channels 1206 in the bottom surface of the manifold 510 are divided into a circular inner zone 1300 and an annular outer zone 1302 surrounding the inner zone 1300, in one embodiment. In the illustrated embodiment, the channels 1206 in each of the zones 1300, 1302 form successive “H” patterns 1309. In the outer zone 1302, for example, the channels consist of arcuate concentric channels 1310, 1312 and radial channels 1314. Each “H” pattern 1309 is formed by one of the radial channels connecting the concentric channels 1310, 1312. Each of the concentric channels 1310, 1312 extends over a limited arc (e.g., a quarter circle). The orifices 1208 in the outer zone 1302 are located in the center of each radial channel 1314.
  • In one embodiment, in the inner zone 1300, the bottom surface channels 1206 include sets of arcuate concentric channels 1320, 1321, 1322, each extending less than a complete circle. The innermost circumferential channel 1320 extends around an arc that is nearly (but slightly less than) a complete circle. The next circumferential channel 1321 (of which there are two) extends around an arc of about a half circle. The next circumferential channel 1322 (of which there are four) extends around an arc of about a quarter of a circle. Radial channels 1323 connect the arcuate channels 1320, 1321, 1322. An “H” pattern 1309 is formed by the connection between each radial channel 1323 and the pair of the concentric channels 1321, 1322. Orifices 1208 are located in the radial channels 1323 halfway between the concentric channels 1321, 1322. In addition, some orifices 1208 are located in the innermost concentric channel 1320. In FIG. 3B, the two orifices 1208-1 and 1208-2 in the inner zone 1300 are the orifices of the T-pattern 1234 b of FIG. 3A.
  • FIG. 4 depicts an embodiment of the showerhead 515 and the gas injection orifices 110 that extend therethrough. Various ones of the showerhead gas injection orifices 110 are aligned with various ones of the bottom surface channels 1206 of the manifold 510. Since each of the injection orifices extends completely through the showerhead 515, their hole patterns on the top and bottom faces of the showerhead 515 are the same.
  • The top surface channels 1204 of the manifold 510 can uniformly distribute gas pressure from each of the inner and outer zone gas input channels 1201, 1202 to the orifices 1208. The bottom surface channels 1206 in the manifold 510 can uniformly distribute gas pressure from orifices 1208 of the manifold 510 to the gas injection orifices 110 of the showerhead 515.
  • FIG. 5 depicts the alignment of the showerhead gas injection orifices 110 with the inner zone 1300 of bottom surface channels 1206 of the manifold 510 in accordance with one embodiment. FIG. 6 depicts the alignment of the showerhead gas injection orifices 110 with the outer zone 1302 of bottom surface channels 1206 of the manifold 510 in accordance with one embodiment. In an embodiment illustrated in FIG. 5, the gas flow path from a manifold orifice 1208 to the closest showerhead gas injection orifice 110 is the same for all manifold orifices 1208 of the inner zone 1300. In FIG. 6, the gas flow path from a manifold orifice 1208 to the corresponding showerhead gas injection orifice 110 is the same for all manifold orifices 1208 of the outer zone 1302. This feature can provide a uniform gas pressure at all gas injection orifices 110 of the showerhead 515 within each zone 1300, 1302, while the different zones 1300, 1302 may have different gas pressures.
  • FIG. 7 is a top view of the planar electrode 116 formed inside the showerhead 515 as a thin conductive layer in accordance to an embodiment of the present invention. The radial slots 1340 in the electrode 116 are provided if the inductively coupled power applicator 114 is present. The radial slots 1340 prevent absorption of inductively coupled power by the electrode 116, thereby enabling power to be inductively coupled from the coil antenna 114 through the electrode 116 and into the chamber with little or no loss. Optionally, as indicated in FIG. 4, the radial slots 1340 may coincide with the gas injection orifices 110 of the showerhead 515 (although the orifices 110 would not normally be visible in the view of FIG. 4). If the coil antenna 114 is not present, then the radial slots 1340 may be eliminated, in which case the electrode 116 forms a continuous surface.
  • External Distribution Plate with Single Flow Splitting Layer:
  • FIGS. 8A and 8B depict a plasma reactor in accordance with one embodiment in which a modified showerhead assembly 208 replaces the showerhead assembly 108 of FIG. 1. The modified showerhead assembly 208 includes the lid 505 of FIG. 2. It further includes a manifold 610 depicted in FIGS. 10A and 10B. It further includes a showerhead 615 depicted in FIG. 10. The showerhead assembly 208 can include a ceiling electrode 216, which may be below the showerhead 615.
  • The manifold 610 is depicted in the top and bottom views of FIGS. 9A and 9B. Referring to FIG. 9A, in one embodiment, the top surface of the manifold 610 has gas distribution passages formed as channels 1204. Referring to FIG. 9B, the bottom surface of the manifold 610 is flat and devoid of channels. The showerhead 615 shown in FIG. 10 is shaped to form the bottom and sides of an empty volume or plenum 210 shown in FIG. 8B, the top of which is enclosed by the manifold 610. The top surface channels 1204 communicate with the plenum 210 through orifices 1208 extending through the manifold 610.
  • In one embodiment, the top surface channels 1204 consist of a radially inner group of channels 1210 occupying a circular region or inner zone 1211 and a radially outer group of channels 1212 occupying an annular region or outer zone 1213 (as shown in FIG. 9A). There are plural concentric independent gas distribution zones. In the illustrated embodiment, these zones consist of the circular inner zone 1211 (having the inner group of channels 1210) and the annular outer zone 1213 (having the outer group of channels 1212).
  • The outer channels 1212 begin at a receiving end 1214 that faces an axial port 1202 a (shown in FIG. 9) of the gas supply passage 1202 of the lid 605. Referring again to FIG. 9A, the outer channels 1212 are laid out in multiple T-junctions 1216 in which gas flow is equally divided into opposite circumferential directions at each T-junction 1216. Each T-junction 1216 is at the center of a corresponding T-pattern 1219. The T-junctions 1216 are cascaded so that gas flow is divided among successively shorter arcuate channels 1212-1, 1212-2, 1212-2, 1212-4 in a sequence beginning with the long channels 1212-1 and ending with the short channels 1212-4. The short channels 1212-4 are terminated at tip ends 1220. Each of the orifices 1208 is located at a respective one of the tip ends 1220. Each T-pattern 1219 in the illustrated embodiment is symmetrical about the corresponding T-junction 1216 so that the distances traveled through the channels 1212 by gas from the receiving end 1214 to the different orifices 1208 are all the same. This feature can provide uniform gas pressure throughout all the orifices 1208 in the outer gas zone 1213.
  • The inner zone channels 1210 of FIG. 9A are arranged in T-patterns, in one embodiment. The inner zone channels 1210 begin at a gas receiving end 1230 that underlies an axial port 1201 a (shown in FIG. 9) of the supply channel 1202 in the lid 605. In one embodiment, the gas flow is split into two opposing circumferential directions along a concentric channel 1210-1 at a first T-junction 1232 a, gas flow in each of those two opposing directions then being split in half at a pair of T- junctions 1232 b, 1232 c, creating four divided gas flow paths that supply four respective T- patterns 1234 a, 1234 b, 1234 c, 1234 d. Each one of the T-patterns 1234 a-1234 d consists of a pair of channels 1236-1, 1236-2 forming the T-pattern. A corresponding one of the orifices 1208 is located within and near the tip end of a corresponding one of the T-pattern channels 1236-1, 1236-2. The T-patterns 1234 a through 1234 d are symmetrical so that the gas flow distances from the receiving end 1230 to each of the orifices 1208 in the inner zone are the same, in order to ensure uniform gas pressure at the orifices 1208 in the inner zone 1211. The gas flow extends less than a circle (e.g., less than a half-circle in the embodiment of FIG. 9A) in opposing directions from the input end 1230.
  • In the foregoing embodiment, the manifold 610 provides only a single layer of path-splitting channels 1204 whose gas outlet holes 1208 directly feed the plenum 210 shown in FIG. 8B. Gas flowing through the outlet holes 1208 gathers in the plenum 210 and is injected into the chamber interior through the holes 110 in the showerhead 615.
  • Referring to FIG. 10, in one embodiment, an annular wall 211 in the plenum 210 divides the plenum into concentric inner and outer plenums 212, 214 fed by the inner and outer zones 1211, 1213 of the manifold 610 respectively. The annular wall 211 extends from the top surface of the showerhead 615 to the bottom surface of the manifold 610.
  • In one embodiment, referring to FIG. 9A, in one embodiment the outlet holes 1208 of the manifold 610 are arranged along concentric imaginary circles 220, 224 indicated in phantom line. The gas outlet holes 1208 of the outer zone 1213 lie along the outermost circle 220. The gas outlet holes 1208 of the inner zone 1211 lie along an intermediate circle 224. The outlet holes 110 of the showerhead 615 may be more closely spaced and more numerous than the outlet holes 1208 of the manifold 610, as shown in FIG. 10.
  • FIG. 11 illustrates the overhead electrode 216. In the embodiment of FIG. 8B, the electrode 216 may be placed beneath the showerhead 615. The electrode 216 has gas outlet holes 217 in registration with the gas outlet holes 110 of the showerhead 615, as shown in FIG. 11.
  • Referring to the embodiment of FIG. 8C, the lid 605 and manifold 610 may be external or separated from the showerhead 615. In one embodiment, this separation may accommodate a temperature control plate 230, such as a chiller or heater plate, between the manifold 610 and the showerhead 615. In the embodiment of FIG. 8C, the temperature control plate 230 has holes 232 extending through it that are in registration with the outlet holes 1208 of the manifold 610. The plenum 210 is defined between the showerhead 615 and the temperature control plate 230. In one implementation of the embodiment of FIG. 8C, the annular wall 211 of FIG. 10 extends from the top surface of the showerhead 615 to the bottom surface of the temperature control plate 230. The annular wall 211 divides the plenum 210 into inner and outer plenums 212, 214.
  • In the embodiment of FIG. 8A, successive ones of the channels 1204 in the top surface of the manifold 610 are split into a pair of channels of equal length, in a hierarchy of successively split channels, as described above. The manifold 610 may therefore be referred to as a path splitting manifold. The successively split channels 1204 terminate at individual ones of the outlet holes 1208. The outlet holes are axial, while the manifold 610 and the showerhead 615 are axially displaced from one another, so that the manifold outlet holes 1208 axially feed the showerhead 615, in accordance with the foregoing description.
  • Radially Coupled Gas Distribution Plate:
  • FIG. 12 depicts an embodiment in which a path splitting manifold feeds a showerhead in the radial direction, as distinguished from the axial direction. Referring to FIGS. 12 and 13, an inner path splitting manifold 410 has a gas supply inlet 411 from which gas flow is split between two halves of a half-circle gas flow channel 412. Gas flow from each of the two ends of the channel 412 is split between two halves of respective quarter circle channels 414-1, 414-2. Gas flow from each of the two ends of each channel 414-1, 414-2 is split between two halves of respective one-eighth circle channels 416-1 through 416-4. Specifically, gas flow from each end of the channel 414-1 is split between two halves of a respective one of the channels 416-1 and 416-2. Similarly, gas flow from each end of the channel 414-2 is split between two halves of a respective one of the channels 416-3 and 416-4. Each of the channels 416-1 through 416-4 has a pair of ends terminating in respective radial outlet holes 418, there being a total of eight outlet holes extending in the radial direction in the illustrated embodiment. Other embodiments may have a different number of outlets. An inner showerhead 420 surrounds or radially faces the inner manifold 410 and receives gas flow from the manifold 410 through the radial holes 418. The inner showerhead 420 includes an inner plenum 422 having a floor 424 with gas injection holes 426 extending axially through the floor and providing gas flow into the reactor chamber interior 104.
  • While the channel 412 is described above as a half circle, the channels 414-1 and 414-2 are described as being quarter circles and the channels 416-1 through 416-4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 411 to each of the outlets 418.
  • In one embodiment, an outer path splitting manifold 430 has a gas supply inlet 431 from which gas flow is split between two halves of a half-circle gas flow channel 432. Gas flow from each of the two ends of the channel 432 is split between two halves of respective quarter circle channels 434-1, 434-2. Gas flow from each of the two ends of each channel 434-1, 434-2 is split between two halves of respective one-eighth circle channels 436-1 through 436-4. Specifically, gas flow from each end of the channel 434-1 is split between two halves of a respective one of channels 436-1 and 436-2. Similarly, gas flow from each end of the channel 434-2 is split between two halves of a respective one of channels 436-3 and 436-4. Each of the channels 436-1 through 436-4 has a pair of ends terminating in respective radial outlet holes 438, there being a total of eight outlet holes extending in the radial direction in the illustrated embodiment. Other embodiments may have a different number of outlets. An outer showerhead 440 surrounds or radially faces the outer manifold 430 and receives gas flow from the manifold 430 through the radial holes 438. The outer showerhead 440 includes a plenum 442 having a floor 444 with gas injection holes 446 extending axially through the floor and providing gas flow into the reactor chamber interior 104.
  • While the channel 432 is described above as a half circle, the channels 434-1 and 434-2 are described as being quarter circles and the channels 436-1 through 436-4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 431 to each of the outlets 438.
  • In one embodiment, the inner manifold 410, the inner showerhead 420, the outer manifold 430 and the outer showerhead 440 are mutually concentric components comprising a gas distribution plate 445. As shown in FIG. 14, the electrode 216 underlies the bottom of the plate 445. The electrode has holes 217 some of which are in registration with the outlet holes 426 of the inner showerhead 420 and others of which are in registration with the outlet holes 446 of the outer showerhead 440. In the embodiment of FIG. 14, a temperature control plate 450, such as a chiller plate or heater plate, may be placed between the assembly 445 and the electrode 216. The temperature control plate 450 has holes 452 that continue the axial paths provided by the holes 426 of the inner showerhead 420 and the holes 446 of the outer showerhead 440.
  • FIGS. 13 and 14 depict embodiments in which each of the inner manifold 410 is planar or flat and is radially adjacent the showerhead 420.
  • In the embodiments of FIGS. 12 and 13, gas flow to each showerhead is in the radially outward direction.
  • FIGS. 15 and 16A depict a different embodiment in which gas flow to each showerhead is in the radially inward direction. In the embodiment of FIGS. 15 and 16A, a center path splitting manifold 2410 surrounds and supplies gas to a center showerhead 2420, while an outer path splitting manifold 2430 surrounds and supplies gas to an outer showerhead 2440.
  • Referring to FIGS. 15 and 16A, in one embodiment, the inner path splitting manifold 2410 has a gas supply inlet 2411 from which gas flow is split between two halves of a half-circle gas flow channel 2412. Gas flow from each of the two ends of the channel 2412 is split between two halves of respective quarter circle channels 2414-1, 2414-2. Gas flow from each of the two ends of each channel 2414-1, 2414-2 is split between two halves of respective one-eighth circle channels 2416-1 through 2416-4. Specifically, gas flow from each end of the channel 2414-1 is split between two halves of a respective one of channels 2416-1 and 2416-2. Similarly, gas flow from each end of the channel 2414-2 is split between two halves of a respective one of channels 2416-3 and 2416-4. Each of the one-eighth circle channels 2416-1 through 2416-4 has a pair of ends terminating in respective radial outlet holes 2418, a total of eight outlet holes 2418 extending in the radial direction. The inner showerhead 2420 is surrounded by the inner manifold 2410 and receives gas flow in the radially inward direction from the manifold 2410 through the radial holes 2418. The inner showerhead 2420 includes an inner plenum 2422 having a floor 2424 with gas injection holes 2426 extending axially through the floor and providing gas flow into the reactor chamber interior 104.
  • The outer path splitting manifold 2430 has a gas supply inlet 2431 from which gas flow is split between two halves of a half-circle gas flow channel 2432. Gas flow from each of the two ends of the channel 2432 is split between two halves of respective quarter circle channels 2434-1, 2434-2. Gas flow from each of the two ends of each channel 2434-1, 2434-2 is split between two halves of respective one-eighth circle channels 2436-1 through 2436-4. Specifically, gas flow from each end of the channel 2434-1 is split between two halves of a respective one of the channels 2436-1 and 2436-2. Similarly, gas flow from each end of the channel 2434-2 is split between two halves of a respective one of channels 2436-3 and 2436-4. Each of the channels 2436-1 through 2436-4 has a pair of ends terminating in respective radial outlet holes 2438, there being a total of eight outlet holes 2438 extending in the radial direction in the illustrated embodiment. Other embodiments may have a different number of outlets. The outer showerhead 2440 is surrounded by the outer manifold 2430 and receives gas flow in the radially inward direction from the manifold 2430 through the radial holes 2438. The outer showerhead 2440 includes a plenum 2442 having a floor 2444 with gas injection holes 2446 extending axially through the floor and providing gas flow into the reactor chamber interior 104.
  • The inner manifold 2410, the inner showerhead 2420, the outer manifold 2430 and the outer showerhead 2440 are mutually concentric components comprising a gas distribution plate 2445. The electrode 216 underlies the bottom of the plate 2445. The electrode has holes 217 some of which are in registration with the outlet holes 2426 of the inner showerhead 2420 and others of which are in registration with the outlet holes 2446 of the outer showerhead 2440. A temperature control plate 450 may be placed between the gas distribution plate 2445 and the electrode 216 in the manner depicted in FIG. 16B. The temperature control plate 450 has holes 452 in registration with the showerhead outlet holes 2426 and 2446.
  • In the embodiments of FIGS. 12-16B, gas flow from each of the path splitting manifolds (e.g., the path splitting manifolds 410, 420 of FIG. 12) is in the radial direction so that the respective showerheads (e.g., the showerheads 420, 440 of FIG. 12) are juxtaposed radially or side-by-side with the path splitting manifolds. In the implementations described herein, these embodiments can provide an advantage over the embodiments of FIGS. 1-11, in that the separation between the inner and outer gas injection zones established by the inner and outer showerheads (e.g., the inner and outer showerheads 410, 430 of FIG. 12) is greater, and therefore provides superior resolution between the gas flows of the inner and outer gas injection zones.
  • Path Splitting Manifold Immersed Inside Showerhead:
  • FIG. 17 depicts an embodiment in which each showerhead 420, 440 is enlarged to form a large interior volume, and the respective path-splitting manifold 410, 430 is immersed or contained inside the enlarged interior volume of the showerhead. The manifolds 410, 430 eject gas radially outwardly. However, in another embodiment (not shown), the manifolds 410, 430 may be replaced by the manifolds 2410, 2430, respectively, that eject gas radially inwardly. In the embodiment depicted in FIG. 17, the manifolds 410 and 430 have been modified to eject gas in both the radially inward direction and the radially outward direction.
  • FIG. 18 is a plan view of the modification of the inner manifold 410 for use in the embodiment of FIG. 17, in which gas is ejected from the manifold 410 in both the radially outward direction and the radially inward direction. Referring now to both FIGS. 17 and 18, the gas outlet holes 418 extend to both the inner and outer surfaces 410 a, 410 b of the manifold 410, so that each hole forms an outwardly facing opening 418 a and an inwardly facing opening 418 b. FIG. 18 also depicts the modification of the outer manifold 430 in which gas is ejected from the manifold 430 in both the radially outward direction and the radially inward direction. Referring to both FIGS. 17 and 18, the gas outlet holes 438 extend to both the inner and outer surfaces 430 a, 430 b of the manifold 430, so that each hole forms an outwardly facing opening 438 a and an inwardly facing opening 438 b.
  • An advantage of the embodiments of FIGS. 17 and 18 is that there can be greater number of outlet channels in each of the path splitting manifolds (e.g., the path splitting manifolds 410, 430 of FIG. 18) than in other embodiments. Specifically, referring to FIG. 18, the outer path splitting manifold 430 (for example) as both a set of outwardly-facing outlets 438 a and a set of inwardly-facing outlets 438 b, and therefore has a significantly larger (e.g., twice) number of gas outlets relative to the embodiment of FIG. 12 (for example). As a result, the embodiment of FIG. 18 can have a proportionately greater gas conductance.
  • Vertically Stacked Path Splitting Manifold:
  • The path splitting manifolds of the foregoing embodiments distributed gas flow primarily in the radial direction and primarily in a plane. FIG. 19 depicts an embodiment in which a path splitting manifold is vertically distributed or stacked. The manifold of FIG. 19 has a gas supply inlet 3411 from which gas flow is split between two halves of a half-circle gas flow channel 3412. Quarter circle channels 3414-1 and 3414-2 are axially displaced below the half circle channel, with their midpoints being coupled to respective ends of the half circle channel 3412 by respective axial channels 3413-1 and 3413-2. Gas flow from each of the two ends of the channel 3412 is split between two halves of the respective quarter circle channels 3414-1, 3414-2. One-eighth circle channels 3416-1 through 3416-4 are axially displaced below the quarter circle channels 3414-1, 3414-2, with their midpoints being coupled to respective ends of the quarter circle channels 3414-1 and 3414-2 by respective axial channels 3415-1 through 3415-4. Gas flow from each of the two ends of each channel 3414-1, 3414-2 is split between two halves of the respective one-eighth circle channels 3416-1 through 3416-4. Specifically, gas flow from each end of the channel 3414-1 is split between two halves of a respective one of channels 3416-1 and 3416-2. Similarly, gas flow from each end of the channel 3414-2 is split between two halves of a respective one of channels 3416-3 and 3416-4. Each of the channels 3416-1 through 3416-4 has a pair of ends terminating in respective outlets 3418-1 through 3418-8, there being a total of eight outlets 3418-1 through 3418-8 in the illustrated embodiment. Other embodiments may have a different number of outlets. The outlets 3418 are depicted as extending in the axial direction, for coupling to a showerhead that is axially below the manifold. However, in other embodiments these outlets may extend in a direction other that axial. FIG. 20 is a plan view corresponding to FIG. 19 and showing how the gas flow channels of FIG. 19 may be confined to a narrow cylindrical annulus.
  • FIGS. 21 and 22 depict a gas distribution system having inner and outer vertically stacked manifolds and inner and outer showerheads. The gas distribution system includes an inner manifold 3410 axially above an inner showerhead 3420 and an outer manifold 3430 axially displaced above an outer showerhead 3440.
  • The inner manifold 3410 of FIG. 21 has a gas supply inlet 3411 from which gas flow is split between two halves of a half-circle gas flow channel 3412. Quarter circle channels 3414-1 and 3414-2 are axially displaced below the half circle channel, with their midpoints being coupled to respective ends of the half circle channel 3412 by respective axial channels 3413-1 and 3413-2. Gas flow from each of the two ends of the channel 3412 is split between two halves of the respective quarter circle channels 3414-1, 3414-2. One-eighth circle channels 3416-1 through 3416-4 are axially displaced below the quarter circle channels 3414-1, 3414-2, with their midpoints being coupled to respective ends of the quarter circle channels 3414-1 and 3414-2 by respective axial channels 3415-1 through 3415-4. Gas flow from each of the two ends of each channel 3414-1, 3414-2 is split between two halves of the respective one-eighth circle channels 3416-1 through 3416-4. Specifically, gas flow from each end of the channel 3414-1 is split between two halves of a respective one of channels 3416-1 and 3416-2. Similarly, gas flow from each end of the channel 3414-2 is split between two halves of a respective one of channels 3416-3 and 3416-4. Each of the channels 3416-1 through 3416-4 has a pair of ends terminating in respective outlets 3418-1 through 3418-8, there being a total of eight outlets 3418-1 through 3418-8 extending axially to the underlying inner showerhead 3420 in the illustrated embodiment. Other embodiments may have a different number of outlets.
  • While the channel 3412 is described above as a half circle, the channels 3414-1 and 3414-2 are described as being quarter circles and the channels 3416-1 through 3416-4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 3411 to each of the outlets 3418.
  • The outer manifold 3430 of FIG. 21 has a gas supply inlet 3431 from which gas flow is split between two halves of a half-circle gas flow channel 3432. Quarter circle channels 3434-1 and 3434-2 are axially displaced below the half circle channel, with their midpoints being coupled to respective ends of the half circle channel 3432 by respective axial channels 3433-1 and 3433-2. Gas flow from each of the two ends of the channel 3432 is split between two halves of the respective quarter circle channels 3434-1, 3434-2. One-eighth circle channels 3436-1 through 3436-4 are axially displaced below the quarter circle channels 3434-1, 3434-2, with their midpoints being coupled to respective ends of the quarter circle channels 3434-1 and 3434-2 by respective axial channels 3435-1 through 3435-4. Gas flow from each of the two ends of each channel 3434-1, 3434-2 is split between two halves of the respective one-eighth circle channels 3436-1 through 3436-4. Specifically, gas flow from each end of the channel 3434-1 is split between two halves of a respective one of channels 3436-1 and 3436-2. Similarly, gas flow from each end of the channel 3434-2 is split between two halves of a respective one of channels 3436-3 and 3436-4. Each of the channels 3436-1 through 3436-4 has a pair of ends terminating in respective outlets 3438-1 through 3438-8, there being a total of eight outlets in the illustrated embodiment. Other embodiments may have a different number of outlets. The outlets 3438-1 through 3438-8 extend in the axial direction to the underlying outer showerhead 3440.
  • While the channel 3432 is described above as a half circle, the channels 3434-1 and 3434-2 are described as being quarter circles and the channels 3436-1 through 3436-4 are described as being one-eighth circles, these channels may be of any suitable lengths, provided gas flow into each channel enters at the midpoint along the length of the channel. This ensures equal path lengths from the main inlet 3431 to each of the outlets 3438-1 through 3438-8.
  • FIG. 22 illustrates a temperature control plate 230 may be interposed between the different axial layers of the manifolds 3410, 3430. For example, the temperature control 230 plate may be placed axially between the layer consisting of the half circle channels 3412 and 3432 and the layer consisting of the quarter circle channels 3414-1, 3414-2 and 3434-1 and 3434-2.
  • FIG. 23 illustrates a further embodiment, in which the vertically stacked path splitting manifold 3410 and the showerhead 3420 are at least partially side-by-side, and the outlets 3418 are oriented in the radial direction. Also in FIG. 23, the vertically stacked path splitting manifold 3430 and the showerhead 3440 are at least partially side-by-side and the outlets 3438 are oriented in radial directions.
  • Hierarchy of Recursively Split Channels:
  • The path-splitting channels of the embodiments of FIGS. 3A, 9A, 12, 15 and 18 are distributed in azimuthally extending planes. The path-splitting channels of the embodiments of FIGS. 19 and 21 are axially distributed. These embodiments each consist of successive layers of split channels, in which the gas flow paths are recursively (repeatedly) split, each layer having twice as many channels as the previous layer. For example, in the embodiment of FIG. 9A, the input channel 1214 is split between two halves of the channel 1212-1, which in turn is split into two halves of channels 1212-2, which in turn are split into four pairs of halves of channels 1212-3, which in turn is split into eight pairs of halves of channels 1212-4. In this example, there are a total of four levels of parallel splits, there being a single split in the first layer and eight splits in the fourth layer, for a total of sixteen outputs. This multiplication of the number of outputs may be referred to as recursive splitting or recursive connection at the midpoints of successive channels. The number of outputs N is determined by the number of levels of splits, n. In the foregoing example, n=4, and the general rule is N=2n. The recursive nature of this structure can be implemented for any integer value of n, although the various embodiments described above have values of n=3 (e.g., FIG. 19) and n=4 (FIG. 9A). Each of these embodiments constitutes a hierarchy of channels recursively coupled at their midpoints to outputs of other channels of the hierarchy.
  • While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (21)

1. A gas distribution showerhead assembly for use in a plasma reactor, comprising:
(a) a showerhead having an internal plenum and comprising a showerhead floor and a plurality of gas injection holes through said floor of said showerhead;
(b) a path splitting manifold immersed inside said plenum and comprising:
(1) a gas supply inlet;
(2) plural gas outlets;
(3) a plurality of channels comprising plural paths between said inlet and respective ones of said plural outlets;
(c) an electrode underlying said floor of said showerhead, said electrode having plural axial holes in registration with said gas injection holes of said showerhead.
2. The apparatus of claim 1 wherein said paths are of equal lengths.
3. The apparatus of claim 1 wherein said plurality of channels comprises a hierarchy of channels recursively coupled at their midpoints to outputs other channels of said hierarchy.
4. The apparatus of claim 1 wherein said plural gas outlets of said path splitting manifold comprise openings oriented in at least one of (a) an axial direction, (b) a radially outward direction, (c) a radially inward direction.
5. The apparatus of claim 1 further comprising a temperature control plate between said showerhead and said electrode.
6. The apparatus of claim 1 wherein said plurality of channels are distributed in an azimuthally extending plane.
7. The apparatus of claim 5 wherein said plurality of channels comprise:
a first channel coupled at its midpoint to said inlet;
a pair of second channels each coupled at its midpoint to a respective end of said first channel;
two pairs of third channels, each one of said third channels coupled at its midpoint to a respective end of a corresponding one of said second channels;
said ends of said third channels coupled to respective ones of said plural outlets of said manifold.
8. The apparatus of claim 7 wherein said first, second and third channels comprise concentric arcs.
9. The apparatus of claim 8 wherein said manifold is circular and said plural outlets of said manifold are equally spaced relative to a circumference of said manifold.
10. The apparatus of claim 1 wherein said manifold and said showerhead are contained within respective concentric annular zones.
11. A gas distribution showerhead assembly for use in a plasma reactor, comprising:
(I) an inner gas distribution zone comprising:
(a) an inner annular showerhead;
(b) an inner path splitting manifold contained within said inner showerhead;
(c) an electrode underlying said inner gas distribution zone;
(II) an outer gas distribution zone comprising:
(a) an outer annular showerhead; and
(a) an outer path splitting manifold contained inside said outer annular showerhead;
(c) said electrode further underlying said outer gas distribution zone.
12. The apparatus of claim 11 wherein:
each one of said manifolds comprises:
(a) a gas supply inlet;
(b) plural gas outlets;
(c) a plurality of channels comprising plural paths of equal lengths between said inlet and respective ones of said plural outlets.
13. The apparatus of claim 12 wherein said plural outlets comprise openings oriented in one of (a) an axial direction, (b) a radially outward direction, (c) a radially inward direction.
14. The apparatus of claim 12 wherein:
each one of said showerheads comprises:
(a) a showerhead floor; and
(b) a plurality of gas injection holes extending axially through said floor of said showerhead; and
said electrode underlies the floor of each showerhead, said electrode having plural axial holes in registration with the plural gas injection holes of the showerheads.
15. The apparatus of claim 12 wherein said plurality of channels comprise:
a first channel coupled at its midpoint to said inlet;
a pair of second channels each coupled at its midpoint to a respective end of said first channel;
two pairs of third channels, each one of said third channels coupled at its midpoint to a respective end of a corresponding one of said second channels;
said ends of said third channels coupled to respective ones of said plural outlets of said manifold.
16. The apparatus of claim 15 wherein said first, second and third channels comprise concentric arcs.
17. The apparatus of claim 12 wherein said plurality of channels comprise a hierarchy of channels recursively coupled at their midpoints to outputs of other channels of said hierarchy.
18. The apparatus of claim 16 wherein said manifold is circular and said plural outlets of said manifold are equally spaced relative to a circumference of said manifold.
19. A plasma reactor, comprising:
(I) an inner gas distribution zone comprising:
(a) an inner annular showerhead comprising an interior plenum;
(b) an inner path splitting manifold contained inside said interior plenum of said inner annular showerhead;
(c) an electrode underlying said inner showerhead;
(II) an outer gas distribution zone comprising:
(a) an outer annular showerhead comprising an interior plenum;
(b) an outer path splitting manifold contained inside the interior plenum of said outer annular showerhead;
(c) said electrode further underlying said outer showerhead;
(III) plural gas sources and respective valves coupled between individual ones of said plural gas sources and respective ones of said inner and outer manifolds;
(IV) an RF source power generator coupled to said electrode.
20. The apparatus of claim 19 wherein:
each one of said manifolds comprises:
(a) a gas supply inlet;
(b) plural gas outlets;
(c) a plurality of channels comprising plural paths of equal lengths between said inlet and respective ones of said plural outlets.
21. The apparatus of claim 20 wherein:
each one of said showerheads comprises:
(a) a showerhead floor; and
(b) a plurality of gas injection holes extending axially through said floor of said showerhead; and
said electrode underlies the floor of each showerhead, said electrode having plural axial holes in registration with the plural gas injection holes of the showerheads.
US12/004,472 2007-12-19 2007-12-19 Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead Abandoned US20090159213A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/004,472 US20090159213A1 (en) 2007-12-19 2007-12-19 Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
PCT/US2008/013421 WO2009078921A1 (en) 2007-12-19 2008-12-05 Plasma reactor gas distribution plate with path splitting manifold
TW097149804A TWI474869B (en) 2007-12-19 2008-12-19 Plasma reactor gas distribution plate with path splitting manifold

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/004,472 US20090159213A1 (en) 2007-12-19 2007-12-19 Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead

Publications (1)

Publication Number Publication Date
US20090159213A1 true US20090159213A1 (en) 2009-06-25

Family

ID=40787195

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/004,472 Abandoned US20090159213A1 (en) 2007-12-19 2007-12-19 Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead

Country Status (1)

Country Link
US (1) US20090159213A1 (en)

Cited By (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070144436A1 (en) * 2005-12-22 2007-06-28 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20090061646A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US20110256729A1 (en) * 2010-04-19 2011-10-20 Texas Instruments Incorporated Showerhead for CVD Depositions
US20120305190A1 (en) * 2011-05-31 2012-12-06 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN103094045A (en) * 2011-10-05 2013-05-08 应用材料公司 Symmetric plasma process chamber
US20130133580A1 (en) * 2011-11-29 2013-05-30 Intermolecular, Inc. High productivity vapor processing system
US20130284700A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
JP2014143101A (en) * 2013-01-24 2014-08-07 Tokyo Electron Ltd Plasma processing device
US20140261805A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
US20150107772A1 (en) * 2012-05-11 2015-04-23 Tokyo Electron Limited Gas supply device and substrate processing apparatus
CN104782234A (en) * 2013-03-15 2015-07-15 应用材料公司 Plasma reactor with highly symmetrical four-fold gas injection
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9896769B2 (en) 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US10145012B2 (en) * 2014-01-03 2018-12-04 Eugene Technology Co., Ltd. Substrate processing apparatus and substrate processing method
US10262834B2 (en) * 2009-10-13 2019-04-16 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US20190189401A1 (en) * 2017-12-19 2019-06-20 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541145B2 (en) * 2017-03-29 2020-01-21 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10577690B2 (en) 2016-05-20 2020-03-03 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10934621B2 (en) * 2018-11-21 2021-03-02 Samsung Electronics Co., Ltd. Gas injection module, substrate processing apparatus, and method of fabricating semiconductor device using the same
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US20210142984A1 (en) * 2019-11-08 2021-05-13 Applied Materials, Inc. Chamber components for gas delivery modulation
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US20210358719A1 (en) * 2018-11-14 2021-11-18 Jusung Engineering Co., Ltd. Substrate processing device and substrate processing method
US11186910B2 (en) * 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11220750B2 (en) * 2018-06-28 2022-01-11 Meidensha Corporation Shower head and processing device
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11264213B2 (en) * 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20230019430A1 (en) * 2021-07-13 2023-01-19 Changxin Memory Technologies, Inc. Gas injector and diffusion furnace device
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3351053A (en) * 1962-11-13 1967-11-07 Florida Brace Corp Flexion back brace
US5505385A (en) * 1994-07-29 1996-04-09 Pneumafil Corporation Laminar air diffuser
US5704981A (en) * 1995-04-05 1998-01-06 Tokyo Electron Ltd. Processing apparatus for substrates to be processed
US6113700A (en) * 1997-12-30 2000-09-05 Samsung Electronics Co., Ltd. Gas diffuser having varying thickness and nozzle density for semiconductor device fabrication and reaction furnace with gas diffuser
US6143077A (en) * 1996-08-13 2000-11-07 Anelva Corporation Chemical vapor deposition apparatus
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6388382B1 (en) * 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6727654B2 (en) * 2000-01-11 2004-04-27 Hitachi Kokusai Electric Inc. Plasma processing apparatus
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20050022933A1 (en) * 2003-08-01 2005-02-03 Howard Bradley J. Multi-frequency plasma reactor and method of etching
US6881295B2 (en) * 2000-03-28 2005-04-19 Nec Electronics Corporation Air-tight vessel equipped with gas feeder uniformly supplying gaseous component around plural wafers
US20050082256A1 (en) * 2002-04-08 2005-04-21 Masanobu Honda Plasma etching method
US20050178748A1 (en) * 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US20050183826A1 (en) * 2004-02-24 2005-08-25 Young-Bae Choi Showerheads for providing a gas to a substrate and apparatus and methods using the showerheads
US7077911B2 (en) * 2003-03-03 2006-07-18 Seiko Epson Corporation MOCVD apparatus and MOCVD method
US20060169671A1 (en) * 2005-01-28 2006-08-03 Go Miya Plasma etching apparatus and plasma etching method
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3351053A (en) * 1962-11-13 1967-11-07 Florida Brace Corp Flexion back brace
US5505385A (en) * 1994-07-29 1996-04-09 Pneumafil Corporation Laminar air diffuser
US5704981A (en) * 1995-04-05 1998-01-06 Tokyo Electron Ltd. Processing apparatus for substrates to be processed
US6143077A (en) * 1996-08-13 2000-11-07 Anelva Corporation Chemical vapor deposition apparatus
US6113700A (en) * 1997-12-30 2000-09-05 Samsung Electronics Co., Ltd. Gas diffuser having varying thickness and nozzle density for semiconductor device fabrication and reaction furnace with gas diffuser
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6388382B1 (en) * 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6727654B2 (en) * 2000-01-11 2004-04-27 Hitachi Kokusai Electric Inc. Plasma processing apparatus
US20050178748A1 (en) * 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6881295B2 (en) * 2000-03-28 2005-04-19 Nec Electronics Corporation Air-tight vessel equipped with gas feeder uniformly supplying gaseous component around plural wafers
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US20050082256A1 (en) * 2002-04-08 2005-04-21 Masanobu Honda Plasma etching method
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7077911B2 (en) * 2003-03-03 2006-07-18 Seiko Epson Corporation MOCVD apparatus and MOCVD method
US20050022933A1 (en) * 2003-08-01 2005-02-03 Howard Bradley J. Multi-frequency plasma reactor and method of etching
US20050183826A1 (en) * 2004-02-24 2005-08-25 Young-Bae Choi Showerheads for providing a gas to a substrate and apparatus and methods using the showerheads
US20060169671A1 (en) * 2005-01-28 2006-08-03 Go Miya Plasma etching apparatus and plasma etching method

Cited By (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216374B2 (en) 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070144436A1 (en) * 2005-12-22 2007-06-28 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20090061646A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US10262834B2 (en) * 2009-10-13 2019-04-16 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US20110256729A1 (en) * 2010-04-19 2011-10-20 Texas Instruments Incorporated Showerhead for CVD Depositions
US8551248B2 (en) * 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
US10366865B2 (en) 2011-05-31 2019-07-30 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US20120305190A1 (en) * 2011-05-31 2012-12-06 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US10615006B2 (en) 2011-10-05 2020-04-07 Applied Materials, Inc. Symmetric plasma process chamber
US10535502B2 (en) 2011-10-05 2020-01-14 Applied Materials, Inc. Symmetric plasma process chamber
US10453656B2 (en) 2011-10-05 2019-10-22 Applied Materials, Inc. Symmetric plasma process chamber
US10580620B2 (en) 2011-10-05 2020-03-03 Applied Materials, Inc. Symmetric plasma process chamber
TWI661746B (en) * 2011-10-05 2019-06-01 應用材料股份有限公司 Plasma processing apparatus and lid assembly thereof (1)
US10546728B2 (en) 2011-10-05 2020-01-28 Applied Materials, Inc. Symmetric plasma process chamber
CN103094045A (en) * 2011-10-05 2013-05-08 应用材料公司 Symmetric plasma process chamber
TWI568319B (en) * 2011-10-05 2017-01-21 應用材料股份有限公司 Plasma processing apparatus and lid assembly thereof (2)
US11315760B2 (en) 2011-10-05 2022-04-26 Applied Materials, Inc. Symmetric plasma process chamber
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130133580A1 (en) * 2011-11-29 2013-05-30 Intermolecular, Inc. High productivity vapor processing system
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US20130284700A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9887108B2 (en) * 2012-05-11 2018-02-06 Tokyo Electron Limited Gas supply device and substrate processing apparatus
US20150107772A1 (en) * 2012-05-11 2015-04-23 Tokyo Electron Limited Gas supply device and substrate processing apparatus
US10199241B2 (en) 2012-05-11 2019-02-05 Tokyo Electron Limited Gas supply device and substrate processing apparatus
US9896769B2 (en) 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US10131994B2 (en) 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US11264213B2 (en) * 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI610331B (en) * 2013-01-24 2018-01-01 東京威力科創股份有限公司 Plasma processing device
JP2014143101A (en) * 2013-01-24 2014-08-07 Tokyo Electron Ltd Plasma processing device
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
KR102130061B1 (en) 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 Plasma reactor with highly symmetrical four-fold gas injection
TWI617222B (en) * 2013-03-15 2018-03-01 應用材料股份有限公司 Plasma reactor with highly symmetrical four-fold gas injection
US11244811B2 (en) 2013-03-15 2022-02-08 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
TWI747402B (en) * 2013-03-15 2021-11-21 美商應用材料股份有限公司 Plasma reactor with highly symmetrical four-fold gas injection
KR20150129659A (en) * 2013-03-15 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 Plasma reactor with highly symmetrical four-fold gas injection
US20140261805A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
US11728141B2 (en) 2013-03-15 2023-08-15 Applied Materials, Inc. Gas hub for plasma reactor
CN107221487A (en) * 2013-03-15 2017-09-29 应用材料公司 The plasma reactor injected with high degree of symmetry quadruple formula gas
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9488315B2 (en) * 2013-03-15 2016-11-08 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
TWI703900B (en) * 2013-03-15 2020-09-01 美商應用材料股份有限公司 Plasma reactor with highly symmetrical four-fold gas injection
CN104782234A (en) * 2013-03-15 2015-07-15 应用材料公司 Plasma reactor with highly symmetrical four-fold gas injection
US10145012B2 (en) * 2014-01-03 2018-12-04 Eugene Technology Co., Ltd. Substrate processing apparatus and substrate processing method
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) * 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10577690B2 (en) 2016-05-20 2020-03-03 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
TWI759741B (en) * 2016-05-20 2022-04-01 美商應用材料股份有限公司 Gas distribution showerhead for semiconductor processing
US10829855B2 (en) 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10541145B2 (en) * 2017-03-29 2020-01-21 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US10985029B2 (en) * 2017-03-29 2021-04-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190189401A1 (en) * 2017-12-19 2019-06-20 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11220750B2 (en) * 2018-06-28 2022-01-11 Meidensha Corporation Shower head and processing device
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11186910B2 (en) * 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US20210358719A1 (en) * 2018-11-14 2021-11-18 Jusung Engineering Co., Ltd. Substrate processing device and substrate processing method
US11837445B2 (en) * 2018-11-14 2023-12-05 Jusung Engineering Co., Ltd. Substrate processing device and substrate processing method
US10934621B2 (en) * 2018-11-21 2021-03-02 Samsung Electronics Co., Ltd. Gas injection module, substrate processing apparatus, and method of fabricating semiconductor device using the same
US11384433B2 (en) * 2018-11-21 2022-07-12 Samsung Electronics Co., Ltd. Gas injection module, substrate processing apparatus, and method of fabricating semiconductor device using the same
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210142984A1 (en) * 2019-11-08 2021-05-13 Applied Materials, Inc. Chamber components for gas delivery modulation
US11804363B2 (en) * 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
US20230019430A1 (en) * 2021-07-13 2023-01-19 Changxin Memory Technologies, Inc. Gas injector and diffusion furnace device

Similar Documents

Publication Publication Date Title
US8512509B2 (en) Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090162262A1 (en) Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20090162261A1 (en) Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
KR100785164B1 (en) Multi output remote plasma generator and substrate processing system having the same
US9208998B2 (en) Multi-station decoupled reactive ion etch chamber
US8236133B2 (en) Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US7674394B2 (en) Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US7252716B2 (en) Gas injection apparatus for semiconductor processing system
KR100785163B1 (en) Substrate processing system having multi remote plasma generator
KR100950116B1 (en) Multi-station decoupled reactive ion etch chamber
US20060112876A1 (en) Semiconductor processing apparatus
KR100984776B1 (en) Plasma reactor with reduced electrical skew using electrical bypass elements
US20080178805A1 (en) Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080236490A1 (en) Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US20060196420A1 (en) High density plasma chemical vapor deposition apparatus
US20120164845A1 (en) Dual zone gas injection nozzle
KR100808862B1 (en) Apparatus for treating substrate
US20140283746A1 (en) Liner assembly and substrate processing apparatus having the same
US20060096540A1 (en) Apparatus to manufacture semiconductor
KR101496841B1 (en) Compound plasma reactor
US20220051910A1 (en) Showerhead With Interlaced Gas Feed And Removal And Methods Of Use
TWI581303B (en) Gas guide ring, gas supply device and induction coupling plasma processing device
US20170304849A1 (en) Apparatus for controlling temperature uniformity of a showerhead
KR100370440B1 (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BERA, KALLOL;RAUF, SHAHID;SIGNING DATES FROM 20080124 TO 20080125;REEL/FRAME:021088/0111

STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION