US20090178621A1 - Substrate treating system for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface - Google Patents

Substrate treating system for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface Download PDF

Info

Publication number
US20090178621A1
US20090178621A1 US12/400,012 US40001209A US2009178621A1 US 20090178621 A1 US20090178621 A1 US 20090178621A1 US 40001209 A US40001209 A US 40001209A US 2009178621 A1 US2009178621 A1 US 2009178621A1
Authority
US
United States
Prior art keywords
module
substrate
metal gate
wafer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/400,012
Inventor
Wickramanayaka Sunil
Motomu Kosuda
Naoki Yamada
Naomu Kitano
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Priority to US12/400,012 priority Critical patent/US20090178621A1/en
Publication of US20090178621A1 publication Critical patent/US20090178621A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the present invention relates to a method for depositing a metal gate on a high-k dielectric film in the fabrication of metal-oxide-semiconductor field effect transistors (MOSFET). And, the present invention relates to a method for improving high-k dielectric film and metal gate interface in the fabrication of MOSFET. Also, the present invention relates to a substrate treating system, which is suitable to be used in said methods.
  • MOSFET metal-oxide-semiconductor field effect transistors
  • FIG. 15 shows an example of a simple diagram of a MOSFET denoted by numeral 100 .
  • MOSFET 100 is comprised of a semiconductor 101 , gate dielectric (gate oxide) 104 , gate electrode 105 , source region 102 and drain region 103 . During its operation an electric field is applied to the channel region 107 below the gate dielectric 104 to switch the transistor on and off.
  • the design rule or the smallest feature size of ICs are gradually reduced.
  • new materials and deposition techniques are of importance.
  • the gate dielectric should be replaced with new dielectric materials of which the dielectric constant is higher than that of SiO 2 . This facilitates to use thicker film without compensating the capacitance.
  • HfO 2 , HfSiO, HfAlO are considered as high-k dielectrics.
  • Pure metals, metal alloys, metal nitride or metal alloy nitrides are usually considered for a gate electrode to be used with high-k dielectrics.
  • high-k and metal gate are fabricated with the procedure given in the following chart, for example.
  • Hf or HfO 2 is directly deposited on surface-treated Si.
  • HfO 2 as the high-k dielectric.
  • any other high-k material as the dielectric for example, HfSiO, HfSiON, HfAlO etc.
  • FIG. 14 is a schematic diagram showing a CVD module 40 attached to a central wafer-handling platform 3 and wafer loading/unloading equipment-front-end module 13 .
  • CVD module 40 may be Metal Organic Chemical Vapor Deposition (MOCVD) module or Atomic Layer Deposition (ALD) module.
  • MOCVD Metal Organic Chemical Vapor Deposition
  • ALD Atomic Layer Deposition
  • metal-organic gases are used. There are two basic groups of metal-organic gases; for example, in depositing Hf-base dielectrics one can use i) halide-based gases such as HfCI 4 or ii) carbon-based gases such as C 16 H 40 N 4 Hf (Tetrakis-diethylamino hafnium).
  • halide-based gases such as HfCI 4
  • ii) carbon-based gases such as C 16 H 40 N 4 Hf (Tetrakis-diethylamino hafnium).
  • ALD depositions two gases are alternatively introduced into the CVD module 40 .
  • the first gas which is usually called the precursor gas
  • the second gas is introduced into the CVD module 40 , it reacts with surface-stick precursor molecules and forms a dielectric film. This procedure continues until a film with the desired thickness is formed.
  • Impurity contamination is the biggest problem in any CVD (ALD or MOCVD) processes.
  • MOCVD halides or carbon contaminates the wafer.
  • ALD process also, carbon from precursor gas contaminates the film.
  • Higher impurity concentration in the dielectric film causes higher leakage current, threshold voltage shift and reduction of electron mobility in the channel region 107 in MOSFET devices ( FIG. 15 ).
  • wafer must be heated to a higher temperature, for example 400° C.
  • a higher temperature for example 400° C.
  • the temperature uniformity on the substrate surface directly affects the film uniformity. Any temperature non-uniformity results in non-uniform dielectric film and thereby causes faulty MOSFET devices or a lower yield (number of good MOSFETs) per wafer.
  • CVD methods have a higher running cost. This also limits the economic viability of CVD methods.
  • the upper interface quality particularly affects the electron mobility, threshold voltage (V th ) shift due to pinning effect.
  • the interface trap density must be lowered.
  • the interface trap density depends on high-k dielectric and metal gate material qualities as well as fabrication process.
  • wafers are exposed to normal atmosphere until they are placed in metal gate deposition system.
  • high-k dielectrics show a better thermal stability, however, depending on the dielectric materials, they show different chemical properties after being exposed to normal atmosphere.
  • an SiO 2 layer can be grown at the Si and high-k interface when HfO 2 is selected as the high-k, since oxygen diffuses through HfO 2 film.
  • the thickness of this interface SiO 2 also varies depending on the time exposed to the normal atmosphere causing reliability issues.
  • LaO or its alloys are used as high-k, moisture absorbs into the film when exposed to the atmosphere; this changes trap density in the film and interface. All these changes after being exposed to atmosphere cause a decrease of film quality and thereby the performance of end-product semiconductor devices is decreased.
  • an object of the present invention is to provide a method to deposit a metal gate on a high-k dielectric film in the fabrication of metal-oxide-semiconductor field effect transistors (MOSFET) by which the qualities of high-k dielectric film and metal gate material are improved thereby electron mobility is improved and V th shift is minimized.
  • MOSFET metal-oxide-semiconductor field effect transistors
  • Another object of the present invention is to provide a method to improve high-k dielectric film and metal gate interface in the fabrication of MOSFET by which the interface trap density can be lowered thereby electron mobility is improved and Vth shift is minimized.
  • a further object of the present invention is to provide a substrate treating system, which is suitable to be used in said methods.
  • a first aspect of the present invention provides a method for depositing a metal gate on a high-k dielectric film in the fabrication of MOSFET comprising an annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module, and a depositing step depositing a metal gate material on said annealed substrate in a metal gate deposition module, wherein the annealing step and depositing step are carried out consecutively without a vacuum break.
  • a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and a processing module connected to said wafer-handling platform, wherein said processing module includes at least a thermal annealing module and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and processing module connected to said wafer-handling platform, wherein said processing module includes at least a thermal annealing module, a cooling module and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • a third aspect of the present invention provides a method to deposit a metal gate on a high-k dielectric film in the fabrication of MOSFET comprising a first depositing step depositing a high-k dielectric film on a substrate in a high-k deposition module, an annealing step annealing said substrate on which high-k dielectric film is deposited in a thermal annealing module, a cooling step cooling said annealed substrate in a cooling module, and a second depositing step depositing a metal gate material on said cooled substrate in a metal gate deposition module characterized that said first depositing step, annealing step, cooling step and second depositing step are carried out consecutively without a vacuum break.
  • a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and processing module connected to said wafer-handling platform, wherein said processing module includes at least a thermal annealing module, a cooling module, a high-k deposition module, and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • a fourth aspect of the present invention provides a method to deposit a metal gate on a high-k dielectric film in the fabrication of MOSFET comprising a first depositing step depositing a thin thermal SiO 2 film on a substrate in a thermal annealing module, a first cooling step cooling said substrate in a cooling module, a second depositing step depositing a high-k dielectric film on a substrate in a high-k deposition module, an annealing step annealing said substrate in a thermal annealing module, a second cooling step cooling said annealed substrate in a cooling module, and a third depositing step depositing a metal gate material on said cooled substrate in a metal gate deposition module, wherein the first depositing step, first cooling step, second depositing step, annealing step, second cooling step and third depositing step are carried out consecutively without a vacuum break.
  • a fifth aspect of the present invention provides a method to deposit a metal gate on a high-k dielectric film in the fabrication of MOSFET according to any one of the before described first to fourth aspects of the present invention wherein a metal gate formed by said depositing step depositing a metal gate material comprises of a plural film stack, and after said metal gate is formed the substrate is further annealed consecutively in the thermal annealing module without a vacuum break.
  • a sixth aspect of the present invention provides a method to improve high-k dielectric film and metal gate interface in the fabrication of MOSFET by depositing a metal gate on a high-k dielectric film according to any one method of the before described first to fifth aspect of the present invention.
  • a seventh aspect of the present invention provides a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and processing module connected to said wafer-handling platform; wherein said processing module includes at least a thermal annealing module and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • An eighth aspect of the present invention provides a substrate treating system according to the seventh aspect of the present invention wherein said processing module further includes a cooling module and/or a high-k dielectric deposition module.
  • an improved method of depositing a metal gate on a high-k dielectric film in the fabrication of MOSFET is provided by which the qualities of high-k dielectric film and metal gate material are improved, thereby the electron mobility is improved and Vth shift is minimized.
  • an improved method improving high-k dielectric film and metal gate interface in the fabrication of MOSFET is provided by which the interface trap density can be lowered, thereby the electron mobility is improved and Vth shift is minimized.
  • Integration of thermal annealing system and metal gate deposition system to a one wafer-handling platform improves the high-k dielectric film and metal gate interface properties and thereby improves electrical characteristics and device performance.
  • FIG. 2 shows another configuration for working example one.
  • FIG. 3 shows a schematic diagram of working example two.
  • FIG. 4 shows a schematic diagram of another integrated system.
  • FIG. 5 shows a cross sectional view of angled-PVD module provided in the integrated system shown in FIG. 4 .
  • FIG. 6 shows a cross sectional view of thermal annealing module provided in the integrated system shown in FIG. 1 .
  • FIGS. 7( a ) to ( d ) show the procedure of film deposition and thermal annealing process.
  • FIG. 8 shows a schematic diagram of another integrated system.
  • FIG. 9 shows a schematic diagram of another integrated system.
  • FIG. 10 ( a ) shows uniform-counter lines of Hf film deposited on 300 mm wafer
  • FIG. 10( b ) shows the cross sectional uniformity of Hf film.
  • FIG. 11 shows a variation of HfSi film composition depending on applied DC power.
  • FIG. 12( a ) shows uniform-counter lines of TaN film deposited on 200 mm wafer
  • FIG. 12( b ) shows the cross sectional uniformity of TaN film.
  • FIG. 13 shows RBS data obtained for HfSiON film.
  • FIG. 14 shows a schematic diagram of wafer treating system in which CVD chamber for depositing high-k dielectrics using CVD technique is connected to central wafer-handling platform.
  • FIG. 15 shows a schematic view of MOSFET
  • a thermal annealing module 1 and metal gate deposition module 2 are connected to a central wafer-handling platform 3 , that is to say, a thermal annealing module 1 and metal gate deposition module 2 are integrated to a central wafer-handling platform 3 .
  • FIG. 6 A cross sectional view of the thermal annealing module 1 is shown in FIG. 6 .
  • the thermal annealing module 1 is a rapid thermal annealing module.
  • the thermal annealing module 1 such as RTP module, shown in FIG. 6 , is comprised of a substrate holder 19 , a wafer heating mechanism 20 heating a substrate 4 placed on the substrate holder 19 , gas inlet 21 , a gas outlet 22 and substrate in/out port 33 as shown in FIG. 6 .
  • the heating mechanism 20 is an infrared (IR) heating process assisted by IR lamps.
  • the thermal annealing module 1 such as RTP module can heat a substrate 4 to a temperature around 1000°C. within several seconds.
  • substrate holder 19 may or may not be rotated.
  • the thermal annealing module 1 such as RTP module heats a substrate under a low-pressure with an inert gas or mixture of inert gas and a reactive gas.
  • the thermal annealing module 1 may employ any suitable technique to heat the substrate 4 to a higher temperature, for example IR lamps, furnace annealing, or RF heating.
  • the annealing temperature may vary from 100° C. to 1200° C. .
  • the actual annealing temperature may change depending on the high-k materials.
  • the annealing pressure is also not critical. The pressure may vary from 10 ⁇ 7 Pa to atmospheric pressure.
  • the metal gate deposition technique conducted in the metal gate deposition module 2 is also not important.
  • the technique may be PVD, thermal CVD or plasma enhanced CVD, or atomic layer deposition.
  • the deposition pressure, precursor gas or gas mixtures depend on the type of metal gate.
  • a wafer loading/unloading equipment-front-end module 13 is attached to the central platform 3 . Therefore, a thermal annealing module 1 , metal gate deposition module 2 and a wafer loading/unloading equipment-front-end module 13 are integrated to a central wafer-handling platform 3 .
  • the wafer loading/unloading equipment-front-end module 13 comprises a wafer aligner 5 , wafer load port 6 and unload port 7 .
  • the substrate 4 with high-k dielectric film deposition thereon is placed in the thermal annealing module 1 in FIG. 1 .
  • Substrate 4 is then subjected to thermal annealing process in the thermal annealing module 1 at a desired temperature.
  • the thermal annealing may be a single step or a two-step process with different gas atmospheres.
  • metal gate material is deposited.
  • the metal gate material may be any suitable material with appropriate electrical properties.
  • metal gate material may be TaN, HfSi , RuTa, Ir, W, etc.
  • the annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module and depositing step depositing a metal gate material on said annealed substrate in a metal gate deposition module are carried out consecutively without a vacuum break.
  • FIG. 2 shows that a cooling module 8 is connected to the central wafer-handling platform 3 in addition to the configuration shown in FIG. 1 . That is to say, in FIG. 2 , a thermal annealing module 1 , metal gate deposition module 2 , a cooling module 8 and a wafer loading/unloading equipment-front-end module 13 are integrated to a central wafer-handling platform 3 .
  • annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module, then cooling said annealed substrate in a cooling module, and then depositing a metal gate material on said cooled substrate in a metal gate deposition module, wherein said annealing step, cooling step and depositing step can be carried out consecutively without a vacuum break.
  • the thermal annealing module and metal gate deposition module are integrated to a single central wafer-handling platform, so that immediately after the high-k annealing process, wafers can be transferred into metal gate deposition module without a vacuum break and deposit metal gate.
  • the thermal annealing module, the cooling module, and metal gate deposition module are integrated to a single central wafer-handling platform, so that immediately after the high-k annealing process and cooling process, wafers can be transferred into the metal gate deposition module without a vacuum break and deposit metal gate.
  • Integration of thermal annealing system and metal gate deposition system to a one central wafer-handling platform, or integration of thermal annealing system, cooling system and metal gate deposition system to a one central wafer-handling platform can improve the high-k dielectric film and metal gate interface properties and thereby improve electrical characteristics and device performance.
  • FIG. 3 shows an example which is an extension of working example 1 , wherein there is an additional high-k dielectric deposition module 10 attached to the central wafer handling platform 3 described in working example 1 .
  • a high-k dielectric deposition module 10 is connected to the central wafer-handling platform 3 in addition to the configuration shown in FIG. 2 . That is to say, in FIG. 3 , a thermal annealing module 1 , metal gate deposition module 2 , a cooling module 8 , a high-k dielectric deposition module 10 and a wafer loading/unloading equipment-front-end module 13 are integrated to a central wafer-handling platform 3 .
  • a cooling module 8 may be removed from the configuration shown in FIG. 3 .
  • the high-k dielectric deposition technique can be any desired technique, for example PVD, CVD, MOCVD or ALD.
  • the parameters such as deposition pressure, precursor gases, temperature etc., depend on the type of deposition technique and high-k material.
  • high-k dielectric for example HfO 2
  • high-k dielectric deposition module 10 is deposited on a substrate 4 by placing a wafer in high-k dielectric deposition module 10 .
  • the substrate 4 is then transferred into the thermal annealing module 1 and performs the annealing process.
  • the annealing is usually a single step in oxygen or in an inert gas environment.
  • One can however, carry on a two-step annealing process where in the first step annealing is done in an oxygen atmosphere at relatively a lower temperature, while in the second step annealing is done in an inert gas environment at relatively a higher temperature.
  • the following process can be conducted. Firstly, depositing a high-k dielectric film on a substrate in a high-k deposition module, annealing said substrate on which high-k dielectric film is deposited in a thermal annealing module, cooling said annealed substrate in a cooling module, and then depositing a metal gate material on said cooled substrate in a metal gate deposition module wherein said first depositing step, annealing step, cooling step and second depositing step are carried out consecutively without a vacuum break.
  • the following process can be conducted. After the first depositing step depositing a thin thermal SiO 2 film on a substrate in a thermal annealing module, cooling said substrate in a cooling module, depositing a high-k dielectric film on said substrate in a high-k deposition module, annealing said substrate in a thermal annealing module, cooling said annealed substrate in a cooling module, and then depositing a metal gate material on said cooled substrate in a metal gate deposition module wherein said first depositing step, first cooling step, second depositing step, annealing step, second cooling step and third depositing step are carried out consecutively without a vacuum break.
  • the thermal annealing module, the cooling module, the high-k deposition module, and the metal gate deposition module are integrated to a single central wafer-handling platform, so that immediately after the high-k annealing process and cooling process, wafers can be transferred into metal gate deposition module without a vacuum break and deposit metal gate.
  • Integration of the thermal annealing system, the cooling module, the high-k deposition module, and the metal gate deposition module to a one central wafer-handling platform can improve the high-k dielectric film and the metal gate interface properties and thereby improves electrical characteristics and device performance.
  • FIG. 4 shows a schematic diagram of the integrated system comprised of two angled-PVD modules 11 and 12 , one thermal annealing module 1 , a cooling module 8 , a central wafer-handling platform 3 , and a wafer loading/unloading equipment-front-end module 13 .
  • FIG. 5 A cross sectional diagram of an example of an angled-PVD module which can be adopted in the substrate treating system of the present invention is shown in FIG. 5 .
  • the angled-PVD module 11 and 12 is comprised of a chamber having a chamber wall 27 , a vacuuming port 28 and a wafer in/out port 29 .
  • the substrate holder 17 is provided in the chamber as shown in FIG. 5 .
  • the angled-PVD modules 11 and 12 employ off-axis sputtering technology where substrate 4 and target 14 surfaces are not parallel as in conventional PVD systems. Instead these two surfaces make an angle a (denoted by numeral 15 ) as shown in FIG. 5 .
  • This angle ⁇ ( 15 ) is however, not critical and can lie in the range of 10° to 90°, but typically lies around 45°.
  • Each angled-PVD system may have one or more angled targets.
  • each PVD system shown in FIG. 4 accommodates 5 cathodes ( 16 a, 16 b, 16 c, 16 d and 16 e ) and thereby 5 targets 14 .
  • backing plate 30 is provided on the opening of cathode 16 by insulator 31 .
  • Target 14 is supported by the front side of the backing plate 30 , and magnets 32 are provided at the back side of backing plate 30 . The magnets 32 are rotated during film deposition.
  • the substrate holder 17 where a substrate 4 is placed for the film deposition rotates around its central axis 18 during film deposition.
  • the rotation of substrate holder 17 is of importance to obtain a uniform film thickness over the wafer surface since sputtered atoms are coming with an angle.
  • the diameter of a target 14 is also not critical and is usually around 200 mm.
  • the target 14 is simply a planar plate firmly fixed to a backing plate 30 .
  • the backing plate 30 is usually cooled using circulating water or any other suitable liquid. The cooling mechanism of the backing plate 30 is not shown for the clarity of the diagram.
  • numeral 35 indicates a shutter.
  • Each cathode 16 is electrically isolated from the rest of the hardware and connected to a DC or RF electrical supply unit.
  • a DC power source is shown in FIG. 5 only a DC power source is shown.
  • the DC or RF power applied to a target 14 is not critical but typically lies lower than 500 W. The reason is high-k materials that should be deposited on a substrate 4 should be very thin. Therefore, in order to control the film thickness to a greater accuracy, film deposition rate must be lowered. So that, by measuring the depositing time, film thickness can be controlled accurately.
  • the angled PVD modules 11 and 12 are vacuumed to a lower pressure and maintained at a low-pressure before and after plasma is ignited.
  • the inside pressure in the chamber of angled PVD modules 11 and 12 is not critical, however, deposition is usually carried out at a pressure lower than 1 Pa.
  • the film deposition can be done using a single target 14 by supplying DC or RF power to that appropriate target.
  • film deposition can be done by a co-sputtering process where RF or DC power is supplied to two or several targets 14 , which are provided at each cathode 16 a to 16 e, at the same time.
  • the atomic composition of alloy material is controlled by adjusting the DC or RF electrical power applied to each target.
  • One of the angled-PVD systems may be used for high-k dielectrics while the other may be used for gate electrode deposition.
  • the thermal annealing module 1 used in the configuration of FIG. 4 is the same as shown in FIG. 6 and described in Example 1.
  • a substrate 4 with a film deposited in angled PVD module 11 is placed in the thermal annealing module 1 such as RTP module, it is heated to a higher temperature usually over 400° C. under a reactive gas mixture, preferably with Ar+O 2 gas mixture. During this heating, the metal, metal-alloy or metal nitride films get oxidized and become a dielectric.
  • the heating by the thermal annealing module 1 can be carried out in two or more steps under the same or different gas environments.
  • heating is carried out only to oxidize the film deposited on a wafer surface, and in the second or later steps wafer is heated to even a higher temperature to mix the oxidized film with underlying Si or any other underlying film.
  • the cooling module 8 is comprised of at least a wafer stage cooled to a lower temperature.
  • the pressure inside the cooling module 8 is not important and can be in the range of atmospheric pressure to lower pressures as low as 10 ⁇ 7 Pa.
  • the central wafer-handling platform 3 includes a transfer means such as a robot arm 9 that delivers substrate 4 between angled PVD module 11 and central wafer-handling platform 3 , thermal annealing module 1 and central wafer-handling platform 3 , angled PVD module 12 and central wafer-handling platform 3 , cooling module 8 and central wafer-handling platform 3 , and wafer loading/unloading equipment-front-end module 13 and central wafer-handling platform 3 , respectively, without a vacuum break.
  • a transfer means such as a robot arm 9 that delivers substrate 4 between angled PVD module 11 and central wafer-handling platform 3 , thermal annealing module 1 and central wafer-handling platform 3 , angled PVD module 12 and central wafer-handling platform 3 , cooling module 8 and central wafer-handling platform 3 , and wafer loading/unloading equipment-front-end module 13 and central wafer-handling platform 3 , respectively, without a vacuum break.
  • the wafer loading/unloading equipment-front-end module 13 is also comprised of at least a wafer handling robot arm and one or several stages to place wafer cassettes. These are not shown in figures for simplicity.
  • a method of high-k and metal gate preparation is as follows and explained with reference to FIG. 7 .
  • Step-1 Deposit preliminary film for high-k dielectric Step-2 Thermally anneal under oxygen atmosphere to form high-k dielectric Step-3 Cool down the wafer Step-4 Deposit metal electrode material.
  • the starting wafer may or may not have an initially deposited very thin SiO 2 or SiON layer 23 . This is shown in FIG. 7( a ).
  • a starting material 24 for high-k dielectric is deposited on substrate 4 using one of the angled-PVD modules ( FIG. 7( b )).
  • the starting material 24 may be a metal, preferably refractory metal such as Hf, Ta, Zr etc., metal nitride such as HfN, TaN, TiN etc., metal alloy such as HfTa, HfTi, etc., metal-semiconductor alloy such as HfSi etc. or metal alloy nitrides such as TaSiN etc.
  • the semi-conducting material is Si.
  • the film thickness of the above starting materials is usually kept less than 5 nm, typically around 2 nm.
  • substrate 4 is transferred to thermal annealing module 1 .
  • the substrate 4 is heated to a higher temperature usually over 400 ⁇ , under an oxygen gas atmosphere, so that starting material gets oxidized ( FIG. 7( c )) forming a high-k dielectric 25 .
  • the heating process can be carried out as a single step or several steps. Usually, a heating procedure with two steps or several steps is more suitable to control the chemical reaction during annealing process. For example, first the film is heated to 400 ⁇ to oxidize the metallic elements in the starting material. If the film is heated to a very high temperature at once, for example to 800 ⁇ , metallic elements in the film may form their silicides, which are stable and show metallic features.
  • temperature is raised to a higher value, for example to 900 ⁇ , preferably in an inert gas environment. If metal stacks of different metals are used as the starting material, high-temperature annealing is important for inter-diffusion of each material and to form a uniform film composition.
  • substrate 4 is transferred to the cooling module 8 and cooled to a desired temperature, preferably to the room temperature.
  • the substrate 4 is transferred to the other angled-PVD module and deposited a gate electrode 26 ( FIG. 7( d )).
  • the gate material may be a metal such as Ta, Ru, Hf etc., a metal nitride such as TiN, HfN, TaN etc., metal alloy such as RuTa, HfTa, etc., metal semiconductor alloy such as HfSi, TaSi etc., metal semiconductor alloy nitrides such as TaSiN etc. or a stack of above mentioned films such as Hf/TaN/TiN, Ru/Ta/TaN etc.
  • substrate does not have to be removed from the angled-PVD module to deposit each film. Since this PVD module has five cathodes 16 a to 16 e and supports up to 5 different targets, by fixing appropriate targets one can deposit any desired metal stacks in the same angled-PVD module.
  • the substrate may subject to thermal annealing process, particularly if metal stacks are deposited. During this thermal annealing process, metal stacks inter-diffuse and form a new uniform composition. Otherwise, one can take the wafer directly out from the integrated system shown in FIG. 4 after the gate electrode deposition.
  • the substrates are treated as follows to get improved electrical properties.
  • the substrate is cleaned with diluted HF solution to remove native oxide on the surface
  • the reason for the use of thin SiO 2 layer 23 on Si substrate 4 is that after the preparation of overall film deposition process as described-above, a fraction of originally deposited SiO 2 layer 23 remains at interface between Si substrate 4 and high-k dielectric 25 as shown in FIG. 7( c ) and 7 ( d ). This results in a lower leakage current, lower voltage hysterias, and improved electron mobility in the channel region in a MOSFET.
  • These angled PVD modules give 11 , 12 shown in FIG. 5 and used in the configuration of FIG. 4 can achieve higher deposition rate. As a result of higher deposition rate, an economically viable throughput can be obtained by the present invention.
  • FIG. 8 there are two angled PVD modules 11 ad 12 , two thermal annealing modules 1 a and 1 b , one cooling module 8 and wafer loading/unloading equipment front-end module 13 attached to a central wafer-handling platform 3 .
  • FIG. 9 shows a schematic diagram of the integrated system for the deposition of high-k dielectric, which is comprised of angled PVD module 11 , thermal annealing module 1 such as RTP module, central wafer-handling platform 3 and a wafer loading/unloading equipment-front-end module (EFEM) 13 .
  • thermal annealing module 1 such as RTP module
  • central wafer-handling platform 3 central wafer-handling platform 3
  • EFEM wafer loading/unloading equipment-front-end module
  • Example 3 The construction and mechanism of the angled PVD module 11 is described in Example 3 and shown in FIG. 5 . So that, they are omitted from this example.
  • FIG. 10( a ) shows a Hf film uniformity deposited on a 300 mm wafer at a pressure of 0.015 Pa using the angled PVD module 11 .
  • the other parameters used for that deposition are as follows.
  • the standard deviation ( ⁇ ) of larger number of film thickness measurements is usually given as the film non-uniformity.
  • the standard deviation ( ⁇ ) of 49-points measurement on Hf film given in FIG. 10( a ) is 0.16%.
  • the lines shown in FIG. 10( a ) are the constant-uniform contours 36 .
  • the numeral 37 given at each contours is the normalized uniformity.
  • FIG. 10( b ) shows the normalized uniformity across a diameter line.
  • FIG. 11 shows controllability of HfSi composition.
  • the deposition condition for FIG. 11 is as follows.
  • Hf fraction of HfSi film can be controlled from 55% to 82% (or Si fraction from 45% to 18%) by controlling the DC power applied to Si target.
  • variation of Hf fraction in HfSi film is denoted numeral 38 and variation of Si fraction in HfSi film is denoted numeral 39 .
  • a reactive gas for example oxygen or nitrogen is added to the PVD module in addition to an inert gas, for example Ar.
  • the reactive gas decomposes in the plasma and reacts with the sputtered atom and then deposits on the wafer surface.
  • FIG. 12( a ) shows film uniformity of TaN film deposited by reactive sputtering method using Ar+N 2 gas mixture with the following condition.
  • Ta target DC power 300 W
  • Plasma gas Ar
  • Pressure 0.015 Pa
  • Ar flow rate 30 sccm
  • N 2 flow rate 10 sccm
  • substrate-to-target distance 250 mm.
  • substrate 4 is transferred to the thermal annealing module 1 without a vacuum break.
  • the thermal annealing module 1 is an RTP module shown in FIG. 6 and described in the before described example 1.
  • the heating by the thermal annealing module 1 such as RTP module can be carried out in two or more steps under the same or different gas environments.
  • heating is carried out only to oxidize the film deposited on a wafer surface, and in the second or later steps wafer is heated to an even higher temperature to mix the oxidized film with underlying Si or any other underlying film.
  • HfSiON film is fabricated with the following procedure.
  • Wafer is taken out and film is evaluated.
  • HfN film gets oxidized and form HfON.
  • HfON and underlying SiO 2 film gets intermixed and form HfSiON.
  • RBS spectra obtained for the above film is shown in FIG. 13 , which clearly shows the film is HfSiON.
  • a Ti film is deposited as a capping layer to prevent further oxidation of prepared high-k film.
  • thermal SiO 2 it is important to have a very thin layer of thermal SiO 2 remaining below the HfSiON film to improve the electron mobility in the channel region 107 ( FIG. 15 ). So that one has to control the RTP temperature and time to consume only a fraction of thermal SiO 2 . By this method, one can therefore, have very thin thermal SiO 2 layer, for example 5 angstrom, under HfSiON layer. The importance of this process is that there is no reliable technique in directly depositing such a thin SiO 2 layer.
  • HfSiON is considered as a high-k material with a relative dielectric constant between 15-24 depending on film composition.
  • a PVD (physical vapor deposition) module and RTP (rapid thermal processing) module are integrated together with the use of wafer transfer module and EFEM (equipment front-end module), wherein first a metal, metal nitride or metal oxide film is deposited on a substrate by placing in PVD module and secondly the wafer is subjected to RTP process to convert metal film into dielectric and/or improve dielectric properties.
  • EFEM equipment front-end module

Abstract

An apparatus to improve high-k dielectric film and metal gate interface in the fabrication of MOSFET by depositing a metal gate on a high-k dielectric comprising an annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module and a depositing step depositing a metal gate material on said annealed substrate in a metal gate deposition module, characterized that said annealing step and depositing step are carried out consecutively without a vacuum break.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims priority of Japanese Patent Application No. 2005-051340, filed in Japan on Feb. 25, 2005, and is a divisional application of U.S. patent application Ser. No. 11/347,256, filed Feb. 6, 2006, the entire contents of which are hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to a method for depositing a metal gate on a high-k dielectric film in the fabrication of metal-oxide-semiconductor field effect transistors (MOSFET). And, the present invention relates to a method for improving high-k dielectric film and metal gate interface in the fabrication of MOSFET. Also, the present invention relates to a substrate treating system, which is suitable to be used in said methods.
  • BACKGROUND OF THE INVENTION
  • The elementary device of most of the complex integrated circuits (IC) fabricated on semiconductor substrates is a metal-oxide-semiconductor (MOS) transistor. These transistors are generally called metal-oxide-semiconductor field effect transistors (hereinafter referred to MOSFET).
  • FIG. 15 shows an example of a simple diagram of a MOSFET denoted by numeral 100. In FIG. 15, MOSFET 100 is comprised of a semiconductor 101, gate dielectric (gate oxide) 104, gate electrode 105, source region 102 and drain region 103. During its operation an electric field is applied to the channel region 107 below the gate dielectric 104 to switch the transistor on and off.
  • In order to increase the performance of integrated circuits (IC), the design rule or the smallest feature size of ICs are gradually reduced. With the shrink of design rule, new materials and deposition techniques are of importance. For example, the thickness of gate oxide (tox) reduces with the reduction of gate length (GL) (denoted by numeral 106) with the relationship of tox=0.018 GL. This is important to maintain a higher capacitance between semiconductor 101 and the gate electrode 105.
  • With respect to the thinning of gate oxide 104, conventional dielectric materials (SiO2, SiON) are no longer applicable since very thin films of these materials show different electrical properties such as higher leakage current.
  • The gate dielectric (gate oxide) should be replaced with new dielectric materials of which the dielectric constant is higher than that of SiO2. This facilitates to use thicker film without compensating the capacitance.
  • These higher dielectric constant materials are called high-k dielectrics. For example, HfO2, HfSiO, HfAlO are considered as high-k dielectrics.
  • With the use of high-k dielectrics, conventional gate electrode material, such as poly-Si, also must be replaced with different materials due to two reasons. First is that poly-Si is not compatible with most high-k dielectrics. Second is that use of poly-Si causes a generation of depletion region at the poly-Si/high-k interface resulting in a higher equivalent oxide thickness (EOT) and a lower capacitance.
  • Pure metals, metal alloys, metal nitride or metal alloy nitrides are usually considered for a gate electrode to be used with high-k dielectrics.
  • At present, high-k and metal gate are fabricated with the procedure given in the following chart, for example.
  • 1. clean Si substrates with diluted HF solution
  • 2. dry the wafer in Nitrogen
  • 3. deposit thermal SiO2 (˜1 nm)
  • 4. deposit Hf (or HfO2)
  • 5. thermal annealing
  • 6. deposit metal gate
  • 7. thermal annealing
  • One may eliminate step 3 described in the above procedure, and instead, Hf or HfO2 is directly deposited on surface-treated Si. Moreover, the above procedure is explained using HfO2 as the high-k dielectric. However, one can select any other high-k material as the dielectric, for example, HfSiO, HfSiON, HfAlO etc.
  • FIG. 14 is a schematic diagram showing a CVD module 40 attached to a central wafer-handling platform 3 and wafer loading/unloading equipment-front-end module 13. CVD module 40 may be Metal Organic Chemical Vapor Deposition (MOCVD) module or Atomic Layer Deposition (ALD) module.
  • In MOCVD processes, metal-organic gases are used. There are two basic groups of metal-organic gases; for example, in depositing Hf-base dielectrics one can use i) halide-based gases such as HfCI4 or ii) carbon-based gases such as C16H40N4Hf (Tetrakis-diethylamino hafnium).
  • In ALD depositions, two gases are alternatively introduced into the CVD module 40. When the first gas, which is usually called the precursor gas, is introduced into the CVD module 40, precursor molecules stick on the substrate surface. When the second gas is introduced into the CVD module 40, it reacts with surface-stick precursor molecules and forms a dielectric film. This procedure continues until a film with the desired thickness is formed.
  • Impurity contamination is the biggest problem in any CVD (ALD or MOCVD) processes.
  • For example, firstly, in MOCVD, halides or carbon contaminates the wafer. In ALD process also, carbon from precursor gas contaminates the film. Higher impurity concentration in the dielectric film causes higher leakage current, threshold voltage shift and reduction of electron mobility in the channel region 107 in MOSFET devices (FIG. 15).
  • Secondly, for any CVD (MOCVD or ALD) processes, wafer must be heated to a higher temperature, for example 400° C. The temperature uniformity on the substrate surface directly affects the film uniformity. Any temperature non-uniformity results in non-uniform dielectric film and thereby causes faulty MOSFET devices or a lower yield (number of good MOSFETs) per wafer.
  • Thirdly, lower throughput, particularly with the ALD method, limits the economic viability. In the ALD process, film grows with the switching of two gases so the deposition rate is slow. The required film thickness of high-k dielectric materials is usually 10-40 angstroms. When these deposition rate and film thickness are considered, the throughput is less than 10 wafers per hour.
  • Fourth, owing to the expensive precursors and lower utilization efficiency of precursors, CVD methods have a higher running cost. This also limits the economic viability of CVD methods.
  • OBJECTS AND SUMMARY
  • In the fabrication of high-k dielectric film and metal gates, the qualities of lower interface between Si and high-k dielectric film, and upper interface between high-k dielectric film and metal gates are of importance.
  • The upper interface quality particularly affects the electron mobility, threshold voltage (Vth) shift due to pinning effect.
  • To improve the electron mobility and minimize Vth shift, the interface trap density must be lowered.
  • The interface trap density depends on high-k dielectric and metal gate material qualities as well as fabrication process.
  • Conventionally, after the thermal annealing of high-k dielectric, which is usually done in a separate annealing system, wafers are exposed to normal atmosphere until they are placed in metal gate deposition system.
  • Usually, high-k dielectrics show a better thermal stability, however, depending on the dielectric materials, they show different chemical properties after being exposed to normal atmosphere.
  • For example, an SiO2 layer can be grown at the Si and high-k interface when HfO2 is selected as the high-k, since oxygen diffuses through HfO2 film. The thickness of this interface SiO2 also varies depending on the time exposed to the normal atmosphere causing reliability issues.
  • If LaO or its alloys are used as high-k, moisture absorbs into the film when exposed to the atmosphere; this changes trap density in the film and interface. All these changes after being exposed to atmosphere cause a decrease of film quality and thereby the performance of end-product semiconductor devices is decreased.
  • Therefore, an object of the present invention is to provide a method to deposit a metal gate on a high-k dielectric film in the fabrication of metal-oxide-semiconductor field effect transistors (MOSFET) by which the qualities of high-k dielectric film and metal gate material are improved thereby electron mobility is improved and Vth shift is minimized.
  • Also, another object of the present invention is to provide a method to improve high-k dielectric film and metal gate interface in the fabrication of MOSFET by which the interface trap density can be lowered thereby electron mobility is improved and Vth shift is minimized.
  • A further object of the present invention is to provide a substrate treating system, which is suitable to be used in said methods.
  • In order to achieve the above-described objects, a first aspect of the present invention provides a method for depositing a metal gate on a high-k dielectric film in the fabrication of MOSFET comprising an annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module, and a depositing step depositing a metal gate material on said annealed substrate in a metal gate deposition module, wherein the annealing step and depositing step are carried out consecutively without a vacuum break.
  • This method is conducted by a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and a processing module connected to said wafer-handling platform, wherein said processing module includes at least a thermal annealing module and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • A second aspect of the present invention provides a method to deposit a metal gate on a high-k dielectric film in the fabrication of MOSFET comprising an annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module, a cooling step cooling said annealed substrate in a cooling module, and a depositing step depositing a metal gate material on said cooled substrate in a metal gate deposition module, characterized that said annealing step, cooling step and depositing step are carried out consecutively without a vacuum break.
  • This method is conducted by a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and processing module connected to said wafer-handling platform, wherein said processing module includes at least a thermal annealing module, a cooling module and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • A third aspect of the present invention provides a method to deposit a metal gate on a high-k dielectric film in the fabrication of MOSFET comprising a first depositing step depositing a high-k dielectric film on a substrate in a high-k deposition module, an annealing step annealing said substrate on which high-k dielectric film is deposited in a thermal annealing module, a cooling step cooling said annealed substrate in a cooling module, and a second depositing step depositing a metal gate material on said cooled substrate in a metal gate deposition module characterized that said first depositing step, annealing step, cooling step and second depositing step are carried out consecutively without a vacuum break.
  • This method is conducted by a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and processing module connected to said wafer-handling platform, wherein said processing module includes at least a thermal annealing module, a cooling module, a high-k deposition module, and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • A fourth aspect of the present invention provides a method to deposit a metal gate on a high-k dielectric film in the fabrication of MOSFET comprising a first depositing step depositing a thin thermal SiO2 film on a substrate in a thermal annealing module, a first cooling step cooling said substrate in a cooling module, a second depositing step depositing a high-k dielectric film on a substrate in a high-k deposition module, an annealing step annealing said substrate in a thermal annealing module, a second cooling step cooling said annealed substrate in a cooling module, and a third depositing step depositing a metal gate material on said cooled substrate in a metal gate deposition module, wherein the first depositing step, first cooling step, second depositing step, annealing step, second cooling step and third depositing step are carried out consecutively without a vacuum break.
  • This method is conducted by a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and processing module connected to said wafer-handling platform, wherein said processing module includes at least a thermal annealing module, a cooling module, a high-k deposition module, and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • A fifth aspect of the present invention provides a method to deposit a metal gate on a high-k dielectric film in the fabrication of MOSFET according to any one of the before described first to fourth aspects of the present invention wherein a metal gate formed by said depositing step depositing a metal gate material comprises of a plural film stack, and after said metal gate is formed the substrate is further annealed consecutively in the thermal annealing module without a vacuum break.
  • In this depositing method, the before described plural film stack including different films, for example, a plural film stack including different films is laminated. And, by the annealing step, which is consecutively conducted after said metal gate material comprised of a plural film stack is formed, the metal stack materials are intermixed.
  • A sixth aspect of the present invention provides a method to improve high-k dielectric film and metal gate interface in the fabrication of MOSFET by depositing a metal gate on a high-k dielectric film according to any one method of the before described first to fifth aspect of the present invention.
  • A seventh aspect of the present invention provides a substrate treating system comprising a wafer-handling platform including transfer means to transfer a substrate and processing module connected to said wafer-handling platform; wherein said processing module includes at least a thermal annealing module and a metal gate deposition module, and said transfer means transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
  • An eighth aspect of the present invention provides a substrate treating system according to the seventh aspect of the present invention wherein said processing module further includes a cooling module and/or a high-k dielectric deposition module.
  • According to an embodiment of the present invention, an improved method of depositing a metal gate on a high-k dielectric film in the fabrication of MOSFET is provided by which the qualities of high-k dielectric film and metal gate material are improved, thereby the electron mobility is improved and Vth shift is minimized.
  • Also, an improved method improving high-k dielectric film and metal gate interface in the fabrication of MOSFET is provided by which the interface trap density can be lowered, thereby the electron mobility is improved and Vth shift is minimized.
  • Further, a substrate treating system which is suitable to be used in the before described methods is provided.
  • Integration of thermal annealing system and metal gate deposition system to a one wafer-handling platform improves the high-k dielectric film and metal gate interface properties and thereby improves electrical characteristics and device performance.
  • BRIEF DESCRIPTIONS OF THE DRAWINGS
  • FIG. 1 shows a schematic diagram of integrated system used in working example one.
  • FIG. 2 shows another configuration for working example one.
  • FIG. 3 shows a schematic diagram of working example two.
  • FIG. 4 shows a schematic diagram of another integrated system.
  • FIG. 5 shows a cross sectional view of angled-PVD module provided in the integrated system shown in FIG. 4.
  • FIG. 6 shows a cross sectional view of thermal annealing module provided in the integrated system shown in FIG. 1.
  • FIGS. 7( a) to (d) show the procedure of film deposition and thermal annealing process.
  • FIG. 8 shows a schematic diagram of another integrated system.
  • FIG. 9 shows a schematic diagram of another integrated system.
  • FIG. 10 (a) shows uniform-counter lines of Hf film deposited on 300 mm wafer, FIG. 10( b) shows the cross sectional uniformity of Hf film.
  • FIG. 11 shows a variation of HfSi film composition depending on applied DC power.
  • FIG. 12( a) shows uniform-counter lines of TaN film deposited on 200 mm wafer, FIG. 12( b) shows the cross sectional uniformity of TaN film.
  • FIG. 13 shows RBS data obtained for HfSiON film.
  • FIG. 14 shows a schematic diagram of wafer treating system in which CVD chamber for depositing high-k dielectrics using CVD technique is connected to central wafer-handling platform.
  • FIG. 15 shows a schematic view of MOSFET
  • EXPLANATION OF REFERENCE SIGNS USED tO DESCRIBE THE PREFERRED EMBODIMENTS
    • 1. thermal annealing module
    • 2. metal gate deposition module
    • 3. central wafer-handling platform
    • 4. substrate
    • 5. wafer alligner
    • 6. wafer load port
    • 7. wafer unload port
    • 8. cooling module
    • 9. robot arm
    • 10. high-k dielectric deposition module
    • 11. angled-PVD module
    • 12. angled-PVD module
    • 13. wafer loading/unloading equipment-front-end module
    • 14. target
    • 15. target angle α
    • 16. cathode
    • 16 a, 16 b, 16 c, 16 d and 16 e. cathodes
    • 17. substrate holder
    • 18. central axis of substrate holder
    • 19. substrate holder
    • 20. wafer heating mechanism
    • 21. gas inlet
    • 22. gas outlet
    • 23. initially deposited very thin SiO2 or SiON layer
    • 24. starting material (film)
    • 25. high-k dielectric
    • 26. gate electrode
    • 27. chamber wall
    • 28. vacuuming port
    • 29. wafer in/out port
    • 30. backing plate
    • 31. insulator
    • 32. magnets
    • 33. substrate in/out port.
    DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Preferred embodiments of the present invention are described in the following examples in detail using the attached drawings.
  • Example 1
  • In FIG. 1, a thermal annealing module 1 and metal gate deposition module 2 are connected to a central wafer-handling platform 3, that is to say, a thermal annealing module 1 and metal gate deposition module 2 are integrated to a central wafer-handling platform 3.
  • A cross sectional view of the thermal annealing module 1 is shown in FIG. 6. Preferably, the thermal annealing module 1 is a rapid thermal annealing module. The thermal annealing module 1 such as RTP module, shown in FIG. 6, is comprised of a substrate holder 19, a wafer heating mechanism 20 heating a substrate 4 placed on the substrate holder 19, gas inlet 21, a gas outlet 22 and substrate in/out port 33 as shown in FIG. 6.
  • Typically, the heating mechanism 20 is an infrared (IR) heating process assisted by IR lamps. Usually, the thermal annealing module 1 such as RTP module can heat a substrate 4 to a temperature around 1000°C. within several seconds. During the substrate heating, substrate holder 19 may or may not be rotated. The thermal annealing module 1 such as RTP module heats a substrate under a low-pressure with an inert gas or mixture of inert gas and a reactive gas.
  • The thermal annealing module 1 may employ any suitable technique to heat the substrate 4 to a higher temperature, for example IR lamps, furnace annealing, or RF heating. The annealing temperature may vary from 100° C. to 1200° C. . The actual annealing temperature may change depending on the high-k materials. The annealing pressure is also not critical. The pressure may vary from 10−7 Pa to atmospheric pressure.
  • The metal gate deposition technique conducted in the metal gate deposition module 2 is also not important. The technique may be PVD, thermal CVD or plasma enhanced CVD, or atomic layer deposition. The deposition pressure, precursor gas or gas mixtures depend on the type of metal gate.
  • In addition to the thermal annealing and metal gate deposition modules 1, 2, a wafer loading/unloading equipment-front-end module 13 is attached to the central platform 3. Therefore, a thermal annealing module 1, metal gate deposition module 2 and a wafer loading/unloading equipment-front-end module 13 are integrated to a central wafer-handling platform 3. The wafer loading/unloading equipment-front-end module 13 comprises a wafer aligner 5, wafer load port 6 and unload port 7.
  • The substrate 4 with high-k dielectric film deposition thereon is placed in the thermal annealing module 1 in FIG. 1. Substrate 4 is then subjected to thermal annealing process in the thermal annealing module 1 at a desired temperature. The thermal annealing may be a single step or a two-step process with different gas atmospheres.
  • Then, substrate 4 is transferred into the metal gate deposition module 2 via the central wafer-handling platform 3 by the transfer means such as robot arm 9. And in the metal gate deposition module 2, metal gate material is deposited. The metal gate material may be any suitable material with appropriate electrical properties. For example metal gate material may be TaN, HfSi , RuTa, Ir, W, etc.
  • As described before, the annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module and depositing step depositing a metal gate material on said annealed substrate in a metal gate deposition module are carried out consecutively without a vacuum break.
  • FIG. 2 shows that a cooling module 8 is connected to the central wafer-handling platform 3 in addition to the configuration shown in FIG. 1. That is to say, in FIG. 2, a thermal annealing module 1, metal gate deposition module 2, a cooling module 8 and a wafer loading/unloading equipment-front-end module 13 are integrated to a central wafer-handling platform 3.
  • Using the integrated system shown in FIG. 2, after the thermal annealing of high-k dielectric mentioned above, one can cool down the substrate 4 before placing it inside the metal gate module 2.
  • That is to say, using the integrated system shown in FIG. 2, firstly conducting an annealing step annealing a substrate with high-k dielectric film deposited thereon in a thermal annealing module, then cooling said annealed substrate in a cooling module, and then depositing a metal gate material on said cooled substrate in a metal gate deposition module, wherein said annealing step, cooling step and depositing step can be carried out consecutively without a vacuum break.
  • As described before, the thermal annealing module and metal gate deposition module are integrated to a single central wafer-handling platform, so that immediately after the high-k annealing process, wafers can be transferred into metal gate deposition module without a vacuum break and deposit metal gate.
  • Also, the thermal annealing module, the cooling module, and metal gate deposition module are integrated to a single central wafer-handling platform, so that immediately after the high-k annealing process and cooling process, wafers can be transferred into the metal gate deposition module without a vacuum break and deposit metal gate.
  • Integration of thermal annealing system and metal gate deposition system to a one central wafer-handling platform, or integration of thermal annealing system, cooling system and metal gate deposition system to a one central wafer-handling platform can improve the high-k dielectric film and metal gate interface properties and thereby improve electrical characteristics and device performance.
  • Example 2
  • FIG. 3 shows an example which is an extension of working example 1, wherein there is an additional high-k dielectric deposition module 10 attached to the central wafer handling platform 3 described in working example 1.
  • In the example shown in FIG. 3, a high-k dielectric deposition module 10 is connected to the central wafer-handling platform 3 in addition to the configuration shown in FIG. 2. That is to say, in FIG. 3, a thermal annealing module 1, metal gate deposition module 2, a cooling module 8, a high-k dielectric deposition module 10 and a wafer loading/unloading equipment-front-end module 13 are integrated to a central wafer-handling platform 3.
  • A cooling module 8 may be removed from the configuration shown in FIG. 3.
  • The high-k dielectric deposition technique can be any desired technique, for example PVD, CVD, MOCVD or ALD. The parameters such as deposition pressure, precursor gases, temperature etc., depend on the type of deposition technique and high-k material.
  • First, high-k dielectric, for example HfO2, is deposited on a substrate 4 by placing a wafer in high-k dielectric deposition module 10. One can also deposit a metal or metal alloy in the high-k deposition module 10, for example Hf, HfSi, HfAl etc., to be oxidized in the thermal annealing module 1. The substrate 4 is then transferred into the thermal annealing module 1 and performs the annealing process. The annealing is usually a single step in oxygen or in an inert gas environment. One can however, carry on a two-step annealing process where in the first step annealing is done in an oxygen atmosphere at relatively a lower temperature, while in the second step annealing is done in an inert gas environment at relatively a higher temperature.
  • Then by using the cooling module 8, the wafer is cooled down. Thereafter, the wafer is transferred into metal gate deposition module 2, and deposits a metal.
  • When the configuration given in FIG. 3 is used, high-k deposition, thermal annealing and metal gate deposition can be done without a vacuum break. This results in further improvement of film quality and thereby semiconductor device quality.
  • Using the integrated system shown in FIG. 3, the following process can be conducted. Firstly, depositing a high-k dielectric film on a substrate in a high-k deposition module, annealing said substrate on which high-k dielectric film is deposited in a thermal annealing module, cooling said annealed substrate in a cooling module, and then depositing a metal gate material on said cooled substrate in a metal gate deposition module wherein said first depositing step, annealing step, cooling step and second depositing step are carried out consecutively without a vacuum break.
  • Also, the following process can be conducted. After the first depositing step depositing a thin thermal SiO2 film on a substrate in a thermal annealing module, cooling said substrate in a cooling module, depositing a high-k dielectric film on said substrate in a high-k deposition module, annealing said substrate in a thermal annealing module, cooling said annealed substrate in a cooling module, and then depositing a metal gate material on said cooled substrate in a metal gate deposition module wherein said first depositing step, first cooling step, second depositing step, annealing step, second cooling step and third depositing step are carried out consecutively without a vacuum break.
  • As described before, the thermal annealing module, the cooling module, the high-k deposition module, and the metal gate deposition module are integrated to a single central wafer-handling platform, so that immediately after the high-k annealing process and cooling process, wafers can be transferred into metal gate deposition module without a vacuum break and deposit metal gate.
  • Integration of the thermal annealing system, the cooling module, the high-k deposition module, and the metal gate deposition module to a one central wafer-handling platform can improve the high-k dielectric film and the metal gate interface properties and thereby improves electrical characteristics and device performance.
  • Example 3
  • FIG. 4 shows a schematic diagram of the integrated system comprised of two angled-PVD modules 11 and 12, one thermal annealing module 1, a cooling module 8, a central wafer-handling platform 3, and a wafer loading/unloading equipment-front-end module 13.
  • The hardware configuration of both angled-PVD systems 11 and 12 are the same except the target materials fixed to each cathode. A cross sectional diagram of an example of an angled-PVD module which can be adopted in the substrate treating system of the present invention is shown in FIG. 5.
  • The angled-PVD module 11 and 12 is comprised of a chamber having a chamber wall 27, a vacuuming port 28 and a wafer in/out port 29. The substrate holder 17 is provided in the chamber as shown in FIG. 5.
  • The angled-PVD modules 11 and 12 employ off-axis sputtering technology where substrate 4 and target 14 surfaces are not parallel as in conventional PVD systems. Instead these two surfaces make an angle a (denoted by numeral 15) as shown in FIG. 5. This angle α(15) is however, not critical and can lie in the range of 10° to 90°, but typically lies around 45°. Each angled-PVD system may have one or more angled targets. For example, as one cathode 16 is shown in FIG. 5, each PVD system shown in FIG. 4 accommodates 5 cathodes (16 a, 16 b, 16 c, 16 d and 16 e) and thereby 5 targets 14.
  • In each cathode, as shown in FIG. 5, backing plate 30 is provided on the opening of cathode 16 by insulator 31. Target 14 is supported by the front side of the backing plate 30, and magnets 32 are provided at the back side of backing plate 30. The magnets 32 are rotated during film deposition.
  • A target 14 made of a metal, metal nitride or metal oxide or a semiconductor is fixed to each cathode 16 a to 16 e. Each cathode is supplied with a DC, as shown in FIG. 5, or RF electrical power to ignite and maintain a plasma. The ions in the plasma sputter the target material and these sputtered atoms are deposited on the substrate 4 placed on substrate holder 17.
  • The substrate holder 17 where a substrate 4 is placed for the film deposition rotates around its central axis 18 during film deposition. The rotation of substrate holder 17 is of importance to obtain a uniform film thickness over the wafer surface since sputtered atoms are coming with an angle.
  • The PVD module can accommodate 5 cathodes (16 a, 16 b, 16 c, 16 d, 16 e) at the same time. These cathodes 16 a to 16 e are fixed to the ceiling of the angled PVD modules 11 and 12 respectively with an angle α(15) with respect to the surface of substrate 4. This angle α(15) is not critical and can be varied in the range of 0 to 90°, but typically lies around 45°. In each cathode 16, there is a metal or dielectric target 14 as an integrated part of the cathode 16. Above the target 14, there is a magnet 32, which is rotated during film deposition. The magnet 32 however, is not essential. Use of magnet 32 increases the plasma density and confines the plasma to the region below the target suppressing diffusion towards the wall 27 of the chamber of PVD module. The diameter of a target 14 is also not critical and is usually around 200 mm. The target 14 is simply a planar plate firmly fixed to a backing plate 30. The backing plate 30 is usually cooled using circulating water or any other suitable liquid. The cooling mechanism of the backing plate 30 is not shown for the clarity of the diagram.
  • In FIG. 5 numeral 35 indicates a shutter.
  • Each cathode 16 is electrically isolated from the rest of the hardware and connected to a DC or RF electrical supply unit. In FIG. 5 only a DC power source is shown. The DC or RF power applied to a target 14 is not critical but typically lies lower than 500 W. The reason is high-k materials that should be deposited on a substrate 4 should be very thin. Therefore, in order to control the film thickness to a greater accuracy, film deposition rate must be lowered. So that, by measuring the depositing time, film thickness can be controlled accurately.
  • The angled PVD modules 11 and 12 are vacuumed to a lower pressure and maintained at a low-pressure before and after plasma is ignited. The inside pressure in the chamber of angled PVD modules 11 and 12 is not critical, however, deposition is usually carried out at a pressure lower than 1 Pa.
  • It is possible to obtain extremely uniform depositing film, by considering and comparing the mean-free-path of gas atoms in the PVD modules 11 and 12 with respect to the substrate-to-target distance.
  • The sputter deposition can be carried out with the use of an inert gas plasma, such as Ar plasma or using a gas mixture such as Ar+O2 or Ar+N2. When a reactive gas mixture is used, sputtered atoms react with the gaseous species and form a different product such as metal nitride or oxide and then are deposited on the water surface. The film deposition is usually carried out at a pressure lower than 1 Pa, however, as this is not critical, one can use a different pressure for the film deposition.
  • The film deposition can be done using a single target 14 by supplying DC or RF power to that appropriate target. Or, film deposition can be done by a co-sputtering process where RF or DC power is supplied to two or several targets 14, which are provided at each cathode 16 a to 16 e, at the same time. In this case, the atomic composition of alloy material is controlled by adjusting the DC or RF electrical power applied to each target.
  • One of the angled-PVD systems may be used for high-k dielectrics while the other may be used for gate electrode deposition.
  • The thermal annealing module 1 used in the configuration of FIG. 4 is the same as shown in FIG. 6 and described in Example 1.
  • When a substrate 4 with a film deposited in angled PVD module 11 is placed in the thermal annealing module 1 such as RTP module, it is heated to a higher temperature usually over 400° C. under a reactive gas mixture, preferably with Ar+O2 gas mixture. During this heating, the metal, metal-alloy or metal nitride films get oxidized and become a dielectric.
  • The heating by the thermal annealing module 1, such as RTP module, can be carried out in two or more steps under the same or different gas environments. In the first step, for example heating is carried out only to oxidize the film deposited on a wafer surface, and in the second or later steps wafer is heated to even a higher temperature to mix the oxidized film with underlying Si or any other underlying film.
  • The cooling module 8 is comprised of at least a wafer stage cooled to a lower temperature.
  • Again, there may be an electrostatic chuck mechanism integrated to the wafer stage to clamp the wafer on to the wafer stage. This is important if the wafer cooling must be done at a higher rate. The pressure inside the cooling module 8 is not important and can be in the range of atmospheric pressure to lower pressures as low as 10−7 Pa.
  • The central wafer-handling platform 3 includes a transfer means such as a robot arm 9 that delivers substrate 4 between angled PVD module 11 and central wafer-handling platform 3, thermal annealing module 1 and central wafer-handling platform 3, angled PVD module 12 and central wafer-handling platform 3, cooling module 8 and central wafer-handling platform 3, and wafer loading/unloading equipment-front-end module 13 and central wafer-handling platform 3, respectively, without a vacuum break.
  • The wafer loading/unloading equipment-front-end module 13 is also comprised of at least a wafer handling robot arm and one or several stages to place wafer cassettes. These are not shown in figures for simplicity.
  • A method of high-k and metal gate preparation is as follows and explained with reference to FIG. 7.
  • Step-1 Deposit preliminary film for high-k dielectric
    Step-2 Thermally anneal under oxygen atmosphere to form high-k dielectric
    Step-3 Cool down the wafer
    Step-4 Deposit metal electrode material.
    Detailed description of the deposition method:
  • Step-1
  • The starting wafer may or may not have an initially deposited very thin SiO2 or SiON layer 23. This is shown in FIG. 7( a).
  • A starting material 24 for high-k dielectric is deposited on substrate 4 using one of the angled-PVD modules (FIG. 7( b)). The starting material 24 may be a metal, preferably refractory metal such as Hf, Ta, Zr etc., metal nitride such as HfN, TaN, TiN etc., metal alloy such as HfTa, HfTi, etc., metal-semiconductor alloy such as HfSi etc. or metal alloy nitrides such as TaSiN etc.
  • Again, one can deposit two or several films mentioned above as a stacked configuration. For example, Hf/SiN/Hf, HfN/AIN, Hf.
  • Usually, Hf, Zr, Ti, or Ta is used as a metal target 14. However, other metal targets can be used. In case a metal-semiconductor alloy is deposited, preferably the semi-conducting material is Si.
  • Though it is not critical, the film thickness of the above starting materials is usually kept less than 5 nm, typically around 2 nm.
  • Step-2
  • After the deposition of starting film 24 as described above, substrate 4 is transferred to thermal annealing module 1. The substrate 4 is heated to a higher temperature usually over 400□, under an oxygen gas atmosphere, so that starting material gets oxidized (FIG. 7( c)) forming a high-k dielectric 25. The heating process can be carried out as a single step or several steps. Usually, a heating procedure with two steps or several steps is more suitable to control the chemical reaction during annealing process. For example, first the film is heated to 400□ to oxidize the metallic elements in the starting material. If the film is heated to a very high temperature at once, for example to 800□, metallic elements in the film may form their silicides, which are stable and show metallic features. Once the film is properly oxidized at a relatively lower temperature such as 400□, temperature is raised to a higher value, for example to 900□, preferably in an inert gas environment. If metal stacks of different metals are used as the starting material, high-temperature annealing is important for inter-diffusion of each material and to form a uniform film composition.
  • Step-3
  • After completion of thermal annealing process, substrate 4 is transferred to the cooling module 8 and cooled to a desired temperature, preferably to the room temperature.
  • Step-4
  • The substrate 4 is transferred to the other angled-PVD module and deposited a gate electrode 26 (FIG. 7( d)).
  • The gate material may be a metal such as Ta, Ru, Hf etc., a metal nitride such as TiN, HfN, TaN etc., metal alloy such as RuTa, HfTa, etc., metal semiconductor alloy such as HfSi, TaSi etc., metal semiconductor alloy nitrides such as TaSiN etc. or a stack of above mentioned films such as Hf/TaN/TiN, Ru/Ta/TaN etc.
  • In depositing film stack one on each other, substrate does not have to be removed from the angled-PVD module to deposit each film. Since this PVD module has five cathodes 16 a to 16 e and supports up to 5 different targets, by fixing appropriate targets one can deposit any desired metal stacks in the same angled-PVD module.
  • After the gate electrode deposition, the substrate may subject to thermal annealing process, particularly if metal stacks are deposited. During this thermal annealing process, metal stacks inter-diffuse and form a new uniform composition. Otherwise, one can take the wafer directly out from the integrated system shown in FIG. 4 after the gate electrode deposition.
  • Preferably, before placing a wafer in the above integrated system, the substrates are treated as follows to get improved electrical properties.
  • 1. The substrate is cleaned with diluted HF solution to remove native oxide on the surface
  • 2. Dry the substrate
  • 3. Deposit very thin layer of thermal SiO2 (eg. 1 nm) (eg. Initially deposited film 23 shown in FIG. 7( a)). This process can be conducted in the thermal annealing module 1.
  • The reason for the use of thin SiO2 layer 23 on Si substrate 4 is that after the preparation of overall film deposition process as described-above, a fraction of originally deposited SiO2 layer 23 remains at interface between Si substrate 4 and high-k dielectric 25 as shown in FIG. 7( c) and 7(d). This results in a lower leakage current, lower voltage hysterias, and improved electron mobility in the channel region in a MOSFET.
  • These angled PVD modules give 11, 12 shown in FIG. 5 and used in the configuration of FIG. 4 can achieve higher deposition rate. As a result of higher deposition rate, an economically viable throughput can be obtained by the present invention.
  • Example 4
  • In FIG. 8, there are two angled PVD modules 11 ad 12, two thermal annealing modules 1 a and 1 b, one cooling module 8 and wafer loading/unloading equipment front-end module 13 attached to a central wafer-handling platform 3.
  • Compared to the integrated system explained in working example 3, there is an additional thermal annealing module in this working example 4. Except for this addition, all the other hardware are the same as that explained in working example 3. This additional thermal annealing module is used for high-temperature annealing of gate electrode material. Use of separate thermal annealing modules to anneal starting material to form high-k dielectric and gate electrode, increases the throughput and minimize cross contamination. Except for the above-mentioned difference, all the other processing steps and procedures are the same as that explained in working example 3.
  • Example 5
  • FIG. 9 shows a schematic diagram of the integrated system for the deposition of high-k dielectric, which is comprised of angled PVD module 11, thermal annealing module 1 such as RTP module, central wafer-handling platform 3 and a wafer loading/unloading equipment-front-end module (EFEM) 13.
  • The construction and mechanism of the angled PVD module 11 is described in Example 3 and shown in FIG. 5. So that, they are omitted from this example.
  • FIG. 10( a) shows a Hf film uniformity deposited on a 300 mm wafer at a pressure of 0.015 Pa using the angled PVD module 11. The other parameters used for that deposition are as follows. Target-to-substrate vertical distance=250 mm, DC power applied to Hf target=300 W, substrate holder rotation speed=240 rpm, Plasma gas=Ar. The standard deviation (σ) of larger number of film thickness measurements is usually given as the film non-uniformity. The standard deviation (σ) of 49-points measurement on Hf film given in FIG. 10( a) is 0.16%. The lines shown in FIG. 10( a) are the constant-uniform contours 36. The numeral 37 given at each contours is the normalized uniformity. FIG. 10( b) shows the normalized uniformity across a diameter line.
  • In case of bi-metal or metal alloy depositions, two or many targets (16 a, 16 b,) are given DC or RF power simultaneously. By adjusting the DC or RF power applied to each cathode, the composition of metal alloy can be varied. For example FIG. 11 shows controllability of HfSi composition. The deposition condition for FIG. 11 is as follows.
  • Process gas=Ar, Pressure=0.015 Pa, Hf target DC power=70 W, Si target DC power=30 W to 130 W, Substrate-to-target distance=250 mm. The Hf fraction of HfSi film can be controlled from 55% to 82% (or Si fraction from 45% to 18%) by controlling the DC power applied to Si target. In FIG. 11, variation of Hf fraction in HfSi film is denoted numeral 38 and variation of Si fraction in HfSi film is denoted numeral 39.
  • In case of reactive sputter depositions, a reactive gas, for example oxygen or nitrogen is added to the PVD module in addition to an inert gas, for example Ar. The reactive gas decomposes in the plasma and reacts with the sputtered atom and then deposits on the wafer surface. For example, FIG. 12( a) shows film uniformity of TaN film deposited by reactive sputtering method using Ar+N2 gas mixture with the following condition.
  • Ta target DC power=300 W, Plasma gas=Ar, Pressure=0.015 Pa, Ar flow rate=30 sccm, N2 flow rate=10 sccm, substrate-to-target distance=250 mm.
  • FIG. 12( a) shows the constant uniform contours 36 while FIG. 12( b) shows the normalized uniformity across a diameter line. The standard deviation of 49-thickness measurement on TaN film shown in FIG. 12 is 0.13%.
  • After a film is deposited in PVD module 11, substrate 4 is transferred to the thermal annealing module 1 without a vacuum break.
  • The thermal annealing module 1 is an RTP module shown in FIG. 6 and described in the before described example 1.
  • The heating by the thermal annealing module 1 such as RTP module can be carried out in two or more steps under the same or different gas environments. In the first step, for example, heating is carried out only to oxidize the film deposited on a wafer surface, and in the second or later steps wafer is heated to an even higher temperature to mix the oxidized film with underlying Si or any other underlying film.
  • For example, HfSiON film is fabricated with the following procedure.
  • Started with p-type Si wafer
  • Cleaned with HF to remove native oxide
  • Deposited 1 nm thermal SiO2
  • Deposited 1 nm HfN by placing in the PVD module (11)
  • Placed wafer in RTP module (1)
  • Annealed at 400 for 30 sec. in an oxygen ambient
  • Annealed at 800 for 30 sec. in an inert gas ambient
  • Wafer is taken out and film is evaluated.
  • During the first annealing step HfN film gets oxidized and form HfON. During the second annealing step, HfON and underlying SiO2 film gets intermixed and form HfSiON. RBS spectra obtained for the above film is shown in FIG. 13, which clearly shows the film is HfSiON.
  • It should be noted that after the RTP process, a Ti film is deposited as a capping layer to prevent further oxidation of prepared high-k film.
  • During the second RTP process only a fraction of initially deposited thermal SiO2 film is consumed to form HfSiON. Therefore, a thin SiO2 layer remains below the HfSiON film just above the semiconductor.
  • It is important to have a very thin layer of thermal SiO2 remaining below the HfSiON film to improve the electron mobility in the channel region 107 (FIG. 15). So that one has to control the RTP temperature and time to consume only a fraction of thermal SiO2. By this method, one can therefore, have very thin thermal SiO2 layer, for example 5 angstrom, under HfSiON layer. The importance of this process is that there is no reliable technique in directly depositing such a thin SiO2 layer.
  • HfSiON is considered as a high-k material with a relative dielectric constant between 15-24 depending on film composition.
  • Similar to the method explained above, one can deposit many other different high-k materials using PVD module and RTP module without having a vacuum-break.
  • Since this process does not involve vacuum-break, the overall process is very reliable and repeatable, so that this process can be confidently apply in actual device fabrications.
  • As described before, a PVD (physical vapor deposition) module and RTP (rapid thermal processing) module are integrated together with the use of wafer transfer module and EFEM (equipment front-end module), wherein first a metal, metal nitride or metal oxide film is deposited on a substrate by placing in PVD module and secondly the wafer is subjected to RTP process to convert metal film into dielectric and/or improve dielectric properties. The film deposition step and RTP process is carried out without a vacuum break so that film deposited by this procedure gives repeatable and reliable properties.
  • The present invention is not limited to the preferable examples described above, and may be modified to various embodiments within the technological scope defined by the accompanying claims and equivalents thereof.

Claims (4)

1. A substrate treating system comprising a wafer-handling platform including a transfer device to transfer a substrate and a processing module connected to said wafer-handling platform; wherein
said processing module includes at least a thermal annealing module and a metal gate deposition module; and
said transfer device is adapted to transfer the substrate between said wafer-handling platform and said processing module without a vacuum break.
2. The substrate treating system according to claim 1, wherein said processing module further includes a cooling module or a high-k higher dielectric material deposition module.
3. The substrate treating system according to claim 1, wherein said processing module further includes a cooling module and a high-k higher dielectric material deposition module.
4. A substrate treating apparatus comprising:
an angled-PVD module configured and positioned to deposit a starting material on a substrate;
a thermal annealing module configured and positioned to anneal a substrate on which the starting material is deposited; and
a transfer device configured and positioned to deliver the substrate to the angled-PVD module and the thermal annealing module.
US12/400,012 2005-02-25 2009-03-09 Substrate treating system for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface Abandoned US20090178621A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/400,012 US20090178621A1 (en) 2005-02-25 2009-03-09 Substrate treating system for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005051340A JP4914573B2 (en) 2005-02-25 2005-02-25 Method of manufacturing field effect transistor having high dielectric gate insulating film and metal gate electrode
JP2005-51340 2005-02-25
US11/347,256 US7655549B2 (en) 2005-02-25 2006-02-06 Method for depositing a metal gate on a high-k dielectric film
US12/400,012 US20090178621A1 (en) 2005-02-25 2009-03-09 Substrate treating system for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/347,256 Division US7655549B2 (en) 2005-02-25 2006-02-06 Method for depositing a metal gate on a high-k dielectric film

Publications (1)

Publication Number Publication Date
US20090178621A1 true US20090178621A1 (en) 2009-07-16

Family

ID=36932444

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/347,256 Expired - Fee Related US7655549B2 (en) 2005-02-25 2006-02-06 Method for depositing a metal gate on a high-k dielectric film
US12/400,012 Abandoned US20090178621A1 (en) 2005-02-25 2009-03-09 Substrate treating system for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/347,256 Expired - Fee Related US7655549B2 (en) 2005-02-25 2006-02-06 Method for depositing a metal gate on a high-k dielectric film

Country Status (2)

Country Link
US (2) US7655549B2 (en)
JP (1) JP4914573B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100120238A1 (en) * 2007-06-07 2010-05-13 Canon Anelva Corporation Semiconductor manufacturing apparatus and method
WO2022005504A1 (en) * 2020-06-30 2022-01-06 Qorvo Biotechnologies, Llc System for depositing piezoelectric materials, methods for using the same, and materials deposited with the same
US11401601B2 (en) 2019-09-13 2022-08-02 Qorvo Us, Inc. Piezoelectric bulk layers with tilted c-axis orientation and methods for making the same
US11824511B2 (en) 2018-03-21 2023-11-21 Qorvo Us, Inc. Method for manufacturing piezoelectric bulk layers with tilted c-axis orientation

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4914573B2 (en) 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 Method of manufacturing field effect transistor having high dielectric gate insulating film and metal gate electrode
JP5037242B2 (en) * 2007-07-06 2012-09-26 キヤノンアネルバ株式会社 Manufacturing method of semiconductor device
US8012822B2 (en) * 2007-12-27 2011-09-06 Canon Kabushiki Kaisha Process for forming dielectric films
US8148275B2 (en) * 2007-12-27 2012-04-03 Canon Kabushiki Kaisha Method for forming dielectric films
JP5209717B2 (en) 2008-06-25 2013-06-12 キヤノンアネルバ株式会社 Sputtering apparatus and recording medium recording control program thereof
JP2010212391A (en) * 2009-03-10 2010-09-24 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate processing apparatus
JP5247619B2 (en) * 2009-07-28 2013-07-24 キヤノンアネルバ株式会社 Dielectric film, semiconductor device manufacturing method using the dielectric film, and semiconductor manufacturing apparatus
US11551930B2 (en) 2018-12-12 2023-01-10 Tokyo Electron Limited Methods to reshape spacer profiles in self-aligned multiple patterning

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US6066242A (en) * 1998-06-10 2000-05-23 David A. Glocker Conical sputtering target
US6217721B1 (en) * 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US20030077914A1 (en) * 2001-10-24 2003-04-24 Applied Materials, Inc. Method and apparatus for forming an anti-reflective coating on a substrate
US6641703B2 (en) * 2000-11-30 2003-11-04 Anelva Corporation Magnetic multi-layer film manufacturing apparatus
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20040217410A1 (en) * 2002-08-26 2004-11-04 Micron Technology, Inc. Enhanced atomic layer deposition
US6858254B2 (en) * 1999-04-12 2005-02-22 Saes Getters S.P.A. Easily loaded and unloaded getter device for reducing evacuation time and contamination in a vacuum chamber and method for use of same
US20050233526A1 (en) * 2002-07-16 2005-10-20 Heiji Watanabe Semiconductor device, production method and production device thereof
US20050282369A1 (en) * 2004-06-18 2005-12-22 Tansel Karabacak Enhanced step coverage of thin films on patterned substrates by oblique angle PVD
US20060194396A1 (en) * 2005-02-25 2006-08-31 Canon Anelva Corporation Method for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface, and a substrate treating system

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0448763A1 (en) 1990-03-30 1991-10-02 Siemens Aktiengesellschaft Process and apparatus for manufacturing conductive layers or structures for highly integrated circuits
JPH09148246A (en) 1995-11-21 1997-06-06 Ulvac Japan Ltd Method for forming polycrystal silicon and formation device
JPH1064902A (en) * 1996-07-12 1998-03-06 Applied Materials Inc Method for film formation of aluminum material and device therefor
JPH11135774A (en) * 1997-07-24 1999-05-21 Texas Instr Inc <Ti> High-dielectric constant silicate gate dielectric
US6004850A (en) * 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6528856B1 (en) * 1998-12-15 2003-03-04 Intel Corporation High dielectric constant metal oxide gate dielectrics
JP3822378B2 (en) * 1999-02-19 2006-09-20 株式会社東芝 Manufacturing method of semiconductor device
US6737716B1 (en) * 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP2000232077A (en) 1999-02-10 2000-08-22 Applied Materials Inc Semiconductor manufacturing apparatus
KR100376264B1 (en) * 1999-12-24 2003-03-17 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device utilizing a gate dielelctric
JP3893868B2 (en) * 2000-10-11 2007-03-14 東京エレクトロン株式会社 Field effect transistor manufacturing method, semiconductor device manufacturing method and apparatus
JP4367599B2 (en) 2000-12-19 2009-11-18 日本電気株式会社 Deposition method of high dielectric constant thin film
JP3944367B2 (en) * 2001-02-06 2007-07-11 松下電器産業株式会社 Method for forming insulating film and method for manufacturing semiconductor device
JP3746478B2 (en) 2001-12-18 2006-02-15 松下電器産業株式会社 Manufacturing method of semiconductor device
JP4001498B2 (en) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 Insulating film forming method and insulating film forming system
WO2003088342A1 (en) * 2002-03-29 2003-10-23 Tokyo Electron Limited Method for producing material of electronic device
JP2004119899A (en) * 2002-09-27 2004-04-15 Toshiba Corp Manufacturing method for semiconductor device, and semiconductor device
US6624093B1 (en) * 2002-10-09 2003-09-23 Wisys Technology Foundation Method of producing high dielectric insulator for integrated circuit
JP2004158481A (en) * 2002-11-01 2004-06-03 Matsushita Electric Ind Co Ltd Method of manufacturing semiconductor device
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
JP4067989B2 (en) * 2003-03-06 2008-03-26 株式会社東芝 Manufacturing method of semiconductor device
JP2004319952A (en) * 2003-03-28 2004-11-11 Seiko Epson Corp Semiconductor device and manufacturing method therefor
JP4454243B2 (en) * 2003-03-31 2010-04-21 キヤノンアネルバ株式会社 Substrate temperature adjusting device and substrate temperature adjusting method
JP2005072405A (en) * 2003-08-27 2005-03-17 Sony Corp Forming method of thin film and manufacturing method of semiconductor device
JP4225223B2 (en) 2004-03-19 2009-02-18 富士ゼロックス株式会社 Memory control apparatus and method

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5587039A (en) * 1992-01-09 1996-12-24 Varian Associates, Inc. Plasma etch equipment
US6217721B1 (en) * 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US6066242A (en) * 1998-06-10 2000-05-23 David A. Glocker Conical sputtering target
US6858254B2 (en) * 1999-04-12 2005-02-22 Saes Getters S.P.A. Easily loaded and unloaded getter device for reducing evacuation time and contamination in a vacuum chamber and method for use of same
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US6641703B2 (en) * 2000-11-30 2003-11-04 Anelva Corporation Magnetic multi-layer film manufacturing apparatus
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030077914A1 (en) * 2001-10-24 2003-04-24 Applied Materials, Inc. Method and apparatus for forming an anti-reflective coating on a substrate
US20050233526A1 (en) * 2002-07-16 2005-10-20 Heiji Watanabe Semiconductor device, production method and production device thereof
US20040217410A1 (en) * 2002-08-26 2004-11-04 Micron Technology, Inc. Enhanced atomic layer deposition
US20050282369A1 (en) * 2004-06-18 2005-12-22 Tansel Karabacak Enhanced step coverage of thin films on patterned substrates by oblique angle PVD
US20060194396A1 (en) * 2005-02-25 2006-08-31 Canon Anelva Corporation Method for depositing a metal gate on a high-k dielectric film and improving high-k dielectric film and metal gate interface, and a substrate treating system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100120238A1 (en) * 2007-06-07 2010-05-13 Canon Anelva Corporation Semiconductor manufacturing apparatus and method
US8088678B2 (en) 2007-06-07 2012-01-03 Canon Anelva Corporation Semiconductor manufacturing apparatus and method
US11824511B2 (en) 2018-03-21 2023-11-21 Qorvo Us, Inc. Method for manufacturing piezoelectric bulk layers with tilted c-axis orientation
US11401601B2 (en) 2019-09-13 2022-08-02 Qorvo Us, Inc. Piezoelectric bulk layers with tilted c-axis orientation and methods for making the same
US11885007B2 (en) 2019-09-13 2024-01-30 Qorvo Us, Inc. Piezoelectric bulk layers with tilted c-axis orientation and methods for making the same
WO2022005504A1 (en) * 2020-06-30 2022-01-06 Qorvo Biotechnologies, Llc System for depositing piezoelectric materials, methods for using the same, and materials deposited with the same

Also Published As

Publication number Publication date
US20060194396A1 (en) 2006-08-31
JP2006237371A (en) 2006-09-07
US7655549B2 (en) 2010-02-02
JP4914573B2 (en) 2012-04-11

Similar Documents

Publication Publication Date Title
US7655549B2 (en) Method for depositing a metal gate on a high-k dielectric film
US8088678B2 (en) Semiconductor manufacturing apparatus and method
US6844234B2 (en) Semiconductor device and method for manufacturing semiconductor device
US7816283B2 (en) Method of depositing a higher permittivity dielectric film
US20100221895A1 (en) Surface treatment apparatus and surface treatment method
US9224594B2 (en) Surface preparation with remote plasma
US8728935B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US8288234B2 (en) Method of manufacturing hafnium-containing and silicon-containing metal oxynitride dielectric film
WO2006083380A2 (en) Method for fabricating a semiconductor device
US7674710B2 (en) Method of integrating metal-containing films into semiconductor devices
JP5209791B2 (en) Semiconductor device and manufacturing method thereof
US7517812B2 (en) Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) Method for forming a nitrided germanium-containing layer using plasma processing
US8669624B2 (en) Semiconductor device and manufacturing method thereof
JP4523994B2 (en) Method for manufacturing field effect transistor
KR100928023B1 (en) Semiconductor device and manufacturing method
JP4523995B2 (en) Method for manufacturing field effect transistor
US7829457B2 (en) Protection of conductors from oxidation in deposition chambers
JP2009124177A (en) Method for vapor-depositing metal gate on high-k dielectric film, method for improving interface between high-k dielectric film and metal gate, and substrate treatment system
TW202301484A (en) Amorphous silicon-based scavenging and sealing eot
JP2009079301A (en) Reactive sputtering device
Campbell et al. SYMPOSIUM K

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION