US20090246958A1 - Method for removing residues from a patterned substrate - Google Patents

Method for removing residues from a patterned substrate Download PDF

Info

Publication number
US20090246958A1
US20090246958A1 US12/055,648 US5564808A US2009246958A1 US 20090246958 A1 US20090246958 A1 US 20090246958A1 US 5564808 A US5564808 A US 5564808A US 2009246958 A1 US2009246958 A1 US 2009246958A1
Authority
US
United States
Prior art keywords
layer
developer
photoresist
dbarc
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/055,648
Other versions
US8053368B2 (en
Inventor
Sean D. Burns
Matthew E. Colburn
Steven J. Holmes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/055,648 priority Critical patent/US8053368B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BURNS, SEAN D., COLBURN, MATTHEW E., HOLMES, STEVEN J.
Publication of US20090246958A1 publication Critical patent/US20090246958A1/en
Application granted granted Critical
Publication of US8053368B2 publication Critical patent/US8053368B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Definitions

  • This invention relates to a photolithography process for semiconductor fabrication. More particularly, this invention is directed to a method for removing residues from open areas of a patterned substrate in a photolithography process.
  • Photolithography is commonly used to make miniaturized electronic components such as integrated circuits in semiconductor manufacturing.
  • a layer of photoresist is deposited on a substrate, such as a silicon wafer.
  • the substrate is baked to remove any solvent remained in the photoresist layer.
  • the photoresist is then selectively exposed through a photomask with a desired pattern to a source of actinic radiation.
  • the radiation exposure causes a chemical reaction in the exposed areas of the photoresist and creates a latent image corresponding to the mask pattern in the photoresist layer.
  • the photoresist is next developed in a developer solution to remove either the exposed portions of the photoresist for a positive photoresist or the unexposed portions of the photoresist for a negative photoresist.
  • the patterned photoresist can then be used as a mask for subsequent fabrication processes on the substrate, such as deposition, etching, or ion implantation processes.
  • BARC bottom antireflective coating
  • a BARC is applied to a substrate and then a photoresist is deposited on top of the BARC layer.
  • Most BARCs known in the prior art are designed to be removed by dry etch. That is, after the photoresist is pattern-wise exposed and developed to form patterned structures in the photoresist, portions of the BARC not covered by the photoresist are then etched away, thereby transferring the patterned structures to the BARC layer.
  • the patterned structures in the photoresist and BARC layers are further transferred to the substrate by removing or by ion implanting portions of the substrate not covered by the photoresist and the BARC.
  • dry etch removal of the BARC often causes thinning of the photoresist layer.
  • the patterned structures in the photoresist may be damaged or not properly transferred into the substrate.
  • the dry etch process may also cause damage to the substrate which will affect the performance of the final device.
  • ion implant lithography has avoided the use of BARCs due to the need to implant species such as Arsenic (As), Boron (B) and Phosphorous (P) directly into the silicon surface of a semiconductor substrate.
  • As Arsenic
  • B Boron
  • P Phosphorous
  • the dry etch processes used to remove a BARC layer could damage the silicon surface, usually by means of oxidation of the silicon surface.
  • the BARC layer is not removed completely prior to ion implanting, the BARC would serve to impede the implant species, causing variation in doping levels that would be harmful to device performance and reliability.
  • DBARC Developable bottom antireflective coating
  • the present invention provides a method to remove residues from open areas of a patterned substrate.
  • the method of the invention is generally characterized by applying a layer of a developer soluble material applied on top of patterned structures in a photoresist, followed by removing the developer soluble material with a developer.
  • the present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a developable bottom anti-reflective coating (DBARC) over a substrate; providing a layer of a photoresist over said DBARC layer; pattern-wise exposing said photoresist layer and said DBARC layer to a radiation; developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers; depositing a layer of a developer soluble material over said patterned structures; and removing said developer soluble material with a second developer.
  • DBARC developable bottom anti-reflective coating
  • the present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a developable bottom anti-reflective coating (DBARC) over a substrate; providing a layer of a photoresist over said DBARC layer; pattern-wise exposing said photoresist layer and said DBARC layer to a radiation; developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers; depositing a layer of a developer soluble material over said patterned structures; baking said substrate to form an intermixing layer at an interface between said photoresist and said developer soluble material as well as between said DBARC and said developer soluble material; and removing said developer soluble material and said intermixing layer with a second developer.
  • DBARC developable bottom anti-reflective coating
  • the present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a bottom anti-reflective coating (BARC) over a substrate; providing a layer of a photoresist over said BARC layer; pattern-wise exposing said photoresist layer to a radiation; developing said photoresist layer with a first developer to form patterned structures in said photoresist layer, revealing a section of said BARC layer; depositing a layer of a developer soluble material over said patterned structures and said revealed section of said BARC layer; baking said substrate such that at least a portion of said exposed section of said BARC layer is rendered soluble in a second developer; and removing said developer soluble material and said at least portion of the revealed section of said BARC layer with said second developer.
  • BARC bottom anti-reflective coating
  • FIGS. 1 a - 1 e illustrate cross-sectional views showing processing steps for removing residues from open areas of a patterned substrate using a developer soluble material in accordance with one embodiment of the present invention.
  • FIGS. 2 a - 2 f illustrate cross-sectional views showing processing steps for removing residues from open areas of a patterned substrate using a developer soluble material for in accordance with another embodiment of the present invention.
  • FIGS. 3 a - 3 f illustrate cross-sectional views showing processing steps for removing residues from open areas of a patterned substrate using a developer soluble material for in accordance with still another embodiment of the present invention.
  • FIG. 4 a is a scanning electron micrograph showing a top-down view of patterned resist structures before a layer of the developer soluble material is deposited.
  • FIG. 4 b is a scanning electron micrograph showing a top-down view of patterned resist structures after a layer of the developer soluble material is deposited and then removed with a developer.
  • FIG. 5 a is a scanning electron micrograph showing a cross-sectional view of patterned resist structures before a layer of the developer soluble material is deposited.
  • FIG. 5 b is a scanning electron micrograph showing a cross-sectional view of patterned resist structures after a layer of the developer soluble material is deposited and then removed with a developer.
  • FIGS. 1 a - 1 e , 2 a - 2 f , 3 a - 3 f , 4 a - 4 b and 5 a - 5 b of the drawings in which like numerals refer to like features of the invention.
  • FIGS. 1 a - 1 e , 2 a - 2 f , 3 a - 3 f , 4 a - 4 b and 5 a - 5 b of the drawings in which like numerals refer to like features of the invention.
  • Features of the invention are not necessarily shown to scale in the drawings.
  • the present invention involves using a developer soluble material to remove residues from open areas of a patterned substrate.
  • the developer soluble material is a material soluble in any developer suitable for a photolithographic process.
  • a developer includes aqueous solutions, base solutions, acid solutions, and organic solvents.
  • the developer soluble material includes water soluble polymers, base soluble polymers, acid soluble polymers, and organic solvent soluble polymers.
  • the developer soluble material may also include acid compounds and base compounds.
  • the developer soluble material includes a polymer containing an acidic group. Examples of suitable acidic groups include, but are not limited to, carboxylic acid, fluoroalcohol, and fluorosulfonamide.
  • the developer soluble material includes an acid compound.
  • the developer soluble material includes a base compound.
  • the base compound include alkaline metal, alkaline earth metal and ammonium hydroxides, silicates, phosphates, borates, carbonates, and amines and mixtures thereof. It is preferred that the developer soluble material is soluble in aqueous alkaline developers. More preferably, the developer soluble material is soluble in a tetramethylammonium hydroxide (TMAH) developer solution.
  • TMAH tetramethylammonium hydroxide
  • the developer soluble material may further include at least one solvent.
  • the solvent is preferably immiscible with the underlying photoresist and DBARC materials. Suitable solvents include, but are not limited to, 1-butanol, methanol, ethanol, 1-propanol, ethylene glycol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 1,2-propanediol, 1,3-propanediol, and 4-methyl 1-pentanol.
  • the developer soluble materials are top coat materials commonly used at 193 nm immersion lithography.
  • a layer of a DBARC is formed over a substrate.
  • the substrate in the present invention is suitably any substrate conventionally used in processes involving photoresists.
  • the substrate can be silicon, silicon oxide, aluminum-aluminum oxide, gallium arsenide, ceramic, quartz, copper or any combination thereof including multilayers.
  • any DBARC material conventionally used in a photolithographic process may be employed in the present invention.
  • the DBARC material is soluble in an aqueous alkaline developer.
  • the DBARC material is initially insoluble in the aqueous alkaline developer, but becomes soluble after exposure and bake.
  • the DBARC may be applied by virtually any standard means including spin coating.
  • the substrate with the DBARC layer may be baked to remove any solvent from the DBARC material and improve the coherence of the DBARC layer.
  • the preferred range of the bake temperature for the DBARC layer is from about 70° C. to about 190° C., more preferably from about 150° C. to about 170° C.
  • a typical bake time is from about 60 seconds to about 90 seconds.
  • the preferred range of thickness of the DBARC layer is from about 20 nm to about 100 nm, more preferably from about 40 nm to about 60 nm.
  • the photoresist layer in the present invention may be formed from any photoresist conventionally used in semiconductor industry.
  • the photoresist is a chemically amplified resist, such as a 193 nm or 248 nm photoresist. Both positive-tone resists and negative-tone resists are suitable to be used in the present invention.
  • the photoresist may be applied by virtually any standard means including spin coating.
  • the substrate with the photoresist layer may be baked (PAB) to remove any solvent from the photoresist and improve the coherence of the photoresist layer.
  • the preferred range of the PAB temperature for the photoresist layer is from about 70° C.
  • the preferred range of thickness of the photoresist layer is from about 20 nm to about 400 nm, more preferably from about 50 nm to about 300 nm.
  • the substrate is then exposed pattern-wise to a radiation.
  • the pattern-wise exposure is accomplished through a patterned mask.
  • the patterned masks employed in the present invention can be any photomasks commonly used in photolithographic processes including attenuated phase shift masks (PSMs).
  • the radiation employed in the present invention can be visible light, ultraviolet (UV), extreme ultraviolet (EUV) and electron beam (E-beam).
  • the imaging wavelength of the radiation is about 193 nm. In another exemplary embodiment, the imaging wavelength of the radiation is about 248 nm.
  • the exposed substrate is baked (post exposure bake, or PEB) to promote the chemical reaction in the photoresist and/or to improve the coherence of the photoresist and coating layers.
  • PEB temperature is from about 70° C. to about 120° C., more preferably from about 90° C. to about 110° C. In some instances, it is possible to avoid the PEB step since for certain chemistries, such as acetal and ketal chemistries, deprotection of the resist polymer proceeds at room temperature.
  • the exposed photoresist and DBARC layers are developed with a first developer to form patterned structures in the photoresist and DABRC layers. That is, the exposed substrate is contacted with a developer to remove portions of the photoresist layer and portions of the underlying DBARC layer in one step.
  • the first developer is an aqueous alkaline developer. More preferably, the first developer is a TMAH solution. It is further preferred that the concentration of the TMAH solution is about 0.2 N to about 0.3 N.
  • the first developer may further comprise additives, such as surfactants, polymers, isopropanol, ethanol, etc.
  • a developer soluble material is next deposited over the patterned structures in the photoresist and DBARC layers. It is preferred that the developer soluble material is deposited over the photoresist and the underlying DBARC in a manner that it covers or at least substantially covers the features of the patterned structures. For example, if the patterned structure is comprised of a plurality of lines and trenches, then the developer soluble material fills or substantially fills the trenches between the lines and covers the lines of the patterned structure or just reaches the tops of the lines of the patterned structure.
  • the substrate is baked after the developer soluble material is deposited.
  • the bake process can remove any solvent from the developer soluble material and improve the coherence of the developer soluble material layer.
  • a thin intermixing layer is formed at an interface between the photoresist layer and the developer soluble material layer as well as between the DBARC layer and said developer soluble material layer.
  • the thickness of the thin intermixing layer is from about 2 nm to about 30 nm.
  • the acid compound from the developer soluble material layer diffuses into a thin portion of the photoresist and DBARC layers adjacent the developer soluble material layer and renders the thin portion soluble in an aqueous alkaline developer.
  • Preferred bake temperature is from about 60° C. to about 250° C., more preferably from about 120° C. to about 150° C.
  • a typical bake time is from about 60 seconds to about 90 seconds.
  • the coating of the developer soluble material and the thin intermixing layer or the thin portion of the photoresist and DBARC layers adjacent the developer soluble material layer are removed from the substrate using any suitable means, such as using a second developer.
  • the second developer is an aqueous alkaline developer. More preferably, the second developer is a TMAH solution. It is further preferred that the concentration of the TMAH solution between about 0.2 N to about 0.3 N.
  • the second developer may further comprise additives, such as surfactants, polymers, isopropanol, ethanol, etc. In one embodiment, the second developer and the first developer are the same material.
  • the patterned structures formed in the photoresist and DBARC layers in the present invention can be further transferred to the substrate by removing or by ion implanting portions of the substrate not covered by the photoresist and the DBARC. Typically, portions of the substrate are removed by reactive ion etching or some other technique known to one skilled in the art.
  • the method of the invention may be used to create patterned structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, etc. as might be used in the design of integrated circuit devices.
  • a regular BARC material can be used to replace the DBARC material.
  • a section of the BARC layer is revealed.
  • a developer soluble material layer is then deposited over the patterned structures in the photoresist and the revealed section of the BARC layer.
  • the substrate is baked.
  • an intermixing layer is formed at an interface between the BARC layer and the developer soluble material layer.
  • the acid compound from the developer soluble material layer diffuses into at least a portion of the BARC layer adjacent the developer soluble material layer and renders the portion soluble in an aqueous alkaline developer.
  • the developer soluble material layer and the intermixing layer or the portion of the BARC layer adjacent the developer soluble material layer are removed from the substrate using a second developer.
  • a DBARC material is first applied to substrate 110 to provide a DBARC layer 112 ( FIG. 1 a ).
  • a photoresist layer 114 is then applied over the DBARC layer 112 ( FIG. 1 b ).
  • the substrate is pattern-wise exposed to a radiation and then developed with a first developer to form patterned structures 118 and 116 in the photoresist and DBARC layers ( FIG. 1 c ).
  • DBARC residues 120 are primarily present in the open trench areas between the lines of the patterned structures.
  • a layer of a developer soluble material 122 is deposited over the patterned structures in the photoresist and DBARC layers.
  • a second developer is then used to remove the developer soluble material layer 122 and the DBARC residues 120 from the substrate, leaving patterned structures 126 and 124 in the photoresist and DBARC layers ( FIG. 1 e ).
  • a DBARC material is first applied to substrate 210 to provide a DBARC layer 212 ( FIG. 2 a ).
  • a photoresist layer 214 is then applied over the DBARC layer 212 ( FIG. 2 b ).
  • the substrate is pattern-wise exposed to a radiation and then developed with a first developer to form patterned structures 218 and 216 in the photoresist and DBARC layers ( FIG. 2 c ).
  • DBARC residues 220 are primarily present in the open trench areas between the lines of the patterned structures.
  • a layer of a developer soluble material 222 is deposited over the patterned structures in the photoresist and DBARC layers.
  • the substrate is baked to form a thin intermixing layer 228 at an interface between the photoresist and the developer soluble material as well as between the DBARC and the developer soluble material ( FIG. 2 e ).
  • a second developer is then used to remove the developer soluble material layer 222 and the thin intermixing layer 228 from the substrate, leaving patterned structures 226 and 224 in the photoresist and DBARC layers ( FIG. 2 f ).
  • a BARC material is first applied to substrate 310 to provide a BARC layer 312 ( FIG. 3 a ).
  • a photoresist layer 314 is then applied over the BARC layer 312 ( FIG. 3 b ).
  • the substrate is pattern-wise exposed to a radiation and then developed with a first developer to form patterned structures 318 in the photoresist layer, revealing a section of the BARC layer 312 ( FIG. 3 c ).
  • a layer of a developer soluble material 322 is deposited over the patterned structures in the photoresist and the revealed section of the BARC layer.
  • the substrate is baked to form an intermixing layer 328 at an interface between the BARC and the developer soluble material ( FIG. 3 e ).
  • a second developer is then used to remove the developer soluble material layer 322 and the thin intermixing layer 328 from the substrate, leaving patterned structures 326 and 324 in the photoresist and BARC layers ( FIG. 3 f ).
  • FIG. 4 a is a top-down view of patterned resist structures before the layer of the developer soluble material is deposited, which shows residues on top of the patterned structures.
  • FIG. 4 b is a top-down view of patterned resist structures after a layer of the developer soluble material is deposited and then removed with a developer, in which the patterned structures are free of residues.
  • FIG. 5 a is a cross-sectional view of patterned structures before the layer of the developer soluble material is deposited, which shows DBARC residues in the open trench area between the lines of the patterned structures.
  • FIG. 5 b is a cross-sectional view of patterned structures after a layer of the developer soluble material is deposited and then removed with a developer, in which the patterned structures are free of DBARC residues.

Abstract

The present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a developable anti-reflective coating (DBARC) over a substrate; providing a layer of a photoresist over said DBARC layer; pattern-wise exposing said photoresist layer and said DBARC layer to a radiation; developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers; depositing a layer of a developer soluble material over said patterned structures; and removing said developer soluble material with a second developer.

Description

    FIELD OF THE INVENTION
  • This invention relates to a photolithography process for semiconductor fabrication. More particularly, this invention is directed to a method for removing residues from open areas of a patterned substrate in a photolithography process.
  • BACKGROUND OF THE INVENTION
  • Photolithography is commonly used to make miniaturized electronic components such as integrated circuits in semiconductor manufacturing. In a photolithography process, a layer of photoresist is deposited on a substrate, such as a silicon wafer. The substrate is baked to remove any solvent remained in the photoresist layer. The photoresist is then selectively exposed through a photomask with a desired pattern to a source of actinic radiation. The radiation exposure causes a chemical reaction in the exposed areas of the photoresist and creates a latent image corresponding to the mask pattern in the photoresist layer. The photoresist is next developed in a developer solution to remove either the exposed portions of the photoresist for a positive photoresist or the unexposed portions of the photoresist for a negative photoresist. The patterned photoresist can then be used as a mask for subsequent fabrication processes on the substrate, such as deposition, etching, or ion implantation processes.
  • Advances in semiconductor device performance have typically been accomplished through a decrease in semiconductor device dimensions. The demand for ever smaller semiconductor device has made it necessary to use photolithographic techniques using imaging lights of shorter wavelengths such as 300 nm or less. However, the use of lights of shorter wavelengths for imaging has resulted in increased back reflection from substrates which are detrimental to the lithographic performance of photoresists.
  • To reduce the back light reflection from highly reflective substrates, prior art processes have typically used a highly absorbing bottom antireflective coating, generally referred to as BARC. A BARC is applied to a substrate and then a photoresist is deposited on top of the BARC layer. Most BARCs known in the prior art are designed to be removed by dry etch. That is, after the photoresist is pattern-wise exposed and developed to form patterned structures in the photoresist, portions of the BARC not covered by the photoresist are then etched away, thereby transferring the patterned structures to the BARC layer. The patterned structures in the photoresist and BARC layers are further transferred to the substrate by removing or by ion implanting portions of the substrate not covered by the photoresist and the BARC. However, dry etch removal of the BARC often causes thinning of the photoresist layer. Thus, if the etch rate of the BARC material and that of the photoresist are not well matched, the patterned structures in the photoresist may be damaged or not properly transferred into the substrate. In addition, the dry etch process may also cause damage to the substrate which will affect the performance of the final device.
  • Damage to the substrate during dry etch removal processes are especially detrimental to ion implant lithography. Historically, ion implant lithography has avoided the use of BARCs due to the need to implant species such as Arsenic (As), Boron (B) and Phosphorous (P) directly into the silicon surface of a semiconductor substrate. The dry etch processes used to remove a BARC layer could damage the silicon surface, usually by means of oxidation of the silicon surface. However, if the BARC layer is not removed completely prior to ion implanting, the BARC would serve to impede the implant species, causing variation in doping levels that would be harmful to device performance and reliability. On the other hand, it would be desirable to implement a BARC film in order to improve line-width control over the wafer topography which is normally present at the implant mask lithography step, and also to avoid possible resist residues related to the topography or interactions between the resist and the substrate.
  • Developable bottom antireflective coating (DBARC) materials have recently been introduced as an attempt to provide a film interposed between the photoresist and the substrate to act as an anti-reflective layer (for example, U.S. Pat. No. 6,844,131, U.S. Pat. No. 7,261,997, and U.S. Patent Application 2007/0243484). Unlike traditional BARC materials, DBARCs can be removed during the resist develop stage, thereby eliminating the dry etch removal step. While the DBARC materials show great promise for being used in ion implant lithography, they often exhibit residues which can block the implant species and degrade the devices. Thus, it is desired to develop processes to remove residues from a patterned substrate in conjunction with the use of DBARC materials in a photolithographic process.
  • SUMMARY OF THE INVENTION
  • The present invention provides a method to remove residues from open areas of a patterned substrate. The method of the invention is generally characterized by applying a layer of a developer soluble material applied on top of patterned structures in a photoresist, followed by removing the developer soluble material with a developer.
  • In one aspect, the present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a developable bottom anti-reflective coating (DBARC) over a substrate; providing a layer of a photoresist over said DBARC layer; pattern-wise exposing said photoresist layer and said DBARC layer to a radiation; developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers; depositing a layer of a developer soluble material over said patterned structures; and removing said developer soluble material with a second developer.
  • In another aspect, the present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a developable bottom anti-reflective coating (DBARC) over a substrate; providing a layer of a photoresist over said DBARC layer; pattern-wise exposing said photoresist layer and said DBARC layer to a radiation; developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers; depositing a layer of a developer soluble material over said patterned structures; baking said substrate to form an intermixing layer at an interface between said photoresist and said developer soluble material as well as between said DBARC and said developer soluble material; and removing said developer soluble material and said intermixing layer with a second developer.
  • In still another aspect, the present invention relates to a method for removing residues from open areas of a patterned substrate involving the steps of providing a layer of a bottom anti-reflective coating (BARC) over a substrate; providing a layer of a photoresist over said BARC layer; pattern-wise exposing said photoresist layer to a radiation; developing said photoresist layer with a first developer to form patterned structures in said photoresist layer, revealing a section of said BARC layer; depositing a layer of a developer soluble material over said patterned structures and said revealed section of said BARC layer; baking said substrate such that at least a portion of said exposed section of said BARC layer is rendered soluble in a second developer; and removing said developer soluble material and said at least portion of the revealed section of said BARC layer with said second developer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention.
  • FIGS. 1 a-1 e illustrate cross-sectional views showing processing steps for removing residues from open areas of a patterned substrate using a developer soluble material in accordance with one embodiment of the present invention.
  • FIGS. 2 a-2 f illustrate cross-sectional views showing processing steps for removing residues from open areas of a patterned substrate using a developer soluble material for in accordance with another embodiment of the present invention.
  • FIGS. 3 a-3 f illustrate cross-sectional views showing processing steps for removing residues from open areas of a patterned substrate using a developer soluble material for in accordance with still another embodiment of the present invention.
  • FIG. 4 a is a scanning electron micrograph showing a top-down view of patterned resist structures before a layer of the developer soluble material is deposited. FIG. 4 b is a scanning electron micrograph showing a top-down view of patterned resist structures after a layer of the developer soluble material is deposited and then removed with a developer.
  • FIG. 5 a is a scanning electron micrograph showing a cross-sectional view of patterned resist structures before a layer of the developer soluble material is deposited.
  • FIG. 5 b is a scanning electron micrograph showing a cross-sectional view of patterned resist structures after a layer of the developer soluble material is deposited and then removed with a developer.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In describing the preferred embodiments of the present invention, reference will be made herein to FIGS. 1 a-1 e, 2 a-2 f, 3 a-3 f, 4 a-4 b and 5 a-5 b of the drawings in which like numerals refer to like features of the invention. Features of the invention are not necessarily shown to scale in the drawings.
  • The present invention involves using a developer soluble material to remove residues from open areas of a patterned substrate. The developer soluble material is a material soluble in any developer suitable for a photolithographic process. Such a developer includes aqueous solutions, base solutions, acid solutions, and organic solvents. The developer soluble material includes water soluble polymers, base soluble polymers, acid soluble polymers, and organic solvent soluble polymers. The developer soluble material may also include acid compounds and base compounds. In one embodiment, the developer soluble material includes a polymer containing an acidic group. Examples of suitable acidic groups include, but are not limited to, carboxylic acid, fluoroalcohol, and fluorosulfonamide. In another embodiment, the developer soluble material includes an acid compound. Specific examples of the acid compound include sulfonic acids, carboxylic acids, and polycarboxylic acids and mixtures thereof. In still another embodiment, the developer soluble material includes a base compound. Specific examples of the base compound include alkaline metal, alkaline earth metal and ammonium hydroxides, silicates, phosphates, borates, carbonates, and amines and mixtures thereof. It is preferred that the developer soluble material is soluble in aqueous alkaline developers. More preferably, the developer soluble material is soluble in a tetramethylammonium hydroxide (TMAH) developer solution.
  • The developer soluble material may further include at least one solvent. The solvent is preferably immiscible with the underlying photoresist and DBARC materials. Suitable solvents include, but are not limited to, 1-butanol, methanol, ethanol, 1-propanol, ethylene glycol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 1,2-propanediol, 1,3-propanediol, and 4-methyl 1-pentanol. In one embodiment, the developer soluble materials are top coat materials commonly used at 193 nm immersion lithography.
  • Initially, a layer of a DBARC is formed over a substrate. The substrate in the present invention is suitably any substrate conventionally used in processes involving photoresists. For example, the substrate can be silicon, silicon oxide, aluminum-aluminum oxide, gallium arsenide, ceramic, quartz, copper or any combination thereof including multilayers.
  • Any DBARC material conventionally used in a photolithographic process may be employed in the present invention. In one embodiment, the DBARC material is soluble in an aqueous alkaline developer. In another embodiment, the DBARC material is initially insoluble in the aqueous alkaline developer, but becomes soluble after exposure and bake. The DBARC may be applied by virtually any standard means including spin coating. The substrate with the DBARC layer may be baked to remove any solvent from the DBARC material and improve the coherence of the DBARC layer. The preferred range of the bake temperature for the DBARC layer is from about 70° C. to about 190° C., more preferably from about 150° C. to about 170° C. A typical bake time is from about 60 seconds to about 90 seconds. The preferred range of thickness of the DBARC layer is from about 20 nm to about 100 nm, more preferably from about 40 nm to about 60 nm.
  • Next, a layer of a photoresist is applied over the DBARC layer. The photoresist layer in the present invention may be formed from any photoresist conventionally used in semiconductor industry. Preferably, the photoresist is a chemically amplified resist, such as a 193 nm or 248 nm photoresist. Both positive-tone resists and negative-tone resists are suitable to be used in the present invention. The photoresist may be applied by virtually any standard means including spin coating. The substrate with the photoresist layer may be baked (PAB) to remove any solvent from the photoresist and improve the coherence of the photoresist layer. The preferred range of the PAB temperature for the photoresist layer is from about 70° C. to about 150° C., more preferably from about 90° C. to about 130° C. A typical bake time is from about 60 seconds to about 90 seconds. The preferred range of thickness of the photoresist layer is from about 20 nm to about 400 nm, more preferably from about 50 nm to about 300 nm.
  • The substrate is then exposed pattern-wise to a radiation. Preferably, the pattern-wise exposure is accomplished through a patterned mask. The patterned masks employed in the present invention can be any photomasks commonly used in photolithographic processes including attenuated phase shift masks (PSMs). The radiation employed in the present invention can be visible light, ultraviolet (UV), extreme ultraviolet (EUV) and electron beam (E-beam). In one exemplary embodiment, the imaging wavelength of the radiation is about 193 nm. In another exemplary embodiment, the imaging wavelength of the radiation is about 248 nm.
  • In one embodiment, the exposed substrate is baked (post exposure bake, or PEB) to promote the chemical reaction in the photoresist and/or to improve the coherence of the photoresist and coating layers. Preferred PEB temperature is from about 70° C. to about 120° C., more preferably from about 90° C. to about 110° C. In some instances, it is possible to avoid the PEB step since for certain chemistries, such as acetal and ketal chemistries, deprotection of the resist polymer proceeds at room temperature.
  • The exposed photoresist and DBARC layers are developed with a first developer to form patterned structures in the photoresist and DABRC layers. That is, the exposed substrate is contacted with a developer to remove portions of the photoresist layer and portions of the underlying DBARC layer in one step. It is preferred that the first developer is an aqueous alkaline developer. More preferably, the first developer is a TMAH solution. It is further preferred that the concentration of the TMAH solution is about 0.2 N to about 0.3 N. The first developer may further comprise additives, such as surfactants, polymers, isopropanol, ethanol, etc.
  • A developer soluble material is next deposited over the patterned structures in the photoresist and DBARC layers. It is preferred that the developer soluble material is deposited over the photoresist and the underlying DBARC in a manner that it covers or at least substantially covers the features of the patterned structures. For example, if the patterned structure is comprised of a plurality of lines and trenches, then the developer soluble material fills or substantially fills the trenches between the lines and covers the lines of the patterned structure or just reaches the tops of the lines of the patterned structure.
  • Optionally, the substrate is baked after the developer soluble material is deposited. The bake process can remove any solvent from the developer soluble material and improve the coherence of the developer soluble material layer. In one embodiment, during the bake process, a thin intermixing layer is formed at an interface between the photoresist layer and the developer soluble material layer as well as between the DBARC layer and said developer soluble material layer. The thickness of the thin intermixing layer is from about 2 nm to about 30 nm. In another embodiment, the acid compound from the developer soluble material layer diffuses into a thin portion of the photoresist and DBARC layers adjacent the developer soluble material layer and renders the thin portion soluble in an aqueous alkaline developer. Preferred bake temperature is from about 60° C. to about 250° C., more preferably from about 120° C. to about 150° C. A typical bake time is from about 60 seconds to about 90 seconds.
  • The coating of the developer soluble material and the thin intermixing layer or the thin portion of the photoresist and DBARC layers adjacent the developer soluble material layer are removed from the substrate using any suitable means, such as using a second developer. It is preferred that the second developer is an aqueous alkaline developer. More preferably, the second developer is a TMAH solution. It is further preferred that the concentration of the TMAH solution between about 0.2 N to about 0.3 N. The second developer may further comprise additives, such as surfactants, polymers, isopropanol, ethanol, etc. In one embodiment, the second developer and the first developer are the same material.
  • The patterned structures formed in the photoresist and DBARC layers in the present invention can be further transferred to the substrate by removing or by ion implanting portions of the substrate not covered by the photoresist and the DBARC. Typically, portions of the substrate are removed by reactive ion etching or some other technique known to one skilled in the art. The method of the invention may be used to create patterned structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, etc. as might be used in the design of integrated circuit devices.
  • In the present invention, it is possible that a regular BARC material can be used to replace the DBARC material. After the photoresist is exposed and developed, a section of the BARC layer is revealed. A developer soluble material layer is then deposited over the patterned structures in the photoresist and the revealed section of the BARC layer. The substrate is baked. In one embodiment, during the bake process, an intermixing layer is formed at an interface between the BARC layer and the developer soluble material layer. In another embodiment, the acid compound from the developer soluble material layer diffuses into at least a portion of the BARC layer adjacent the developer soluble material layer and renders the portion soluble in an aqueous alkaline developer. The developer soluble material layer and the intermixing layer or the portion of the BARC layer adjacent the developer soluble material layer are removed from the substrate using a second developer.
  • It is further possible that the steps of depositing of the layer of the developer soluble material and removing the developer soluble material with the second developer can be repeated if necessary.
  • Three specific embodiments of the method of the present invention are now described in connection with Figures. In one embodiment, a DBARC material is first applied to substrate 110 to provide a DBARC layer 112 (FIG. 1 a). A photoresist layer 114 is then applied over the DBARC layer 112 (FIG. 1 b). The substrate is pattern-wise exposed to a radiation and then developed with a first developer to form patterned structures 118 and 116 in the photoresist and DBARC layers (FIG. 1 c). In FIG. 1 c, DBARC residues 120 are primarily present in the open trench areas between the lines of the patterned structures. In FIG. 1 d, a layer of a developer soluble material 122 is deposited over the patterned structures in the photoresist and DBARC layers. A second developer is then used to remove the developer soluble material layer 122 and the DBARC residues 120 from the substrate, leaving patterned structures 126 and 124 in the photoresist and DBARC layers (FIG. 1 e).
  • In another embodiment, a DBARC material is first applied to substrate 210 to provide a DBARC layer 212 (FIG. 2 a). A photoresist layer 214 is then applied over the DBARC layer 212 (FIG. 2 b). The substrate is pattern-wise exposed to a radiation and then developed with a first developer to form patterned structures 218 and 216 in the photoresist and DBARC layers (FIG. 2 c). In FIG. 2 c, DBARC residues 220 are primarily present in the open trench areas between the lines of the patterned structures. In FIG. 2 d, a layer of a developer soluble material 222 is deposited over the patterned structures in the photoresist and DBARC layers. The substrate is baked to form a thin intermixing layer 228 at an interface between the photoresist and the developer soluble material as well as between the DBARC and the developer soluble material (FIG. 2 e). A second developer is then used to remove the developer soluble material layer 222 and the thin intermixing layer 228 from the substrate, leaving patterned structures 226 and 224 in the photoresist and DBARC layers (FIG. 2 f).
  • In still another embodiment, a BARC material is first applied to substrate 310 to provide a BARC layer 312 (FIG. 3 a). A photoresist layer 314 is then applied over the BARC layer 312 (FIG. 3 b). The substrate is pattern-wise exposed to a radiation and then developed with a first developer to form patterned structures 318 in the photoresist layer, revealing a section of the BARC layer 312 (FIG. 3 c). In FIG. 3 d, a layer of a developer soluble material 322 is deposited over the patterned structures in the photoresist and the revealed section of the BARC layer. The substrate is baked to form an intermixing layer 328 at an interface between the BARC and the developer soluble material (FIG. 3 e). A second developer is then used to remove the developer soluble material layer 322 and the thin intermixing layer 328 from the substrate, leaving patterned structures 326 and 324 in the photoresist and BARC layers (FIG. 3 f).
  • FIG. 4 a is a top-down view of patterned resist structures before the layer of the developer soluble material is deposited, which shows residues on top of the patterned structures. In contrast, FIG. 4 b is a top-down view of patterned resist structures after a layer of the developer soluble material is deposited and then removed with a developer, in which the patterned structures are free of residues.
  • FIG. 5 a is a cross-sectional view of patterned structures before the layer of the developer soluble material is deposited, which shows DBARC residues in the open trench area between the lines of the patterned structures. In contrast, FIG. 5 b is a cross-sectional view of patterned structures after a layer of the developer soluble material is deposited and then removed with a developer, in which the patterned structures are free of DBARC residues.
  • While the present invention has been particularly shown and described with respect to preferred embodiments, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated but fall within the scope of the appended claims.

Claims (20)

1. A method for removing residues from open areas of a patterned substrate comprising:
providing a layer of a developable bottom anti-reflective coating (DBARC) over a substrate;
providing a layer of a photoresist over said DBARC layer;
pattern-wise exposing said photoresist layer and said DBARC layer to a radiation;
developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers;
depositing a layer of a developer soluble material over said patterned structures; and
removing said developer soluble material with a second developer.
2. The method of claim 1, wherein said photoresist is a chemically amplified resist.
3. The method of claim 1, wherein said first and second developers are aqueous alkaline developers.
4. The method of claim 3, wherein said first and second developers both comprise a tetramethylammonium hydroxide (TMAH) developer solution.
5. The method of claim 1, wherein said developer soluble material comprises a polymer containing an acidic group.
6. The method of claim 5, wherein said acidic group comprises carboxylic acid, fluoroalcohol, and fluorosulfonamide.
7. The method of claim 1, wherein said developer soluble material layer is soluble in aqueous alkaline developers.
8. The method of claim 1, further comprising:
baking said substrate after depositing said layer of said developer soluble material over said patterned structures.
9. The method of claim 1, further comprising:
etching or ion implanting portions of said substrate not covered by said photoresist and said DBARC, thereby transferring said patterned structures into said substrate.
10. A method for removing residues from open areas on a patterned substrate comprising:
providing a layer of a developable bottom anti-reflective coating (DBARC) over a substrate;
providing a layer of a photoresist over said DBARC layer;
pattern-wise exposing said photoresist layer and said DBARC layer to a radiation;
developing said photoresist layer and said DBARC layer with a first developer to form patterned structures in said photoresist and DBARC layers;
depositing a layer of a developer soluble material over said patterned structures;
baking said substrate to form an intermixing layer at an interface between said photoresist and said developer soluble material as well as between said DBARC and said developer soluble material; and
removing said developer soluble material and said intermixing layer with a second developer.
11. The method of claim 10, wherein the temperature of said baking step is from about 60° C. to about 250° C.
12. The method of claim 10, wherein said photoresist is a chemically amplified resist.
13. The method of claim 10, wherein said first and second developers are aqueous alkaline developers.
14. The method of claim 13, wherein said first and second developers both comprise a tetramethylammonium hydroxide (TMAH) developer solution.
15. The method of claim 10, wherein said developer soluble material comprises a polymer containing an acidic group.
16. The method of claim 15, wherein said acidic group comprises carboxylic acid, fluoroalcohol, and fluorosulfonamide.
17. The method of claim 10, wherein said developer soluble material layer is soluble in aqueous alkaline developers.
18. The method of claim 10, further comprising:
etching or ion implanting portions of said substrate not covered by said photoresist and said DBARC, thereby transferring said patterned structures into said substrate.
19. A method for removing residues from open areas of a patterned substrate comprising:
providing a layer of a bottom anti-reflective coating (BARC) over a substrate;
providing a layer of a photoresist over said BARC layer;
pattern-wise exposing said photoresist layer to a radiation;
developing said photoresist layer with a first developer to form patterned structures in said photoresist layer, revealing a section of said BARC layer;
depositing a layer of a developer soluble material over said patterned structures and said revealed section of said BARC layer;
baking said substrate such that at least a portion of said exposed section of said BARC layer is rendered soluble in a second developer; and
removing said developer soluble material and said at least portion of the revealed section of said BARC layer with said second developer.
20. The method of claim 19, further comprising:
etching or ion implanting portions of said substrate not covered by said photoresist and said BARC, thereby transferring said patterned structures into said substrate.
US12/055,648 2008-03-26 2008-03-26 Method for removing residues from a patterned substrate Expired - Fee Related US8053368B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/055,648 US8053368B2 (en) 2008-03-26 2008-03-26 Method for removing residues from a patterned substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/055,648 US8053368B2 (en) 2008-03-26 2008-03-26 Method for removing residues from a patterned substrate

Publications (2)

Publication Number Publication Date
US20090246958A1 true US20090246958A1 (en) 2009-10-01
US8053368B2 US8053368B2 (en) 2011-11-08

Family

ID=41117887

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/055,648 Expired - Fee Related US8053368B2 (en) 2008-03-26 2008-03-26 Method for removing residues from a patterned substrate

Country Status (1)

Country Link
US (1) US8053368B2 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090297784A1 (en) * 2008-04-23 2009-12-03 Hao Xu Photosensitive hardmask for microlithography
US20110049680A1 (en) * 2009-09-01 2011-03-03 International Business Machines Corporation Dual exposure track only pitch split process
WO2012094183A2 (en) * 2011-01-07 2012-07-12 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
CN103390540A (en) * 2012-05-10 2013-11-13 台湾积体电路制造股份有限公司 Method of removing residue during semiconductor device fabrication
US8703397B1 (en) 2012-03-29 2014-04-22 Western Digital (Fremont), Llc Method for providing side shields for a magnetic recording transducer
CN103943555A (en) * 2014-04-28 2014-07-23 上海华力微电子有限公司 Active region manufacturing method
US20140234761A1 (en) * 2011-10-27 2014-08-21 Fujifilm Corporation Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, resist composition, method for manufacturing electronic device, and electronic device
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US8986920B2 (en) 2012-11-13 2015-03-24 Nanya Technology Corporation Method for forming quarter-pitch patterns
US9001467B1 (en) 2014-03-05 2015-04-07 Western Digital (Fremont), Llc Method for fabricating side shields in a magnetic writer
US9012133B2 (en) 2011-08-30 2015-04-21 International Business Machines Corporation Removal of alkaline crystal defects in lithographic patterning
US20160358778A1 (en) * 2015-06-02 2016-12-08 Samsung Electronics Co., Ltd. Methods of forming patterns using photoresists
CN106298442A (en) * 2015-05-25 2017-01-04 中芯国际集成电路制造(上海)有限公司 The sweep-out method of residue
US20170092497A1 (en) * 2015-09-30 2017-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating semiconductor device
US9768022B2 (en) * 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate
CN110262197A (en) * 2019-05-15 2019-09-20 信利光电股份有限公司 A kind of cleaning method of black photoresist substrate mist
US11530375B2 (en) * 2018-10-11 2022-12-20 Semes Co., Ltd. Substrate cleaning compositions, substrate cleaning method and substrate treating apparatus

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102003334B1 (en) 2012-09-04 2019-07-24 삼성전자주식회사 Method of forming patterns

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6492075B1 (en) * 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7261997B2 (en) * 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US20070243484A1 (en) * 2006-04-18 2007-10-18 Chen Kuang-Jung J Wet developable bottom antireflective coating composition and method for use thereof
US7749904B2 (en) * 2004-02-27 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6492075B1 (en) * 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
US6844131B2 (en) * 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US7261997B2 (en) * 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US7749904B2 (en) * 2004-02-27 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a dual damascene structure
US20070243484A1 (en) * 2006-04-18 2007-10-18 Chen Kuang-Jung J Wet developable bottom antireflective coating composition and method for use thereof

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090297784A1 (en) * 2008-04-23 2009-12-03 Hao Xu Photosensitive hardmask for microlithography
US7939244B2 (en) * 2008-04-23 2011-05-10 Brewer Science Inc. Photosensitive hardmask for microlithography
US20110049680A1 (en) * 2009-09-01 2011-03-03 International Business Machines Corporation Dual exposure track only pitch split process
US7994060B2 (en) * 2009-09-01 2011-08-09 International Business Machines Corporation Dual exposure track only pitch split process
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US8507191B2 (en) 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
WO2012094183A3 (en) * 2011-01-07 2013-01-17 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
WO2012094183A2 (en) * 2011-01-07 2012-07-12 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
US9012133B2 (en) 2011-08-30 2015-04-21 International Business Machines Corporation Removal of alkaline crystal defects in lithographic patterning
US9417528B2 (en) 2011-10-27 2016-08-16 Fujifilm Corporation Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, resist composition, method for manufacturing electronic device, and electronic device
US20140234761A1 (en) * 2011-10-27 2014-08-21 Fujifilm Corporation Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, resist composition, method for manufacturing electronic device, and electronic device
US9075310B2 (en) * 2011-10-27 2015-07-07 Fujifilm Corporation Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, resist composition, method for manufacturing electronic device, and electronic device
US8703397B1 (en) 2012-03-29 2014-04-22 Western Digital (Fremont), Llc Method for providing side shields for a magnetic recording transducer
CN103390540A (en) * 2012-05-10 2013-11-13 台湾积体电路制造股份有限公司 Method of removing residue during semiconductor device fabrication
TWI490913B (en) * 2012-11-13 2015-07-01 Nanya Technology Corp Method for forming quarter-pitch patterns
US8986920B2 (en) 2012-11-13 2015-03-24 Nanya Technology Corporation Method for forming quarter-pitch patterns
US9001467B1 (en) 2014-03-05 2015-04-07 Western Digital (Fremont), Llc Method for fabricating side shields in a magnetic writer
CN103943555A (en) * 2014-04-28 2014-07-23 上海华力微电子有限公司 Active region manufacturing method
CN106298442A (en) * 2015-05-25 2017-01-04 中芯国际集成电路制造(上海)有限公司 The sweep-out method of residue
CN106298442B (en) * 2015-05-25 2020-11-27 中芯国际集成电路制造(上海)有限公司 Method for removing residue
US9772555B2 (en) * 2015-06-02 2017-09-26 Samsung Electronics Co., Ltd. Methods of forming patterns using photoresists
US20160358778A1 (en) * 2015-06-02 2016-12-08 Samsung Electronics Co., Ltd. Methods of forming patterns using photoresists
US20170092497A1 (en) * 2015-09-30 2017-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating semiconductor device
US10649336B2 (en) * 2015-09-30 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating semiconductor device
TWI701526B (en) * 2016-01-27 2020-08-11 台灣積體電路製造股份有限公司 Lithography method
US9768022B2 (en) * 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate
US11530375B2 (en) * 2018-10-11 2022-12-20 Semes Co., Ltd. Substrate cleaning compositions, substrate cleaning method and substrate treating apparatus
CN110262197A (en) * 2019-05-15 2019-09-20 信利光电股份有限公司 A kind of cleaning method of black photoresist substrate mist

Also Published As

Publication number Publication date
US8053368B2 (en) 2011-11-08

Similar Documents

Publication Publication Date Title
US8053368B2 (en) Method for removing residues from a patterned substrate
US9316916B2 (en) Method to mitigate resist pattern critical dimension variation in a double-exposure process
US7358111B2 (en) Imageable bottom anti-reflective coating for high resolution lithography
US9012132B2 (en) Coating material and method for photolithography
US8530147B2 (en) Patterning process
US8435728B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
WO2010080758A1 (en) Substrate planarization with imprint materials and processes
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
US6764946B1 (en) Method of controlling line edge roughness in resist films
TWI793079B (en) Method of semiconductor device fabrication
US20130330672A1 (en) Method for enhancing lithographic imaging of isolated and semi-isolated features
US20100167213A1 (en) Semiconductor device manufacturing method
US20080102648A1 (en) Method and System For Making Photo-Resist Patterns
US20070264594A1 (en) Method of Inhibiting Photoresist Pattern Collapse
US8138059B2 (en) Semiconductor device manufacturing method
CN108231550B (en) Method for manufacturing semiconductor device
US20240030029A1 (en) Patterning Method Using Secondary Resist Surface Functionalization for Mask Formation
KR102344906B1 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
Radak Department Physics Iran University of Science and Technology, Tehran* Responsible author: m_radak@ physics. iust. ac. ir
CN116721909A (en) Method for manufacturing deep trench photoetching alignment mark
KR20060054681A (en) Method of forming photoresist pattern and layer pattern
CN115524944A (en) Method and system for manufacturing semiconductor device
US8323876B1 (en) Methods of forming integrated circuit devices using anti-penetration films to block acid transfer into anti-reflective coatings
KR20080015378A (en) Fabricating method of a semiconductor integrated circuit device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BURNS, SEAN D.;COLBURN, MATTHEW E.;HOLMES, STEVEN J.;REEL/FRAME:020712/0511

Effective date: 20080321

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20151108