US20090250429A1 - Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby - Google Patents

Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby Download PDF

Info

Publication number
US20090250429A1
US20090250429A1 US12/485,306 US48530609A US2009250429A1 US 20090250429 A1 US20090250429 A1 US 20090250429A1 US 48530609 A US48530609 A US 48530609A US 2009250429 A1 US2009250429 A1 US 2009250429A1
Authority
US
United States
Prior art keywords
layer
forming
wiring pattern
etch
barrier metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/485,306
Other versions
US20120193322A9 (en
Inventor
Boung Ju Lee
Heon Jong Shin
Hee Sung Kang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/485,306 priority Critical patent/US20120193322A9/en
Publication of US20090250429A1 publication Critical patent/US20090250429A1/en
Publication of US20120193322A9 publication Critical patent/US20120193322A9/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to methods of forming metal wiring patterns and, more particularly, to methods of forming metal wiring patterns using dual-damascene techniques and metal wiring patterns formed thereby.
  • Metal wiring patterns used in integrated circuit devices are frequently formed of copper (Cu) because copper has a relatively low resistivity, particularly compared to metal wiring patterns formed of other materials such as aluminum (Al). These metal wiring patterns are frequently separated from each other by intermetal dielectric layers. In order to reduce the parasitic capacitance between adjacent metal wiring patterns and reduce their RC delay, dielectric layers having relatively low dielectric constant values (i.e., low-K dielectrics) have been used as intermetal dielectric layers.
  • Damascene processing techniques that utilize low-K dielectrics have been used to define copper wiring patterns on integrated circuit substrates. These techniques frequently include forming a low-K dielectric layer on a first copper wiring pattern and then forming via holes and trenches in the low-K dielectric layer, which expose upper surfaces of the first copper wiring pattern. These via holes and trenches are then filled with a copper wiring layer, which may be formed using an electroplating technique. Planarization techniques such as chemical-mechanical polishing (CMP), may then be used to planarize the copper wiring layer into a plurality of second copper wiring patterns and thereby complete a dual-damascene wiring fabrication process.
  • CMP chemical-mechanical polishing
  • FIGS. 1A-1D An example of a conventional dual-damascene processing technique is illustrated by FIGS. 1A-1D .
  • a first low-K dielectric layer 10 is formed on a substrate (e.g., semiconductor substrate).
  • This first low-K dielectric layer 10 may be patterned to define a trench therein, which is subsequently filled with a lower metal line 12 (e.g., copper line).
  • An etch stop layer 14 and an electrically insulating layer 16 e.g., silicon dioxide
  • FIG. 1B a second low-K dielectric layer 17 is formed on the electrically insulating layer 16 .
  • This oxide residue may be removed by exposing the lower metal line 12 to a wet cleaning solution containing, for example, diluted HF (DHF).
  • a wet cleaning solution containing, for example, diluted HF (DHF).
  • DHF diluted HF
  • this exposure to the wet cleaning solution may also result in the formation of undercut regions 20 within the electrically insulating layer 16 , which may react with the cleaning solution.
  • a step is performed to deposit a first barrier metal layer in the via hole 18 using a physical vapor deposition (PVD) technique, for example.
  • This first barrier metal layer may be a tantalum nitride layer having a thickness in a range from about 50 ⁇ to about 100 ⁇ .
  • This first barrier metal layer operates as a copper diffusion barrier during subsequent processing steps.
  • the presence of the undercut regions 20 may preclude the formation of a uniform first barrier metal layer on the sidewall of the via hole 18 .
  • a directional etching step may then be performed to remove a portion of the first barrier metal layer from an upper surface of the lower metal line 12 and thereby pattern the first barrier metal layer into sidewall barrier segments 22 . This removal of the first barrier metal layer from the lower metal line 12 may operate to decrease the contact resistance between lower metal line 12 and a subsequently formed copper plug.
  • the directional etching of the first barrier metal layer to achieve exposure of the upper surface of the lower metal line 12 may result in the formation of resputtered copper spacers 24 on lower sidewalls of the via hole 18 .
  • copper atoms from the copper spacers 24 may become incorporated into the second low-K dielectric layer 17 .
  • Such penetration of copper into the second low-K dielectric layer 17 may increase leakage currents between adjacent metal lines formed in the second low-K dielectric layer 17 . This increase in leakage current may degrade device reliability by increasing time dependent dielectric breakdown (TDDB) within the second low-K dielectric layer 17 .
  • TDDB time dependent dielectric breakdown
  • a second barrier metal layer 26 is then conformally deposited into the via hole 18 using, for example, physical vapor deposition (PVD).
  • This second barrier metal layer 26 may be an adhesion enhancing tantalum layer (Ta) having a thickness in a range from about 40 ⁇ to about 200 ⁇ .
  • a blanket copper seed layer (not shown) may then be deposited on the second barrier metal layer 26 and followed by copper electroplating to fill the via hole 18 . Planarization techniques may then be performed to define an upper metal line 28 within the via hole 18 .
  • Embodiments of the present invention include methods of forming an integrated circuit by forming a first metal wiring pattern (e.g., copper wiring pattern) on an integrated circuit substrate and forming an etch-stop layer on the first metal wiring pattern. These steps are followed by forming an electrically insulating layer on the etch-stop layer and forming an inter-metal dielectric layer on the electrically insulating layer.
  • the inter-metal dielectric layer and the electrically insulating layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer. This opening may include a trench and a via hole extending downward from a bottom of the trench.
  • a first barrier metal layer is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer.
  • a portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer. This selective removal may be performed using an anisotropic etching step.
  • the first portion of the etch-stop layer is then selectively etched for a sufficient duration to expose a portion of the first metal wiring pattern. During this etching step, the first barrier metal layer is used as an etching mask.
  • a second metal wiring pattern (e.g., upper copper wiring pattern) is then formed in the opening in order to complete a dual-damascene structure.
  • the step of forming a second metal wiring pattern may be preceded by a step of forming a second barrier metal layer on the sidewall of the opening and on the exposed portion of the first metal wiring pattern.
  • a step may be performed to selectively etch a portion of the second barrier metal layer for a sufficient duration to expose the portion of the first metal wiring pattern.
  • Still further embodiments of the present invention include methods of forming an integrated circuit by forming a first copper wiring pattern on an integrated circuit substrate and forming an etch-stop layer comprising SiCN on the first copper wiring pattern.
  • a silicon dioxide layer having a thickness in a range from about 100 ⁇ to about 500 ⁇ is formed on the etch-stop layer and a inter-metal dielectric layer comprising SiCOH is formed on the silicon dioxide layer.
  • the inter-metal dielectric layer and the silicon dioxide layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer.
  • a first barrier metal layer comprising tantalum is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer.
  • a portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer.
  • the first portion of the etch-stop layer is etched for a sufficient duration to expose a portion of an upper surface of the first copper wiring pattern.
  • the first barrier metal layer is used as an etching mask.
  • a second barrier metal layer containing tantalum is then formed, which extends on the first barrier metal layer, a sidewall of the etch-stop layer and the exposed portion of the first copper wiring pattern.
  • the second barrier metal layer is selectively etched to expose the first copper wiring pattern.
  • a third barrier metal layer containing tantalum is formed on the second barrier metal layer and directly on the first copper wiring pattern. Thereafter, the opening is filled with a second copper wiring pattern to complete the dual-damascene copper interconnect structure.
  • FIGS. 1A-1D are cross-sectional views of intermediate structures that illustrate conventional methods of forming dual-damascene copper interconnect structures for integrated circuits.
  • FIGS. 2A-2H are cross-sectional views of intermediate structures that illustrate methods of forming dual-damascene copper interconnect structures for integrated circuits, according to embodiments of the present invention.
  • FIGS. 2A-2E and 3 are cross-sectional views of intermediate structures that illustrate methods of forming dual-damascene copper interconnect structures for integrated circuits, according to embodiments of the present invention.
  • Methods of forming dual-damascene copper interconnect structures include forming a first dielectric layer 205 on a primary surface of a semiconductor substrate 203 having a plurality of active semiconductor devices (not shown) therein.
  • This first dielectric layer 205 may be a low-K dielectric layer, such as SiCOH, having a thickness in a range from about 2,000 ⁇ to about 20,000 ⁇ .
  • the first dielectric layer 205 is patterned to define a recess/trench therein.
  • This recess/trench is lined with a lower barrier metal layer 207 (e.g., tantalum (Ta)) and filled with a lower metal line 210 (e.g., Copper (Cu)) using conventional techniques.
  • a lower barrier metal layer 207 e.g., tantalum (Ta)
  • a lower metal line 210 e.g., Copper (Cu)
  • a chemical mechanical polishing (CMP) step may be performed to planarize the lower metal line 210 with an upper surface of the first dielectric layer 205 .
  • An etch-stop layer 212 is then deposited onto the first dielectric layer 205 .
  • This etch-stop layer 212 may have a thickness in a range from about 200 ⁇ to about 1000 ⁇ and may be formed of a material such as SiCN.
  • the etch-stop layer 212 may be deposited using a chemical-vapor deposition (CVD) technique, for example.
  • a second interlayer-dielectric layer 220 is formed on the etch-stop layer 212 .
  • This second interlayer-dielectric layer 220 includes a first insulating layer 214 , which may be formed of silicon dioxide, and a second insulating layer 216 , which may be a low-K dielectric layer (e.g., SiCOH layer).
  • the first insulating layer 214 may have a thickness in a range from about 100 ⁇ to about 500 ⁇ and the second insulating layer 216 may have a thickness in a range from about 2,000 ⁇ to about 10,000 ⁇ .
  • a hard mask layer 225 is deposited onto the second interlayer-dielectric layer 220 .
  • This hard mask layer 225 may be a silicon dioxide layer having a thickness in a range from about 200 ⁇ to about 1,500 ⁇ .
  • a plurality of selective etching and patterning steps are then performed on the structure illustrated by FIG. 2A .
  • the hard mask layer 225 and the second interlayer-dielectric layer 220 are selectively etched to define a via hole 230 and a trench 235 therein, as illustrated.
  • the via hole 230 may be a generally cylindrical via hole 230 of limited lateral dimension, but the trench may be substantially larger and extend laterally as a trench pattern in a third dimension (not shown).
  • the etch-stop layer 212 is configured to block exposure of the lower metal line 210 during the selective etching steps to define the via hole 230 .
  • a first barrier metal layer 240 is then conformally deposited onto the structure illustrated by FIG. 2B .
  • This first barrier metal layer 240 which lines sidewalls of the trench 235 and via hole 230 , may be a tantalum layer, a tantalum nitride layer or a composite layer containing tantalum and tantalum nitride.
  • the first barrier metal layer 240 may have a thickness in a range from about 30 ⁇ to about 100 ⁇ .
  • an anisotropic etching step is performed to selectively etch horizontal portions of the first barrier metal layer 240 and define first barrier metal layer spacers 240 a on sidewalls of the via hole 230 and trench 235 .
  • These first barrier metal layer spacers 240 a may then be used as an etching mask during an etching step that selectively removes an exposed portion of the etch-stop layer 212 . This removal of an exposed portion of the etch-stop layer 212 results in an exposure of a portion of an upper surface 218 of the lower metal line 210 .
  • Any formation of a native oxide on the exposed portion of the upper surface 218 of the lower metal line 210 may be removed using a wet cleaning process.
  • This cleaning process may involve exposing the surface 218 to a cleaning solution containing diluted hydrofluoric acid (DHF).
  • DHF diluted hydrofluoric acid
  • the first barrier metal layer spacers 240 a operate to inhibit lateral chemical etching of the first insulating layer 214 by DHF.
  • a sputter etching technique may be used to remove any native oxide from the upper surface 218 .
  • a second barrier metal layer 245 is then conformally deposited into the via hole 230 and trench 235 in order to cover and protect exposed portions of the second insulating layer 216 and cover and protect exposed sidewalls of the etch-stop layer 212 .
  • This second barrier metal layer 245 may be a tantalum layer, a tantalum nitride layer or a composite layer containing tantalum and tantalum nitride.
  • This second barrier metal layer 245 may have a thickness in a range from about 30 ⁇ to about 100 ⁇ .
  • a step is then performed to remove a portion of the second barrier metal layer 245 that extends on the upper surface of the lower metal line 210 .
  • This removal step which may be performed as a sputter etching step, may result in a partial removal and resputtering of the lower metal line 210 onto sidewalls of the second barrier metal layer 245 , to thereby define a recessed surface 218 a of the lower metal line 210 .
  • These resputtered portions of the lower metal line 210 which may have a thickness in a range from about 10 ⁇ to about 300 ⁇ , are identified by the reference numeral 210 a.
  • a third barrier metal layer 250 is then conformally deposited into the via hole 230 and trench 235 to thereby cover the second barrier metal layer 245 .
  • This third barrier metal layer 250 may have a thickness in a range from about 100 ⁇ to about 500 ⁇ .
  • the third barrier metal layer 250 may be formed as a tantalum or tantalum nitride layer, however, tantalum is typically preferred because it has a lower resistivity relative to tantalum nitride.
  • a metallization layer 255 is then deposited onto the structure of FIG. 2F to thereby completely fill the via hole 230 and trench 235 .
  • This metallization layer 255 may be deposited by electroplating copper from a copper seed layer (not shown) formed within the via hole 230 .
  • the metallization layer 255 may be formed using chemical vapor deposition (CVD) and/or physical vapor deposition (PVD) techniques.
  • the metallization layer 255 is then planarized to define an upper metal line 255 b in the trench 235 , which extends in a third dimension (not shown), and define a metal plug 255 a within the via hole 230 .
  • This planarization step may be performed by chemically-mechanically polishing the metallization layer 255 for a sufficient duration to expose the second insulating layer 216 .
  • FIGS. 2A-2E and 3 illustrate the steps of depositing a metallization layer 255 onto the structure of FIG. 2E to thereby completely fill the via hole 230 and trench 235 .
  • This metallization layer 255 may be deposited by electroplating copper from a copper seed layer (not shown) formed within the via hole 230 .
  • the metallization layer 255 may be formed using chemical vapor deposition (CVD) and/or physical vapor deposition (PVD) techniques.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • the metallization layer 255 is then planarized to define an upper metal line 255 b in the trench 235 , which extends in a third dimension (not shown), and define a metal plug 255 a within the via hole 230 .
  • This planarization step may be performed by chemically-mechanically polishing the metallization layer 255 for a sufficient duration to expose the second insulating layer 216 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Methods of forming dual-damascene metal wiring patterns include forming a first metal wiring pattern (e.g., copper wiring pattern) on an integrated circuit substrate and forming an etch-stop layer on the first metal wiring pattern. These steps are followed by the steps of forming an electrically insulating layer on the etch-stop layer and forming an inter-metal dielectric layer on the electrically insulating layer. The inter-metal dielectric layer and the electrically insulating layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer. This opening may include a trench and a via hole extending downward from a bottom of the trench. A first barrier metal layer is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer. A portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer. The first portion of the etch-stop layer is then selectively etched for a sufficient duration to expose a portion of the first metal wiring pattern. A second metal wiring pattern is formed in the opening in order to complete a dual-damascene structure.

Description

    REFERENCE TO PRIORITY APPLICATIONS
  • This application claims priority to Korean Patent Application No. 2005-72006, filed Aug. 6, 2005, the disclosure of which is hereby incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to methods of forming metal wiring patterns and, more particularly, to methods of forming metal wiring patterns using dual-damascene techniques and metal wiring patterns formed thereby.
  • BACKGROUND OF THE INVENTION
  • Metal wiring patterns used in integrated circuit devices are frequently formed of copper (Cu) because copper has a relatively low resistivity, particularly compared to metal wiring patterns formed of other materials such as aluminum (Al). These metal wiring patterns are frequently separated from each other by intermetal dielectric layers. In order to reduce the parasitic capacitance between adjacent metal wiring patterns and reduce their RC delay, dielectric layers having relatively low dielectric constant values (i.e., low-K dielectrics) have been used as intermetal dielectric layers.
  • Damascene processing techniques that utilize low-K dielectrics have been used to define copper wiring patterns on integrated circuit substrates. These techniques frequently include forming a low-K dielectric layer on a first copper wiring pattern and then forming via holes and trenches in the low-K dielectric layer, which expose upper surfaces of the first copper wiring pattern. These via holes and trenches are then filled with a copper wiring layer, which may be formed using an electroplating technique. Planarization techniques such as chemical-mechanical polishing (CMP), may then be used to planarize the copper wiring layer into a plurality of second copper wiring patterns and thereby complete a dual-damascene wiring fabrication process.
  • An example of a conventional dual-damascene processing technique is illustrated by FIGS. 1A-1D. In FIG. 1A, a first low-K dielectric layer 10 is formed on a substrate (e.g., semiconductor substrate). This first low-K dielectric layer 10 may be patterned to define a trench therein, which is subsequently filled with a lower metal line 12 (e.g., copper line). An etch stop layer 14 and an electrically insulating layer 16 (e.g., silicon dioxide) are formed on the first low-K dielectric layer 10, as illustrated. Thereafter, as illustrated by FIG. 1B, a second low-K dielectric layer 17 is formed on the electrically insulating layer 16. Conventional patterning and etching steps are then performed to define a via hole/trench 18 that extends through the second low-K dielectric layer 17, the electrically insulating layer 16 and the etch stop layer 14, and exposes the lower metal line 12. As illustrated, these patterning and etching steps may result in the formation of a via hole 18 that defines a recess in the lower metal line 12. As will be understood by those skilled in the art, the formation of a recess in the lower metal line 12 and the exposure of this recess to an oxygen containing ambient may result in the formation of an oxide residue (not shown) on the lower metal line 12. This oxide residue may be removed by exposing the lower metal line 12 to a wet cleaning solution containing, for example, diluted HF (DHF). However, this exposure to the wet cleaning solution may also result in the formation of undercut regions 20 within the electrically insulating layer 16, which may react with the cleaning solution.
  • Referring now to FIG. 1C, a step is performed to deposit a first barrier metal layer in the via hole 18 using a physical vapor deposition (PVD) technique, for example. This first barrier metal layer may be a tantalum nitride layer having a thickness in a range from about 50 Å to about 100 Å. This first barrier metal layer operates as a copper diffusion barrier during subsequent processing steps. Unfortunately, the presence of the undercut regions 20 may preclude the formation of a uniform first barrier metal layer on the sidewall of the via hole 18. A directional etching step may then be performed to remove a portion of the first barrier metal layer from an upper surface of the lower metal line 12 and thereby pattern the first barrier metal layer into sidewall barrier segments 22. This removal of the first barrier metal layer from the lower metal line 12 may operate to decrease the contact resistance between lower metal line 12 and a subsequently formed copper plug.
  • As will be understood by those skilled in the art, the directional etching of the first barrier metal layer to achieve exposure of the upper surface of the lower metal line 12 may result in the formation of resputtered copper spacers 24 on lower sidewalls of the via hole 18. Because of the presence of the undercut regions 20, which may not be sufficiently protected by the sidewall barrier segments 22, copper atoms from the copper spacers 24 may become incorporated into the second low-K dielectric layer 17. Such penetration of copper into the second low-K dielectric layer 17 may increase leakage currents between adjacent metal lines formed in the second low-K dielectric layer 17. This increase in leakage current may degrade device reliability by increasing time dependent dielectric breakdown (TDDB) within the second low-K dielectric layer 17.
  • Referring now to FIG. 1D, a second barrier metal layer 26 is then conformally deposited into the via hole 18 using, for example, physical vapor deposition (PVD). This second barrier metal layer 26 may be an adhesion enhancing tantalum layer (Ta) having a thickness in a range from about 40 Å to about 200 Å. A blanket copper seed layer (not shown) may then be deposited on the second barrier metal layer 26 and followed by copper electroplating to fill the via hole 18. Planarization techniques may then be performed to define an upper metal line 28 within the via hole 18.
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention include methods of forming an integrated circuit by forming a first metal wiring pattern (e.g., copper wiring pattern) on an integrated circuit substrate and forming an etch-stop layer on the first metal wiring pattern. These steps are followed by forming an electrically insulating layer on the etch-stop layer and forming an inter-metal dielectric layer on the electrically insulating layer. The inter-metal dielectric layer and the electrically insulating layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer. This opening may include a trench and a via hole extending downward from a bottom of the trench. A first barrier metal layer is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer. A portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer. This selective removal may be performed using an anisotropic etching step. The first portion of the etch-stop layer is then selectively etched for a sufficient duration to expose a portion of the first metal wiring pattern. During this etching step, the first barrier metal layer is used as an etching mask. A second metal wiring pattern (e.g., upper copper wiring pattern) is then formed in the opening in order to complete a dual-damascene structure.
  • According to further aspects of these embodiments, the step of forming a second metal wiring pattern may be preceded by a step of forming a second barrier metal layer on the sidewall of the opening and on the exposed portion of the first metal wiring pattern. In the event the second barrier metal layer is formed, then a step may be performed to selectively etch a portion of the second barrier metal layer for a sufficient duration to expose the portion of the first metal wiring pattern.
  • Still further embodiments of the present invention include methods of forming an integrated circuit by forming a first copper wiring pattern on an integrated circuit substrate and forming an etch-stop layer comprising SiCN on the first copper wiring pattern. A silicon dioxide layer having a thickness in a range from about 100 Å to about 500 Å is formed on the etch-stop layer and a inter-metal dielectric layer comprising SiCOH is formed on the silicon dioxide layer. The inter-metal dielectric layer and the silicon dioxide layer are selectively etched in sequence to define an opening therein that exposes a first portion of the etch-stop layer. A first barrier metal layer comprising tantalum is formed on a sidewall of the opening and directly on the first portion of the etch-stop layer. A portion of the first barrier metal layer is selectively removed from the first portion of the etch-stop layer. The first portion of the etch-stop layer is etched for a sufficient duration to expose a portion of an upper surface of the first copper wiring pattern. During this etching step, the first barrier metal layer is used as an etching mask. A second barrier metal layer containing tantalum is then formed, which extends on the first barrier metal layer, a sidewall of the etch-stop layer and the exposed portion of the first copper wiring pattern. The second barrier metal layer is selectively etched to expose the first copper wiring pattern. A third barrier metal layer containing tantalum is formed on the second barrier metal layer and directly on the first copper wiring pattern. Thereafter, the opening is filled with a second copper wiring pattern to complete the dual-damascene copper interconnect structure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1D are cross-sectional views of intermediate structures that illustrate conventional methods of forming dual-damascene copper interconnect structures for integrated circuits.
  • FIGS. 2A-2H are cross-sectional views of intermediate structures that illustrate methods of forming dual-damascene copper interconnect structures for integrated circuits, according to embodiments of the present invention.
  • FIGS. 2A-2E and 3 are cross-sectional views of intermediate structures that illustrate methods of forming dual-damascene copper interconnect structures for integrated circuits, according to embodiments of the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • The present invention now will be described more fully herein with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like reference numerals refer to like elements throughout.
  • Methods of forming dual-damascene copper interconnect structures according to embodiments of the present invention include forming a first dielectric layer 205 on a primary surface of a semiconductor substrate 203 having a plurality of active semiconductor devices (not shown) therein. This first dielectric layer 205 may be a low-K dielectric layer, such as SiCOH, having a thickness in a range from about 2,000 Å to about 20,000 Å. Thereafter, the first dielectric layer 205 is patterned to define a recess/trench therein. This recess/trench is lined with a lower barrier metal layer 207 (e.g., tantalum (Ta)) and filled with a lower metal line 210 (e.g., Copper (Cu)) using conventional techniques. A chemical mechanical polishing (CMP) step may be performed to planarize the lower metal line 210 with an upper surface of the first dielectric layer 205. An etch-stop layer 212 is then deposited onto the first dielectric layer 205. This etch-stop layer 212 may have a thickness in a range from about 200 Å to about 1000 Å and may be formed of a material such as SiCN. The etch-stop layer 212 may be deposited using a chemical-vapor deposition (CVD) technique, for example.
  • Referring still to FIG. 2A, a second interlayer-dielectric layer 220 is formed on the etch-stop layer 212. This second interlayer-dielectric layer 220 includes a first insulating layer 214, which may be formed of silicon dioxide, and a second insulating layer 216, which may be a low-K dielectric layer (e.g., SiCOH layer). The first insulating layer 214 may have a thickness in a range from about 100 Å to about 500 Å and the second insulating layer 216 may have a thickness in a range from about 2,000 Å to about 10,000 Å. A hard mask layer 225 is deposited onto the second interlayer-dielectric layer 220. This hard mask layer 225 may be a silicon dioxide layer having a thickness in a range from about 200 Å to about 1,500 Å.
  • Referring now to FIG. 2B, a plurality of selective etching and patterning steps (e.g., photolithographically-defined etching steps) are then performed on the structure illustrated by FIG. 2A. In particular, the hard mask layer 225 and the second interlayer-dielectric layer 220 are selectively etched to define a via hole 230 and a trench 235 therein, as illustrated. The via hole 230 may be a generally cylindrical via hole 230 of limited lateral dimension, but the trench may be substantially larger and extend laterally as a trench pattern in a third dimension (not shown). The etch-stop layer 212 is configured to block exposure of the lower metal line 210 during the selective etching steps to define the via hole 230.
  • Referring now to FIG. 2C, a first barrier metal layer 240 is then conformally deposited onto the structure illustrated by FIG. 2B. This first barrier metal layer 240, which lines sidewalls of the trench 235 and via hole 230, may be a tantalum layer, a tantalum nitride layer or a composite layer containing tantalum and tantalum nitride. The first barrier metal layer 240 may have a thickness in a range from about 30 Å to about 100 Å. Thereafter, as illustrated by FIG. 2D, an anisotropic etching step is performed to selectively etch horizontal portions of the first barrier metal layer 240 and define first barrier metal layer spacers 240 a on sidewalls of the via hole 230 and trench 235. These first barrier metal layer spacers 240 a may then be used as an etching mask during an etching step that selectively removes an exposed portion of the etch-stop layer 212. This removal of an exposed portion of the etch-stop layer 212 results in an exposure of a portion of an upper surface 218 of the lower metal line 210.
  • Any formation of a native oxide on the exposed portion of the upper surface 218 of the lower metal line 210 may be removed using a wet cleaning process. This cleaning process may involve exposing the surface 218 to a cleaning solution containing diluted hydrofluoric acid (DHF). During this cleaning process, the first barrier metal layer spacers 240 a operate to inhibit lateral chemical etching of the first insulating layer 214 by DHF. Alternatively, a sputter etching technique may be used to remove any native oxide from the upper surface 218.
  • Referring now to FIG. 2E, a second barrier metal layer 245 is then conformally deposited into the via hole 230 and trench 235 in order to cover and protect exposed portions of the second insulating layer 216 and cover and protect exposed sidewalls of the etch-stop layer 212. This second barrier metal layer 245 may be a tantalum layer, a tantalum nitride layer or a composite layer containing tantalum and tantalum nitride. This second barrier metal layer 245 may have a thickness in a range from about 30 Å to about 100 Å. A step is then performed to remove a portion of the second barrier metal layer 245 that extends on the upper surface of the lower metal line 210. This removal step, which may be performed as a sputter etching step, may result in a partial removal and resputtering of the lower metal line 210 onto sidewalls of the second barrier metal layer 245, to thereby define a recessed surface 218 a of the lower metal line 210. These resputtered portions of the lower metal line 210, which may have a thickness in a range from about 10 Å to about 300 Å, are identified by the reference numeral 210 a.
  • Referring now to FIG. 2F, a third barrier metal layer 250 is then conformally deposited into the via hole 230 and trench 235 to thereby cover the second barrier metal layer 245. This third barrier metal layer 250 may have a thickness in a range from about 100 Å to about 500 Å. The third barrier metal layer 250 may be formed as a tantalum or tantalum nitride layer, however, tantalum is typically preferred because it has a lower resistivity relative to tantalum nitride.
  • Finally, as illustrated by FIGS. 2G-2H, a metallization layer 255 is then deposited onto the structure of FIG. 2F to thereby completely fill the via hole 230 and trench 235. This metallization layer 255 may be deposited by electroplating copper from a copper seed layer (not shown) formed within the via hole 230. In alternative embodiments, the metallization layer 255 may be formed using chemical vapor deposition (CVD) and/or physical vapor deposition (PVD) techniques. The metallization layer 255 is then planarized to define an upper metal line 255 b in the trench 235, which extends in a third dimension (not shown), and define a metal plug 255 a within the via hole 230. This planarization step may be performed by chemically-mechanically polishing the metallization layer 255 for a sufficient duration to expose the second insulating layer 216.
  • Still further embodiments of the present invention are illustrated by FIGS. 2A-2E and 3. In particular, FIG. 3 illustrates the steps of depositing a metallization layer 255 onto the structure of FIG. 2E to thereby completely fill the via hole 230 and trench 235. This metallization layer 255 may be deposited by electroplating copper from a copper seed layer (not shown) formed within the via hole 230. In alternative embodiments, the metallization layer 255 may be formed using chemical vapor deposition (CVD) and/or physical vapor deposition (PVD) techniques. The metallization layer 255 is then planarized to define an upper metal line 255 b in the trench 235, which extends in a third dimension (not shown), and define a metal plug 255 a within the via hole 230. This planarization step may be performed by chemically-mechanically polishing the metallization layer 255 for a sufficient duration to expose the second insulating layer 216.
  • In the drawings and specification, there have been disclosed typical preferred embodiments of the invention and, although specific terms are employed, they are used in a generic and descriptive sense only and not for purposes of limitation, the scope of the invention being set forth in the following claims.

Claims (17)

1. A method of forming an integrated circuit, comprising the steps of:
forming a first metal wiring pattern on an integrated circuit substrate;
forming an etch-stop layer on the first metal wiring pattern;
forming an electrically insulating layer on the etch-stop layer;
forming an inter-metal dielectric layer on the electrically insulating layer;
selectively etching the inter-metal dielectric layer and the electrically insulating layer in sequence to define an opening therein that exposes a first portion of the etch-stop layer;
forming a first barrier metal layer on a sidewall of the opening and directly on the first portion of the etch-stop layer;
selectively removing a portion of the first barrier metal layer from the first portion of the etch-stop layer; then
selectively etching the first portion of the etch-stop layer for a sufficient duration to expose a portion of the first metal wiring pattern, using the first barrier metal layer as an etching mask; and then
forming a second metal wiring pattern in the opening.
2. The method of claim 1, wherein said step of forming a second metal wiring pattern is preceded by a step of forming a second barrier metal layer on the sidewall of the opening and on the exposed portion of the first metal wiring pattern.
3. The method of claim 2, wherein said step of forming a second barrier metal layer is followed by a step of selectively etching a portion of the second barrier metal layer for a sufficient duration to expose the portion of the first metal wiring pattern.
4. The method of claim 2, wherein said step of forming a second barrier metal layer is followed by a step of selectively etching a portion of the second barrier metal layer and the first metal wiring pattern in sequence to define a recess within an upper surface of the first metal wiring pattern.
5. The method of claim 4, wherein said step of forming a second metal wiring pattern is preceded by a step of forming a third barrier metal layer on the recess within the first metal wiring pattern.
6. The method of claim 1, wherein said step of forming an etch-stop layer comprises forming a SiCN layer having a thickness in a range from about 200 Å to about 1,000 Å on the first metal wiring pattern.
7. The method of claim 1, wherein said step of forming an inter-metal dielectric layer comprises forming a SiCOH layer having a thickness in a range from about 2,000 Å to about 10,000 Å on the electrically insulating layer.
8. The method of claim 1, wherein said step of forming a first barrier metal layer comprises forming a metal layer comprising tantalum on the sidewall of the opening and directly on the first portion of the etch-stop layer.
9. The method of claim 1, wherein said step of forming a second metal wiring pattern is preceded by a step of exposing the first metal wiring pattern to a diluted HF cleaning solution.
10. A method of forming an integrated circuit device, comprising the steps of:
forming a first electrically conductive wiring pattern on an integrated circuit substrate;
forming first and second electrically insulating layers of different material type on the first electrically conductive wiring pattern;
selectively etching the second electrically insulating layer for a sufficient duration to define an opening therein that exposes a portion of the first electrically insulating layer;
forming a first barrier metal layer on a sidewall of the opening and directly on the portion of the first electrically insulating layer;
selectively removing a portion of the first barrier metal layer from the portion of the first electrically insulating layer; then
selectively etching the portion of the first electrically insulating layer for a sufficient duration to expose a portion of the first electrically conductive wiring pattern, using the first barrier metal layer as an etching mask; and then
forming a second electrically conductive wiring pattern in the opening.
11. The method of claim 10, wherein the first electrically insulating layer is a SiCN layer having a thickness in a range from about 200 Å to about 1,000 Å.
12. The method of claim 11, wherein the second electrically insulating layer is a SiCOH layer having a thickness in a range from about 2,000 Å to about 10,000 Å.
13. The method of claim 12, wherein the first barrier metal layer is a metal layer comprising tantalum and having a thickness in a range from about 30 Å to about 100 Å.
14. The method of claim 10, wherein said step of forming a second electrically conductive wiring pattern is preceded by the step of forming a second barrier metal layer comprising tantalum on the exposed portion of the first electrically conductive wiring pattern.
15. A method of forming an integrated circuit, comprising the steps of:
forming a first copper wiring pattern on an integrated circuit substrate;
forming an etch-stop layer comprising SiCN on the first copper wiring pattern;
forming a silicon dioxide layer having a thickness in a range from about 100 Å to about 500 Å on the etch-stop layer;
forming an inter-metal dielectric layer comprising SiCOH on the silicon dioxide layer;
selectively etching the inter-metal dielectric layer and the silicon dioxide layer in sequence to define an opening therein that exposes a first portion of the etch-stop layer;
forming a first barrier metal layer comprising tantalum on a sidewall of the opening and directly on the first portion of the etch-stop layer;
selectively removing a portion of the first barrier metal layer from the first portion of the etch-stop layer; then
selectively etching the first portion of the etch-stop layer for a sufficient duration to expose a portion of the first copper wiring pattern, using the first barrier metal layer as an etching mask;
forming a second barrier metal layer comprising tantalum that extends on the first barrier metal layer, a sidewall of the etch-stop layer and the exposed portion of the first copper wiring pattern;
selectively etching the second barrier metal layer to expose the first copper wiring pattern; then
forming a third barrier metal layer comprising tantalum on the second barrier metal layer and on the first copper wiring pattern; and then
filling the opening with a second copper wiring pattern.
16. The method of claim 15, wherein said step of selectively etching the inter-metal dielectric layer and the silicon dioxide layer in sequence is preceded by a step of forming a silicon dioxide hard mask layer on the inter-metal dielectric layer.
17. The method of claim 15, wherein said step of forming an etch-stop layer comprises forming a SiCN layer having a thickness in a range from about 100 Å to about 500 Å, on the first copper wiring pattern.
US12/485,306 2005-08-06 2009-06-16 Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby Abandoned US20120193322A9 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/485,306 US20120193322A9 (en) 2005-08-06 2009-06-16 Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020050072006A KR100640662B1 (en) 2005-08-06 2005-08-06 Semiconductor device having a barrier metal spacer and method of fabricating the same
KR2005-72006 2005-08-06
US11/421,202 US7550822B2 (en) 2005-08-06 2006-05-31 Dual-damascene metal wiring patterns for integrated circuit devices
US12/485,306 US20120193322A9 (en) 2005-08-06 2009-06-16 Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/421,202 Division US7550822B2 (en) 2005-08-06 2006-05-31 Dual-damascene metal wiring patterns for integrated circuit devices

Publications (2)

Publication Number Publication Date
US20090250429A1 true US20090250429A1 (en) 2009-10-08
US20120193322A9 US20120193322A9 (en) 2012-08-02

Family

ID=37621319

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/421,202 Active 2026-11-10 US7550822B2 (en) 2005-08-06 2006-05-31 Dual-damascene metal wiring patterns for integrated circuit devices
US12/485,306 Abandoned US20120193322A9 (en) 2005-08-06 2009-06-16 Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/421,202 Active 2026-11-10 US7550822B2 (en) 2005-08-06 2006-05-31 Dual-damascene metal wiring patterns for integrated circuit devices

Country Status (4)

Country Link
US (2) US7550822B2 (en)
KR (1) KR100640662B1 (en)
CN (1) CN1913128B (en)
TW (1) TWI316739B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11107725B2 (en) * 2018-09-11 2021-08-31 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method for the same
WO2023033901A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Method of forming a metal liner for interconnect structures

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100640662B1 (en) * 2005-08-06 2006-11-01 삼성전자주식회사 Semiconductor device having a barrier metal spacer and method of fabricating the same
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
KR100790452B1 (en) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 Method for forming multi layer metal wiring of semiconductor device using damascene process
KR100815946B1 (en) * 2006-12-29 2008-03-21 동부일렉트로닉스 주식회사 Semiconductor device
US7462038B2 (en) * 2007-02-20 2008-12-09 Qimonda Ag Interconnection structure and method of manufacturing the same
US7538398B2 (en) * 2007-06-21 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for forming a semiconductor device source/drain contact
US8030778B2 (en) * 2007-07-06 2011-10-04 United Microelectronics Corp. Integrated circuit structure and manufacturing method thereof
WO2009134386A1 (en) * 2008-04-30 2009-11-05 Advanced Micro Devices, Inc. Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
DE102008021568B3 (en) * 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale A method of reducing erosion of a metal cap layer during via formation in semiconductor devices and semiconductor device with a protective material for reducing erosion of the metal cap layer
DE102008026134A1 (en) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Microstructure device with a metallization structure with self-aligned air gaps between dense metal lines
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
JP2010287831A (en) * 2009-06-15 2010-12-24 Renesas Electronics Corp Semiconductor device and method for manufacturing the same
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US8237191B2 (en) * 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
CN102005411A (en) * 2009-09-01 2011-04-06 中芯国际集成电路制造(上海)有限公司 Forming method for barrier layer
US20120273261A1 (en) * 2010-10-20 2012-11-01 Taiwan Green Point Enterprises Co., Ltd. Circuit substrate having a circuit pattern and method for making the same
US20110227230A1 (en) * 2010-03-19 2011-09-22 Qualcomm Incorporated Through-silicon via fabrication with etch stop film
CN102339741B (en) * 2010-07-22 2013-09-18 中芯国际集成电路制造(上海)有限公司 Chemical mechanical polishing method
CN102347311B (en) * 2010-07-29 2013-05-01 台湾积体电路制造股份有限公司 Semiconductor device and a manufacturing method thereof
US8405135B2 (en) * 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability
CN102468224A (en) * 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 Method for making semiconductor interconnection structure
CN102623437B (en) * 2012-04-06 2017-05-31 上海集成电路研发中心有限公司 Through-silicon via structure and its manufacture method
CN102881640A (en) * 2012-09-17 2013-01-16 上海华力微电子有限公司 Method for manufacturing dual damascene copper interconnection structure
US9041216B2 (en) * 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103208455B (en) * 2013-03-15 2016-02-03 上海华力微电子有限公司 The restorative procedure of metal hardmask structure
KR102068677B1 (en) * 2013-04-10 2020-01-22 삼성전자 주식회사 Method for fabricating semiconductor device
US8809185B1 (en) 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US9299656B2 (en) * 2014-06-02 2016-03-29 Infineon Technologies Ag Vias and methods of formation thereof
KR102211741B1 (en) * 2014-07-21 2021-02-03 삼성전기주식회사 Printed circuit board and method of manufacturing the same
KR102399345B1 (en) 2014-11-12 2022-05-19 삼성전자주식회사 Methods of Fabricating Semiconductor Devices
US9613861B2 (en) 2015-08-05 2017-04-04 Globalfoundries Inc. Damascene wires with top via structures
US10211097B2 (en) * 2015-12-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN107591357B (en) * 2016-07-07 2020-09-04 中芯国际集成电路制造(北京)有限公司 Interconnect structure and method of making the same
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US20190363048A1 (en) * 2018-05-22 2019-11-28 Lam Research Corporation Via prefill in a fully aligned via
US10395986B1 (en) * 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
CN112805818A (en) * 2018-10-10 2021-05-14 东京毅力科创株式会社 Method for filling recessed features in a semiconductor device with a low resistivity metal
CN111341726B (en) * 2018-12-19 2023-05-02 夏泰鑫半导体(青岛)有限公司 Semiconductor device and method for manufacturing the same
TWI764388B (en) * 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 Integrated chip and method of forming the same
US11694926B2 (en) 2020-04-27 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free interface between beol interconnects
US11869808B2 (en) 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US20020155700A1 (en) * 2001-04-24 2002-10-24 Tai-Ju Chen Method of forming a damascene structure
EP1263035A1 (en) * 2001-05-23 2002-12-04 Texas Instruments Incorporated Method for sealing via sidewalls in porous low-k dielectric layers
US6492263B1 (en) * 2000-06-29 2002-12-10 Mosel Vitelic, Inc. Dual damascene process which prevents diffusion of metals and improves trench-to-via alignment
US20020185671A1 (en) * 2001-06-12 2002-12-12 Kim Si Bum Semiconductor device having a metal insulator metal capacitor
US20030077897A1 (en) * 2001-05-24 2003-04-24 Taiwan Semiconductor Manufacturing Company Method to solve via poisoning for porous low-k dielectric
US20040063310A1 (en) * 2001-12-05 2004-04-01 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
US6753260B1 (en) * 2001-10-05 2004-06-22 Taiwan Semiconductor Manufacturing Company Composite etching stop in semiconductor process integration
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
US20050074968A1 (en) * 2000-11-01 2005-04-07 Ling Chen Tantalum barrier layer for copper metallization
US20060003577A1 (en) * 2004-07-01 2006-01-05 Semiconductor Leading Edge Technologies, Inc. Method of manufacturing a semiconductor device
US20070032062A1 (en) * 2005-08-06 2007-02-08 Lee Boung J Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3482779B2 (en) * 1996-08-20 2004-01-06 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof
JP2000323571A (en) 1999-05-14 2000-11-24 Sony Corp Manufacture of semiconductor device
KR20010061583A (en) 1999-12-28 2001-07-07 박종섭 A method for forming damascene metal wire in semiconductor device
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6492263B1 (en) * 2000-06-29 2002-12-10 Mosel Vitelic, Inc. Dual damascene process which prevents diffusion of metals and improves trench-to-via alignment
US20050074968A1 (en) * 2000-11-01 2005-04-07 Ling Chen Tantalum barrier layer for copper metallization
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
US20020155700A1 (en) * 2001-04-24 2002-10-24 Tai-Ju Chen Method of forming a damascene structure
EP1263035A1 (en) * 2001-05-23 2002-12-04 Texas Instruments Incorporated Method for sealing via sidewalls in porous low-k dielectric layers
US20030077897A1 (en) * 2001-05-24 2003-04-24 Taiwan Semiconductor Manufacturing Company Method to solve via poisoning for porous low-k dielectric
US20020185671A1 (en) * 2001-06-12 2002-12-12 Kim Si Bum Semiconductor device having a metal insulator metal capacitor
US6753260B1 (en) * 2001-10-05 2004-06-22 Taiwan Semiconductor Manufacturing Company Composite etching stop in semiconductor process integration
US20040063310A1 (en) * 2001-12-05 2004-04-01 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
US20060003577A1 (en) * 2004-07-01 2006-01-05 Semiconductor Leading Edge Technologies, Inc. Method of manufacturing a semiconductor device
US20070032062A1 (en) * 2005-08-06 2007-02-08 Lee Boung J Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11107725B2 (en) * 2018-09-11 2021-08-31 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method for the same
WO2023033901A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Method of forming a metal liner for interconnect structures

Also Published As

Publication number Publication date
US20070032062A1 (en) 2007-02-08
CN1913128B (en) 2011-06-29
US7550822B2 (en) 2009-06-23
US20120193322A9 (en) 2012-08-02
CN1913128A (en) 2007-02-14
TW200709299A (en) 2007-03-01
KR100640662B1 (en) 2006-11-01
TWI316739B (en) 2009-11-01

Similar Documents

Publication Publication Date Title
US7550822B2 (en) Dual-damascene metal wiring patterns for integrated circuit devices
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
EP1869700B1 (en) Interconnect structure and method of fabrication of same
US6744090B2 (en) Damascene capacitor formed in metal interconnection layer
JP3887282B2 (en) Metal-insulator-metal capacitor and method for manufacturing semiconductor device having damascene wiring structure
KR100530306B1 (en) Electronic structure
US7393777B2 (en) Sacrificial metal spacer damascene process
US8951911B2 (en) Process for damascene structure with reduced low-k damage
US20040232552A1 (en) Air gap dual damascene process and structure
US20060205204A1 (en) Method of making a semiconductor interconnect with a metal cap
US20050024979A1 (en) Metal-insulator-metal capacitor and interconnecting structure
JPH10189733A (en) Metal coating method for porous dielectric
US10629478B2 (en) Dual-damascene formation with dielectric spacer and thin liner
KR20110001894A (en) Via gouged interconnect structure and method of fabricating same
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
US9431292B1 (en) Alternate dual damascene method for forming interconnects
US6465343B1 (en) Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
US7662711B2 (en) Method of forming dual damascene pattern
US8048799B2 (en) Method for forming copper wiring in semiconductor device
KR20080061168A (en) Method of manufacturing a metal line in semiconductor device
KR100621228B1 (en) Method of fabricating conductive lines and interconnections in semiconductor devices
KR100788064B1 (en) Insitu diffusion barrier and copper metallization for improving reliability of semiconductor devices
KR20060063209A (en) A method for forming a metal line in semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE