US20100012273A1 - Method and System for Supplying a Cleaning Gas Into a Process Chamber - Google Patents

Method and System for Supplying a Cleaning Gas Into a Process Chamber Download PDF

Info

Publication number
US20100012273A1
US20100012273A1 US12/571,677 US57167709A US2010012273A1 US 20100012273 A1 US20100012273 A1 US 20100012273A1 US 57167709 A US57167709 A US 57167709A US 2010012273 A1 US2010012273 A1 US 2010012273A1
Authority
US
United States
Prior art keywords
gas
processing region
plasma source
flow
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/571,677
Inventor
Ramprakash Sankarakrishnan
Dale DuBois
Ganesh Balasubramanian
Karthik Janakiraman
Juan Carlos Rocha-Alvarez
Thomas Nowak
Visweswaren Sivaramakrishnan
Hichem M'Saad
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/571,677 priority Critical patent/US20100012273A1/en
Publication of US20100012273A1 publication Critical patent/US20100012273A1/en
Priority to US13/676,820 priority patent/US8591699B2/en
Priority to US14/087,671 priority patent/US9206511B2/en
Priority to US14/932,384 priority patent/US10094486B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/0644One-way valve
    • F16K31/0655Lift valves
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/221Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves specially adapted operating means therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/224Details of bearings for the axis of rotation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/08Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet
    • F16K31/086Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element
    • F16K31/088Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element the movement of the first magnet being a rotating or pivoting movement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Definitions

  • Embodiments of the invention generally relate to apparatuses and methods for cleaning process chambers of substrate processing apparatuses. More particularly, embodiments of the present invention relate to apparatus and methods for cleaning a process chamber used for deposition.
  • the process chamber may need cleaning to remove undesirable deposition residues that may have formed on the chamber wall.
  • One conventional approach for cleaning current chemical vapor deposition (CVD) or plasma enhanced chemical vapor deposition (PECVD) process chambers is to use cleaning plasma supplied from a remote plasma source (RPS) separate from the process chamber.
  • RPS remote plasma source
  • the RPS provides the cleaning plasma, usually formed from a fluorine-based cleaning gas, which is flowed into the deposition chamber via gas circulation hardware comprising a gas box, gas manifold, and a gas distribution system installed in the process chamber.
  • the cleaning plasma is usually supplied in an active form comprised of atomic fluorine radicals.
  • complex transport paths from the RPS to the deposition chamber usually results in a premature recombination of the atomic fluorine radicals into molecular gases that have a lower etching rate. Consequently, cleaning efficiency may be low even if precursor dissociation efficiency of cleaning gases is high.
  • the chamber pumping port is usually close to a shower head utilized to deliver cleaning gases to the chamber. Therefore, poor circulation of gases under a substrate support assembly positioned between the showerhead and pumping port results in decreased cleaning efficiency under the substrate support assembly.
  • a process chamber that includes a remote plasma source and a process chamber having at least two processing regions.
  • Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly.
  • a first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
  • a substrate processing system in another embodiment, includes a loadlock chamber, a transfer chamber coupled to the loadlock chamber, a remote plasma source, and a process chamber coupled to the transfer chamber.
  • the process chamber includes a chamber body having at least a first processing region, a first substrate support assembly disposed in the first processing region, a first gas distribution assembly coupled to the remote plasma source and configured to provide gases from the remote plasma source into first processing region from above the substrate support assembly, and a gas passage coupled to the remote plasma source and configured to provide gases from the remote plasma source into the first processing region from below the substrate support assembly.
  • a method for supplying a processing gas into a process chamber comprises providing a plasma source, flowing a first volume cleaning agent from the plasma source through the top of the process chamber into an interior volume of the process chamber, and flowing a second volume of cleaning agent into the interior volume from below a substrate support assembly.
  • FIG. 1 is a schematic plan view showing one embodiment of a processing system having a cleaning system.
  • FIG. 2 is a schematic cross-sectional view of one embodiment of a twin process chamber.
  • FIG. 3A is a horizontal cross-sectional view illustrating one embodiment of a valve used in the process chamber of FIG. 2 .
  • FIG. 3B is a schematic partial isometric cut-away view illustrating the valve of FIG. 3A .
  • FIG. 3C is a cross-sectional view illustrating the valve of FIG. 3A .
  • FIG. 4 is a flowchart of method steps for one embodiment of deposition sequence that may be performed in the process chamber of FIG. 2 .
  • FIG. 5 is an exploded cross-sectional view of another embodiment of a flapper.
  • FIGS. 6-7 are partial sectional view and a top view of the flapper of FIG. 5 .
  • FIGS. 8A-8B are top and bottom views of another embodiment of a valve body.
  • FIG. 8C is a cross-sectional view of the valve body taken along section line 8 C- 8 C of FIG. 8B .
  • FIG. 8D is a cross-sectional view of the valve body taken along section line 8 D- 8 D of FIG. 8C .
  • Embodiments described herein relate to a substrate processing system that is operable to perform a plasma process (such as etch, CVD, PECVD and the like) on one or more substrates, and undergo plasma cleaning to remove residues formed during the deposition process.
  • a plasma process such as etch, CVD, PECVD and the like
  • One illustrated example of the substrate processing system comprises, without limitation, a factory interface, a loadlock chamber, a transfer chamber, and at least one process chamber having two or more processing regions that are isolatable from each other and share a common gas supply and common exhaust pump.
  • a remote plasma source is operable to generate cleaning plasma that is supplied into the interior of the process chamber from the top and bottom of the process chamber. The interior of the process chamber can thereby be cleaned in a more efficient manner.
  • FIG. 1 is a schematic view showing an embodiment of a substrate processing system 100 .
  • the substrate processing system 100 comprises a factory interface 110 where substrates are loaded into and unloaded from at least one loadlock chamber 140 , a substrate transfer chamber 170 housing a robot 172 for handling substrates, and at least one process chamber 200 connected to the transfer chamber 170 .
  • the processing chamber 100 is adapted to accommodate various plasma processes and support chamber hardware such as etch, CVD or PECVD processes.
  • the factory interface 110 may include substrate cassettes 113 and a substrate-handling robot 115 .
  • Each of the cassettes 113 contains substrates ready for processing.
  • the substrate-handling robot 115 may comprise a substrate mapping system to index the substrates in each cassette 113 in preparation for loading the substrates into the loadlock chambers 140 .
  • the loadlock chambers 140 provide a vacuum interface between the factory interface 110 and the transfer chamber 170 .
  • Each loadlock chamber 140 may comprise an upper substrate support (not shown) and a lower substrate support (not shown) stacked within the loadlock chamber 140 .
  • the upper substrate support and the lower substrate support are configured to support incoming and outgoing substrates thereon. Substrates may be transferred between the factory interface 110 and the loadlock chamber 140 via a slit valve 146 , and between the loadlock chamber 140 and the transfer chamber 170 via a slit valve 148 .
  • the upper substrate support and lower substrate support may comprise features for temperature control, such as built-in heater or cooler to heat or cool substrates during transferring.
  • the transfer chamber 170 includes a substrate-handling robot 172 operable to transfer substrates between the loadlock chamber 140 and the process chamber 200 . More specifically, the substrate-handling robot 172 may have dual substrate-handling blades 174 suitable to transfer two substrates at the same time from one chamber to another. The blades 174 may also be configured to move independent each other. Substrates may be transferred between the transfer chamber 170 and the process chamber 200 via slit valves 176 . The movement of the substrate-handling robot 172 may be controlled by a motor drive system (not shown), which may include a servo or stepper motor.
  • FIG. 2 is a schematic cross-sectional view illustrating one embodiment of the process chamber 200 .
  • the process chamber 200 comprises two processing regions 202 in which substrates 204 can undergo plasma processing in a concurrent manner.
  • Each processing region 202 has sidewalls 212 and a bottom 214 that partially define a process volume 216 .
  • the process volume 216 may be accessed through an access port (not shown) formed in the walls 212 as selectively sealed by valves 176 that facilitate movement of the substrate 204 into and out of each processing region 202 .
  • the walls 212 and bottom 214 of each processing region 202 may be fabricated from a unitary block of aluminum or other material compatible with processing.
  • the walls 212 of each processing region 202 support a lid assembly 222 , and also include the assembly of a liner 224 having an exhaust port 226 through which the processing region 202 may be evacuated uniformly by a vacuum pump (not shown).
  • a substrate support assembly 230 is centrally disposed within each processing region 202 .
  • the support assembly 230 may be temperature controlled.
  • the support assembly 230 comprises a support base 232 made of aluminum that may encapsulate at least one embedded heater 234 operable to controllably heat the support assembly 230 and the substrate 204 positioned thereon to a predetermined temperature.
  • the support assembly 230 may operate to maintain the substrate 204 at a temperature between about 150 degrees Celsius to about 1000 degrees Celsius, depending on the processing parameters for the material being processed.
  • Each support base 232 has an upper side 236 for supporting the substrate 204 , whereas the lower side of the support base 232 is coupled to a stem 238 .
  • the stem 238 couples the support assembly 230 to a lift system 240 that moves the support assembly 230 vertically between an elevated processing position and a lowered position that facilitates substrate transfer to and from the processing region 202 .
  • the stem 238 additionally provides a conduit for electrical and thermocouple leads between the support assembly 230 and other components of the chamber 200 .
  • a bellows 242 may be coupled between the stem 238 and the bottom 214 of each processing region 202 . The bellows 242 provides a vacuum seal between the process volume 216 and the atmosphere outside each processing region 202 while facilitating vertical movement of the support assembly 230 .
  • each support base 232 also has a plurality of openings 246 through which lift pins 248 are movably mounted.
  • the lift pins 248 are operable to move between a first position and a second position.
  • the first position shown in FIG. 2 , allows the substrate 204 to rest on the upper side 236 of the support base 232 .
  • the second position (not shown) lifts the substrate 204 above the support base 232 so that the substrate 204 can be transferred to the substrate-handling robot 172 coming through an access port (not shown).
  • Upward/downward movements of the lift pins 248 may be driven by a movable plate 250 .
  • the lid assembly 222 provides an upper boundary to the process volume 216 in each processing region 202 .
  • the lid assembly 222 may be removed or opened to service the processing regions 202 .
  • the lid assembly 222 may be fabricated from aluminum.
  • the lid assembly 222 may include an entry port 260 through which a processing gas may be introduced into the processing region 202 .
  • the processing gas may comprise deposition (or etch) gases provided from a gas source 261 , or cleaning plasma provided from a remote plasma source (RSP) 262 .
  • a gas distribution assembly 270 may be coupled to an interior side of the lid assembly 222 .
  • the gas distribution assembly 270 includes an annular base plate 272 having a blocker plate 274 disposed intermediate to a faceplate (or shower head) 276 .
  • the blocker plate 274 provides an even gas distribution to a backside of the faceplate 276 .
  • a processing gas supplied via the entry port 260 enters a first hollow volume 278 partially limited between the annular base plate 272 and the blocker plate 274 , and then flows through a plurality of passages 280 formed in the blocker plate 274 into a second volume 282 between the blocker plate 274 and the faceplate 276 .
  • the processing gas then enters the process volume 216 from the second volume 282 through a plurality of passages 284 formed in the faceplate 276 .
  • the faceplate 276 is isolated from the chamber walls 212 and blocker plate 274 (or base plate 272 ) via an insulator material 286 .
  • the annular base plate 272 , blocker plate 274 and faceplate 276 may be fabricated from stainless steel, aluminum, anodized aluminum, nickel or other compatible metal alloys cleanable with a plasma, such as a chlorine based cleaning gas, a fluorine based cleaning gas, a combination thereof or other selected cleaning chemistry.
  • a gas circulation system is installed between each processing region 202 and the gas source 261 and RPS 262 .
  • the gas circulation system comprises first gas conduits 290 that respectively link the entry port 260 at the top of each processing region 202 to the gas source 261 and RPS 262 , and at least one second gas conduit 294 connected with the first gas conduit 290 via a valve 300 .
  • the second gas conduit 294 is coupled to one or more passages 292 that extends downward through the chamber walls and intersects one or more cross-channels 296 that respectively open into a bottom portion of each processing region 202 .
  • separate passages 292 , 296 are utilized to couple each region 202 separately to the valve 300 .
  • each region 202 may have gas delivery thereto controlled by a separate dedicated valve 300 so that the flow of cleaning gas may be delivered selectively and independently to each region 202 , including delivering cleaning gas to one of the regions 202 and not the other.
  • the valve 300 can be open so that a portion of the cleaning plasma that is delivered through the top of each processing region 202 can also be diverted to the bottom portion of each processing region 202 .
  • the stagnation of cleaning plasma below the substrate support assembly 230 can thereby be substantially prevented and the cleaning efficiency to the region below the substrate support assembly 230 be improved.
  • FIGS. 3A-3B are horizontal sectional and schematic partial isometric views illustrating one embodiment of the valve 300 .
  • the valve 300 comprises a valve body 330 , a flapper 302 , a seal cup 304 and a coupling mechanism 308 .
  • the valve body 330 may be fabricated from a high temperature material suitable for use with the cleaning and process chemistries. Examples of suitable materials include aluminum, aluminum oxide, aluminum nitride, sapphire and ceramic, among others. Other examples of suitable materials include materials that are resistant to corrosion from fluorine and oxygen radicals. In one embodiment, the valve body 330 is fabricated from aluminum.
  • the valve body 330 houses the flapper 302 which may be selectively rotated to substantially prevent flow from passing between an inlet 399 of the valve body 330 and a pair of outlet ports 332 .
  • the inlet 399 is configured to be coupled to the RPS source 262
  • the outlet ports 332 are configured to be coupled to the region 202 through the second gas conduit 294 and passages 292 .
  • the inlet 399 and outlet ports 332 may be configured to accept a fitting suitable for making leak-free connection to the conduits 290 , 294 .
  • the actuator portion of the flapper 302 is surrounded by the cup seal 304 , which is used to securely fix the seal cup 304 to the valve body 330 .
  • the flapper 302 is divided into an outer body 310 having a generally cylindrical shape, and a flow-obstructing plate 312 attached to an opposite side of the outer body 310 .
  • the flapper 302 including the outer body 310 and obstructing plate 312 may be a single undivided body made of aluminum or other material as mentioned above.
  • the flapper 302 and body 330 are fabricated with close tolerances so that minimal leakage occurs there between.
  • the flapper 302 and body 330 are designed to eliminate the need for a separate dynamic seal which may wear and/or be attached by the cleaning gases and/or other species.
  • the seal cup 304 which substantially encapsulates the outer body 310 , is adapted to allow relative rotation of the flapper 302 , and substantially seal the side of the flow-obstructing plate 312 corresponding to the interior of the gas circulation system from the outside environment.
  • the coupling mechanism 308 has a generally U-shape with two magnetized end portions 318 .
  • the magnetized end portions 318 have embedded magnets that are completely enclosed inside the flapper 302 so that direct contact of the embedded magnet to corrosive gases is prevented.
  • the coupling mechanism 308 is placed over the seal cup 304 , with the two magnetized end portions 318 respectively facing two opposite poles 320 of a magnet 322 embedded in the outer body 310 .
  • the magnet 322 may be a permanent magnet and/or an electromagnet.
  • the seal cup 304 is protected from high temperature contact with the coupling mechanism 308 .
  • the coupling mechanism 308 rotates, the magnetic attraction between the magnetized end portions 318 and the opposite poles 320 of the magnet 322 causes the flapper 302 to rotate.
  • the orientation of the flow-obstructing plate 312 can be changed by rotation to either allow gas flow passage (open state, as shown in FIG. 3A ) or block gas flow passage (closed state, as shown in phantom in FIG. 3A ).
  • FIG. 3C is a cross-sectional view showing one embodiment of the valve 300 coupled to the second conduit 294 taken along section line C-C of FIG. 3A .
  • the cup seal 304 includes a collar 306 which may be fastened to the valve body 330 to retain the flapper 302 .
  • a static seal 314 may be provided between the valve body 330 and collar 306 to prevent leakage.
  • the static seal 314 may be fabricated from a material suitable for use with the process and cleaning chemistries, which in embodiment utilizing fluorine-based cleaning gases, may be VITON. Since the valve 300 has no moving, shaft or dynamic seals, the service life of the valve is greatly extended over conventional designs, and can be operated at temperatures above 250 degrees Celsius with substantially no corrosion of the valve components.
  • the rotation of the flapper 302 may be facilitated via a ball bearing 334 that interfaces between an end of the flow-obstructing plate 312 and a wall 335 of the valve body 330 , and ball bearing 336 that interfaces between the outer body 310 to the seal cup 304 .
  • the orientation of the flow-obstructing plate 312 can thereby be oriented to either block or permit the passage of an incident gas flow 340 , such as the cleaning gas being directed into the second gas conduit 294 .
  • a bearing 398 may be disposed between the flapper 302 and the valve body 330 as shown in FIG. 3D .
  • the bearing 398 may be fabricated materials that are resistant to corrosion from fluorine and oxygen radicals, which in one embodiment is a ceramic material.
  • the bearing 398 includes an upper race 395 that rotates on a lower race 397 via a plurality of roller 396 .
  • the upper race 395 is in contact with the flapper 302 .
  • the upper race 395 is press-fit to the flapper 302 .
  • the lower race 397 is in contact with the valve body 330 .
  • the lower race 397 is press-fit to the valve body 330 .
  • the rollers 396 may have a cylinder, ball, tapered, conical or other suitable shape.
  • one or more magnetic bearings 390 may be utilized to provide a bearing between the flapper 302 and the valve body 330 as shown in FIG. 3E .
  • the magnetic bearing 390 includes a pair of repelling magnets.
  • the magnetic bearing 390 includes two pair of repelling magnets, a first pair 392 A, 394 A and a second pair 392 B, 394 B disposed at opposite ends of the flapper 302 .
  • the magnets 394 A, 394 B are encapsulated with in the flapper 302 so that they are protected from the fluorine and oxygen radicals present in the cleaning gases.
  • the magnets 392 A, 392 B may be permanent magnets or electromagnets.
  • the magnets pair 392 A, 394 A and 392 B, 394 B function to levitate the flapper 302 within the valve body 330 so that the flapper 302 may be freely rotated by the magnetic interaction with the coupling mechanism 308 .
  • the coupling mechanism 308 rotated by an actuator 390 to open and close the valve 300 .
  • the actuator 390 may be a solenoid, air motor, electric motor, pneumatic cylinder or other actuator suitable for controlling the rotary motion of the coupling mechanism 308 .
  • the actuator 390 may be mounted to the valve 300 , process chamber 200 or other suitable structure.
  • FIG. 4 is a flowchart illustrating method steps of one embodiment of a sequence for operating the process chamber 200 .
  • a substrate is introduced in a processing region 202 of the process chamber 200 to undergo a plasma process, such as an etch or deposition process.
  • a plasma process such as an etch or deposition process.
  • a process gas is delivered from the gas source 261 into the process volume 216 through the first conduit 290 and the gas distribution plate assembly 270 at the top of each processing region 202 .
  • step 406 after the plasma process is completed, the substrate is removed out of the processing region 202 .
  • a cleaning agent from the RPS 262 such as a chlorine based cleaning gas, a fluorine based cleaning gas, or a combination thereof, is delivered through the first conduit 290 and the gas distribution plate assembly 270 at the top of each processing region 202 .
  • the cleaning gas may comprise at least one of NF 3 , F 2 , SF 6 , Cl 2 , CF 4 , C 2 F 6 , CCl 4 or C 2 Cl 6 .
  • the valve 300 in step 410 is opened for a period of time to divert a portion of the supplied cleaning plasma through the passages 292 to the bottom 214 of each processing region 202 below the substrate support assembly 230 .
  • This additional flow of cleaning plasma reduces the recombination of fluorine radicals, and eliminates the flow stagnation under the support assembly 230 .
  • the introduction of the diverted cleaning gas through the channels 196 creates a well-mixed turbulent flow below the substrate support assembly 230 prior to being pumped out of the chamber 200 . As a result, the cleaning rate in each processing region 202 may be improved.
  • valve 300 may be another type of valve suitable for controlling the relative flows through the conduits 290 , 294 from the RPS source 262 , including switching between the flows through the conduits 290 , 294 between flow and no-flow conditions, or providing a range of selected flow ratios through the conduits 290 , 294 .
  • the substrate processing system is thus able to controllably flow processing gases through both the top and bottom of a process chamber.
  • the controlled supply of a cleaning plasma concurrently through the top and bottom of the process chamber into the process volume can decrease the recombination of chemical radicals inside the process volume.
  • the horizontal introduction of the cleaning gas below the support assembly produces a turbulent flow which enhances chamber cleaning.
  • lower total mass flow rate causes a higher weight percentage of cleaning agents to flow into the bottom of the processing chamber.
  • 42.67 mass percentage of cleaning agent may be directed to the bottom of the processing chamber through the conduit 294 and passages 292 under a total plasma flow rate at 5000 sccm while only 28.8 mass percentage of cleaning agent flows to the bottom of the processing chamber under a total plasma flow rate at 15,000 sccm.
  • lower total plasma flow rate can divert more percentage of the cleaning agent to the bottom of the process chamber, and thus the process chamber can be cleaned more efficiently.
  • FIG. 5 is an exploded view of another embodiment of a flapper 500 .
  • FIG. 6 is a top view of the flapper 500 .
  • the flapper 500 includes a body 502 , a cap 504 and one or more magnets 506 .
  • flapper 302 including the outer body 310 and obstructing plate 312 may be a single undivided body made of aluminum or other material as mentioned above.
  • the body 502 and cap 504 may be fabricated from the materials described above.
  • the body 502 includes outer body 534 and an obstructing plate 538 .
  • the outer body 534 has recess 528 formed in a first end 530 that is sized to receive at least a portion of the cap 504 .
  • the cap 504 is pressed fit into the recess 528 so the cap 504 cannot rotate within the recess 528 .
  • the cap 504 may be pinned, adhered, bonded, welded or otherwise fastened to the body 502 in a manner that prevents rotation.
  • the obstructing plate 538 extends from a second end 540 of the body 502 to a disk 536 .
  • the disk 536 is sized to interface with a recess formed in the valve body to facilitate rotation of the flapper 500 .
  • the disk 536 generally has a diameter less than a diameter of the outer body 534 .
  • a bottom surface 532 of the disk 536 includes pocket 520 for retaining a ball bearing (not shown) that facilitates rotation of the flapper 500 .
  • the second end 540 of the body 502 also includes a plurality of depressions 542 formed therein.
  • the depressions 542 are radially orientated and equally spaced about a polar array.
  • the depressions 542 are configured to mate with projections (not shown) extending from upper race 395 so that the race 395 is locked in rotation with the flapper 500 .
  • FIG. 7 is a partial sectional view of the disk 536 through the pocket 520 .
  • the pocket 520 includes a blind hole 606 that is formed concentric to the centerline of the body 502 .
  • a countersink 604 is formed concentric with the hole 606 .
  • the countersink 604 is formed at an angle that facilitates retention of the ball bearing within the pocket 520 .
  • the cap 504 includes a cylindrical body 510 having an upper end 516 and a lower end 518 .
  • the cylindrical body 510 has a diameter that fits within the recess 528 of the body 502 .
  • a lip 508 formed at the upper end 516 of the body 510 so that the end 530 of the body 502 seats on a ledge 512 defined by the lip 508 , thereby setting the penetration of the body 510 into the body 502 at a predetermined depth.
  • a pocket 520 may also be formed in the cap 504 to facilitate retention of a ball bearing (not shown) on the center axis of the flapper 500 .
  • a cross-hole 514 is formed through the body 510 to receive the one or more magnets 506 .
  • the cross-hole 514 is formed perpendicular to a centerline of the flapper 500 .
  • the one or more magnets 506 are captured in the cross-hole 514 when the cap 504 is inserted into the recess 528 of the body 502 .
  • the one or more magnets 506 include a plurality of magnets stacked in a linear arrangement.
  • the one or more magnets 506 include a North pole 524 , a South pole 522 and one more magnets 526 stacked therebetween.
  • FIGS. 8A-8B are top and bottom views of another embodiment of a valve body 800 .
  • the valve body 800 is generally a unitary aluminum or ceramic member, although the valve body 800 may be fabricated from other suitable materials.
  • the valve body 800 includes a top surface 802 and a bottom surface 804 .
  • a first bore 810 is formed into the body 800 from the top surface 802 .
  • the first bore 810 is positioned at least partially in an extending portion 806 .
  • the extending portion 806 has a first passage 812 (shown in phantom) formed therethrough. The end of the first passage 812 servers to connect the valve body 800 to the conduits leading to the remote plasma source 262 .
  • the second end of the first passage 812 is teed into a second passage 824 (also shown in phantom).
  • the first bore 810 is aligned with the first passage 812 and is sized to receive the flapper as to control the flow of fluid through the first passage 812 to the second passage 824 .
  • a plurality of threaded blind mounting holes 816 are formed in the first side 802 of the valve body 800 to retain the seal cup (not shown) to the valve body 800 .
  • the second side 804 of the valve body 800 includes a second and third bores 818 .
  • the second and third bores 818 communicate with the second passage 824 on either side of intersection of the first and second passages 812 , 824 .
  • An o-ring groove 820 circumscribes each bore 818 to allow the conduits extending from the valve 800 into the chamber body to be sealingly coupled to the valve 800 .
  • the o-rings may be compressed to seal a fitting coupled to the second and third bores 818 using fasteners (not shown) passed through mounting holes 822 formed through the body 800 . In the embodiment depicted in FIGS. 8A-8B , four mounting holes 822 are associated with each bore 818 .
  • the second passage 824 may be sealed at either end by plugs 830 .
  • the plugs 830 may be pressed fit, welded, bonded, adhered, threaded or sealingly coupled to the body 800 by another suitable manner.
  • the first bore 810 include a ledge 832 that interfaces with the outer body of the flapper and/or supports the lower race 397 of the bearing 398 .
  • the obstructing plate of the flapper extends into the bore 810 and may be rotated as to control the flow through the first passage 812 .
  • the bottom of the first bore 810 may also include a pocket 520 to facilitate retention of the ball (not shown) disposed between the flapper and the body 800 .
  • the ledge 832 may include a plurality of depressions 840 that are configured to mate with projections extending from the lower race 397 of the bearing 398 such that the lower race 397 is fixed to the body 800 while the flapper 500 rotates.
  • a flange support 299 is coupled in line with the outlet of the remote plasma source 262 to allow a pressure sensor 297 to detect a metric indicative of the output pressure of the remote plasma source 262 .
  • the sensor 297 may be in the form of a manometer, pressure gage or other sensor suitable for obtaining a metric indicative of the pressure of the cleaning agent exiting the remote plasma source 262 .
  • FIG. 9 depicts one embodiment of flange support 299 .
  • the flange support 299 includes an inlet 902 and two outlets 904 , 906 .
  • the inlet 902 is coupled to the outlet of the remote plasma source 262 and fluidly coupled to the first outlet 904 through a main passage 920 extending through the flange support 299 .
  • the first outlet 904 is coupled to the conduit that provides the cleaning agent from the remote plasma source 262 to the valve 300 and entry ports 260 .
  • the second outlet 906 is fluidly coupled to the main passage 920 coupling the inlet 902 to the first outlet 904 by a secondary passage 922 .
  • the second outlet 906 is configured to accept the sensor 297 .
  • the flange support 299 includes a flange base 912 , a pipe 914 , an elbow 916 and a flange 918 which are assembled as a pressure tight assembly.
  • the flange base 912 , pipe 914 , elbow 916 and flange 918 are fabricated from aluminum or stainless steel and are welded together, for example, by a continuous weld.
  • the flange base 912 includes a cylindrical body 926 through which the main passage 920 is formed.
  • the cylindrical body 926 has a major flange 928 at a first end and a minor flange 930 through a second end.
  • the inlet 902 is formed through the minor flange 930 and is circumscribed by an o-ring groove 932 on its face 934 .
  • the face 934 of the minor flange 930 also includes a plurality of mounting holes, not shown, which is one embodiment are in the form of a plurality of through holes.
  • the first outlet 904 is formed through the major flange 928 .
  • a face 936 of the major flange 928 is finished to provide a sealing surface.
  • the face 936 of the major flange 928 also includes a plurality of mounting holes, not shown, which is one embodiment are in the form of a plurality of through holes.
  • the cylindrical body 926 includes a hole 938 which breaks into the main passage 920 .
  • the hole 938 is formed substantially perpendicular to the centerline of the body 926 , which is coaxial with the centerline of the main passage 920 .
  • the pipe 914 is configured to sealing couple to the cylindrical body 926 in a manner that fluidly coupled a passage 940 defined through the pipe 914 with the hole 938 .
  • a first end of the pipe 914 has a taper or has a reduced outside diameter that is inserted into the hole 938 to facilitate coupling of the pipe 914 to the body 926 .
  • the second end of the pipe 914 may have a taper or has a reduced outside diameter that is inserted into the elbow 916 to facilitate coupling of the pipe 914 to the elbow 916 .
  • the flange 918 includes a cylindrical stem 950 having a passage 960 formed therethrough. One end of the stem 950 has a lip 952 . The lip 952 circumscribes a port 954 that defines the second outlet 906 . The port 954 is configured in manner suitable for coupling the sensor 297 to the flange support 299 .
  • a face 956 of the lip 952 includes a recess 958 which is concentric with the passage 960 through the stem 950 .
  • the face 956 of the lip 952 may have an orientation substantially perpendicular to the centerline of the passage 960 .
  • a backside 962 of the lip 952 may be tapered to facilitate coupling a fitting, not show, utilized to secure the sensor 297 .
  • the backside of the lip forms an angle with the stem of about 205 degrees.
  • the passage 960 formed through the flange 918 , a passage 964 formed through the elbow 916 , the passage 940 formed through the pipe 914 and the hole 938 formed in the support flange 912 define the secondary passage 922 .
  • the flange support 299 allows direct delivery of the cleaning agents from the remote plasma source 262 with minimal obstruction which would adversely promote recombination. Additionally, the flange support 299 facilitates coupling of the sensor 297 in a convenient location that is remote from the other utilities routed to the top of the chamber.

Abstract

A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of co-pending U.S. patent application Ser. No. 12/142,402, filed Jun. 19, 2008, which application is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to apparatuses and methods for cleaning process chambers of substrate processing apparatuses. More particularly, embodiments of the present invention relate to apparatus and methods for cleaning a process chamber used for deposition.
  • 2. Description of the Related Art
  • After a number of deposition steps have been performed in a process chamber, the process chamber may need cleaning to remove undesirable deposition residues that may have formed on the chamber wall. One conventional approach for cleaning current chemical vapor deposition (CVD) or plasma enhanced chemical vapor deposition (PECVD) process chambers is to use cleaning plasma supplied from a remote plasma source (RPS) separate from the process chamber. The RPS provides the cleaning plasma, usually formed from a fluorine-based cleaning gas, which is flowed into the deposition chamber via gas circulation hardware comprising a gas box, gas manifold, and a gas distribution system installed in the process chamber.
  • To obtain a higher etching rate during cleaning, the cleaning plasma is usually supplied in an active form comprised of atomic fluorine radicals. However, complex transport paths from the RPS to the deposition chamber usually results in a premature recombination of the atomic fluorine radicals into molecular gases that have a lower etching rate. Consequently, cleaning efficiency may be low even if precursor dissociation efficiency of cleaning gases is high. Further, for chambers having large volume and intricate geometry, such as a 300 mm process chamber, the chamber pumping port is usually close to a shower head utilized to deliver cleaning gases to the chamber. Therefore, poor circulation of gases under a substrate support assembly positioned between the showerhead and pumping port results in decreased cleaning efficiency under the substrate support assembly.
  • Therefore, there is a need for an improved apparatus and method for cleaning a deposition chamber.
  • SUMMARY OF THE INVENTION
  • A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
  • In another embodiment, a substrate processing system is provided that includes a loadlock chamber, a transfer chamber coupled to the loadlock chamber, a remote plasma source, and a process chamber coupled to the transfer chamber. The process chamber includes a chamber body having at least a first processing region, a first substrate support assembly disposed in the first processing region, a first gas distribution assembly coupled to the remote plasma source and configured to provide gases from the remote plasma source into first processing region from above the substrate support assembly, and a gas passage coupled to the remote plasma source and configured to provide gases from the remote plasma source into the first processing region from below the substrate support assembly.
  • In another embodiment, a method for supplying a processing gas into a process chamber is disclosed. The method comprises providing a plasma source, flowing a first volume cleaning agent from the plasma source through the top of the process chamber into an interior volume of the process chamber, and flowing a second volume of cleaning agent into the interior volume from below a substrate support assembly.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic plan view showing one embodiment of a processing system having a cleaning system.
  • FIG. 2 is a schematic cross-sectional view of one embodiment of a twin process chamber.
  • FIG. 3A is a horizontal cross-sectional view illustrating one embodiment of a valve used in the process chamber of FIG. 2.
  • FIG. 3B is a schematic partial isometric cut-away view illustrating the valve of FIG. 3A.
  • FIG. 3C is a cross-sectional view illustrating the valve of FIG. 3A.
  • FIG. 4 is a flowchart of method steps for one embodiment of deposition sequence that may be performed in the process chamber of FIG. 2.
  • FIG. 5 is an exploded cross-sectional view of another embodiment of a flapper.
  • FIGS. 6-7 are partial sectional view and a top view of the flapper of FIG. 5.
  • FIGS. 8A-8B are top and bottom views of another embodiment of a valve body.
  • FIG. 8C is a cross-sectional view of the valve body taken along section line 8C-8C of FIG. 8B.
  • FIG. 8D is a cross-sectional view of the valve body taken along section line 8D-8D of FIG. 8C.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
  • DETAILED DESCRIPTION
  • Embodiments described herein relate to a substrate processing system that is operable to perform a plasma process (such as etch, CVD, PECVD and the like) on one or more substrates, and undergo plasma cleaning to remove residues formed during the deposition process. One illustrated example of the substrate processing system comprises, without limitation, a factory interface, a loadlock chamber, a transfer chamber, and at least one process chamber having two or more processing regions that are isolatable from each other and share a common gas supply and common exhaust pump. To remove deposition residues from the interior of the process chamber, a remote plasma source is operable to generate cleaning plasma that is supplied into the interior of the process chamber from the top and bottom of the process chamber. The interior of the process chamber can thereby be cleaned in a more efficient manner.
  • FIG. 1 is a schematic view showing an embodiment of a substrate processing system 100. The substrate processing system 100 comprises a factory interface 110 where substrates are loaded into and unloaded from at least one loadlock chamber 140, a substrate transfer chamber 170 housing a robot 172 for handling substrates, and at least one process chamber 200 connected to the transfer chamber 170. The processing chamber 100 is adapted to accommodate various plasma processes and support chamber hardware such as etch, CVD or PECVD processes.
  • As shown in FIG. 1, the factory interface 110 may include substrate cassettes 113 and a substrate-handling robot 115. Each of the cassettes 113 contains substrates ready for processing. The substrate-handling robot 115 may comprise a substrate mapping system to index the substrates in each cassette 113 in preparation for loading the substrates into the loadlock chambers 140.
  • The loadlock chambers 140 provide a vacuum interface between the factory interface 110 and the transfer chamber 170. Each loadlock chamber 140 may comprise an upper substrate support (not shown) and a lower substrate support (not shown) stacked within the loadlock chamber 140. The upper substrate support and the lower substrate support are configured to support incoming and outgoing substrates thereon. Substrates may be transferred between the factory interface 110 and the loadlock chamber 140 via a slit valve 146, and between the loadlock chamber 140 and the transfer chamber 170 via a slit valve 148. The upper substrate support and lower substrate support may comprise features for temperature control, such as built-in heater or cooler to heat or cool substrates during transferring.
  • The transfer chamber 170 includes a substrate-handling robot 172 operable to transfer substrates between the loadlock chamber 140 and the process chamber 200. More specifically, the substrate-handling robot 172 may have dual substrate-handling blades 174 suitable to transfer two substrates at the same time from one chamber to another. The blades 174 may also be configured to move independent each other. Substrates may be transferred between the transfer chamber 170 and the process chamber 200 via slit valves 176. The movement of the substrate-handling robot 172 may be controlled by a motor drive system (not shown), which may include a servo or stepper motor.
  • FIG. 2 is a schematic cross-sectional view illustrating one embodiment of the process chamber 200. The process chamber 200 comprises two processing regions 202 in which substrates 204 can undergo plasma processing in a concurrent manner. Each processing region 202 has sidewalls 212 and a bottom 214 that partially define a process volume 216. The process volume 216 may be accessed through an access port (not shown) formed in the walls 212 as selectively sealed by valves 176 that facilitate movement of the substrate 204 into and out of each processing region 202. The walls 212 and bottom 214 of each processing region 202 may be fabricated from a unitary block of aluminum or other material compatible with processing. The walls 212 of each processing region 202 support a lid assembly 222, and also include the assembly of a liner 224 having an exhaust port 226 through which the processing region 202 may be evacuated uniformly by a vacuum pump (not shown).
  • A substrate support assembly 230 is centrally disposed within each processing region 202. In one embodiment, the support assembly 230 may be temperature controlled. The support assembly 230 comprises a support base 232 made of aluminum that may encapsulate at least one embedded heater 234 operable to controllably heat the support assembly 230 and the substrate 204 positioned thereon to a predetermined temperature. In one embodiment, the support assembly 230 may operate to maintain the substrate 204 at a temperature between about 150 degrees Celsius to about 1000 degrees Celsius, depending on the processing parameters for the material being processed.
  • Each support base 232 has an upper side 236 for supporting the substrate 204, whereas the lower side of the support base 232 is coupled to a stem 238. The stem 238 couples the support assembly 230 to a lift system 240 that moves the support assembly 230 vertically between an elevated processing position and a lowered position that facilitates substrate transfer to and from the processing region 202. The stem 238 additionally provides a conduit for electrical and thermocouple leads between the support assembly 230 and other components of the chamber 200. A bellows 242 may be coupled between the stem 238 and the bottom 214 of each processing region 202. The bellows 242 provides a vacuum seal between the process volume 216 and the atmosphere outside each processing region 202 while facilitating vertical movement of the support assembly 230.
  • To facilitate the transfer of the substrate 204, each support base 232 also has a plurality of openings 246 through which lift pins 248 are movably mounted. The lift pins 248 are operable to move between a first position and a second position. The first position, shown in FIG. 2, allows the substrate 204 to rest on the upper side 236 of the support base 232. The second position (not shown) lifts the substrate 204 above the support base 232 so that the substrate 204 can be transferred to the substrate-handling robot 172 coming through an access port (not shown). Upward/downward movements of the lift pins 248 may be driven by a movable plate 250.
  • The lid assembly 222 provides an upper boundary to the process volume 216 in each processing region 202. The lid assembly 222 may be removed or opened to service the processing regions 202. In one embodiment, the lid assembly 222 may be fabricated from aluminum.
  • The lid assembly 222 may include an entry port 260 through which a processing gas may be introduced into the processing region 202. The processing gas may comprise deposition (or etch) gases provided from a gas source 261, or cleaning plasma provided from a remote plasma source (RSP) 262. A gas distribution assembly 270 may be coupled to an interior side of the lid assembly 222. The gas distribution assembly 270 includes an annular base plate 272 having a blocker plate 274 disposed intermediate to a faceplate (or shower head) 276. The blocker plate 274 provides an even gas distribution to a backside of the faceplate 276. A processing gas supplied via the entry port 260 enters a first hollow volume 278 partially limited between the annular base plate 272 and the blocker plate 274, and then flows through a plurality of passages 280 formed in the blocker plate 274 into a second volume 282 between the blocker plate 274 and the faceplate 276. The processing gas then enters the process volume 216 from the second volume 282 through a plurality of passages 284 formed in the faceplate 276. The faceplate 276 is isolated from the chamber walls 212 and blocker plate 274 (or base plate 272) via an insulator material 286. The annular base plate 272, blocker plate 274 and faceplate 276 may be fabricated from stainless steel, aluminum, anodized aluminum, nickel or other compatible metal alloys cleanable with a plasma, such as a chlorine based cleaning gas, a fluorine based cleaning gas, a combination thereof or other selected cleaning chemistry.
  • To deliver the processing gas to each processing region 202, a gas circulation system is installed between each processing region 202 and the gas source 261 and RPS 262. The gas circulation system comprises first gas conduits 290 that respectively link the entry port 260 at the top of each processing region 202 to the gas source 261 and RPS 262, and at least one second gas conduit 294 connected with the first gas conduit 290 via a valve 300. The second gas conduit 294 is coupled to one or more passages 292 that extends downward through the chamber walls and intersects one or more cross-channels 296 that respectively open into a bottom portion of each processing region 202. In the embodiment depicted in FIG. 2, separate passages 292, 296 are utilized to couple each region 202 separately to the valve 300. It is also contemplated that each region 202 may have gas delivery thereto controlled by a separate dedicated valve 300 so that the flow of cleaning gas may be delivered selectively and independently to each region 202, including delivering cleaning gas to one of the regions 202 and not the other. When a cleaning plasma is provided from the RPS 262, the valve 300 can be open so that a portion of the cleaning plasma that is delivered through the top of each processing region 202 can also be diverted to the bottom portion of each processing region 202. The stagnation of cleaning plasma below the substrate support assembly 230 can thereby be substantially prevented and the cleaning efficiency to the region below the substrate support assembly 230 be improved.
  • FIGS. 3A-3B are horizontal sectional and schematic partial isometric views illustrating one embodiment of the valve 300. As shown, the valve 300 comprises a valve body 330, a flapper 302, a seal cup 304 and a coupling mechanism 308. The valve body 330 may be fabricated from a high temperature material suitable for use with the cleaning and process chemistries. Examples of suitable materials include aluminum, aluminum oxide, aluminum nitride, sapphire and ceramic, among others. Other examples of suitable materials include materials that are resistant to corrosion from fluorine and oxygen radicals. In one embodiment, the valve body 330 is fabricated from aluminum. The valve body 330 houses the flapper 302 which may be selectively rotated to substantially prevent flow from passing between an inlet 399 of the valve body 330 and a pair of outlet ports 332. The inlet 399 is configured to be coupled to the RPS source 262, while the outlet ports 332 are configured to be coupled to the region 202 through the second gas conduit 294 and passages 292. The inlet 399 and outlet ports 332 may be configured to accept a fitting suitable for making leak-free connection to the conduits 290, 294.
  • The actuator portion of the flapper 302 is surrounded by the cup seal 304, which is used to securely fix the seal cup 304 to the valve body 330. The flapper 302 is divided into an outer body 310 having a generally cylindrical shape, and a flow-obstructing plate 312 attached to an opposite side of the outer body 310. In one embodiment, the flapper 302 including the outer body 310 and obstructing plate 312 may be a single undivided body made of aluminum or other material as mentioned above. The flapper 302 and body 330 are fabricated with close tolerances so that minimal leakage occurs there between. Thus, the flapper 302 and body 330 are designed to eliminate the need for a separate dynamic seal which may wear and/or be attached by the cleaning gases and/or other species. When in use, the seal cup 304, which substantially encapsulates the outer body 310, is adapted to allow relative rotation of the flapper 302, and substantially seal the side of the flow-obstructing plate 312 corresponding to the interior of the gas circulation system from the outside environment.
  • The rotation of the flapper 302 is driven via the coupling mechanism 308. In one embodiment, the coupling mechanism 308 has a generally U-shape with two magnetized end portions 318. The magnetized end portions 318 have embedded magnets that are completely enclosed inside the flapper 302 so that direct contact of the embedded magnet to corrosive gases is prevented. The coupling mechanism 308 is placed over the seal cup 304, with the two magnetized end portions 318 respectively facing two opposite poles 320 of a magnet 322 embedded in the outer body 310. The magnet 322 may be a permanent magnet and/or an electromagnet. As a gap is present between the seal cup 304 and the coupling mechanism 308, the seal cup 304 is protected from high temperature contact with the coupling mechanism 308. When the coupling mechanism 308 rotates, the magnetic attraction between the magnetized end portions 318 and the opposite poles 320 of the magnet 322 causes the flapper 302 to rotate. In this manner, the orientation of the flow-obstructing plate 312 can be changed by rotation to either allow gas flow passage (open state, as shown in FIG. 3A) or block gas flow passage (closed state, as shown in phantom in FIG. 3A).
  • FIG. 3C is a cross-sectional view showing one embodiment of the valve 300 coupled to the second conduit 294 taken along section line C-C of FIG. 3A. The cup seal 304 includes a collar 306 which may be fastened to the valve body 330 to retain the flapper 302. A static seal 314 may be provided between the valve body 330 and collar 306 to prevent leakage. The static seal 314 may be fabricated from a material suitable for use with the process and cleaning chemistries, which in embodiment utilizing fluorine-based cleaning gases, may be VITON. Since the valve 300 has no moving, shaft or dynamic seals, the service life of the valve is greatly extended over conventional designs, and can be operated at temperatures above 250 degrees Celsius with substantially no corrosion of the valve components.
  • The rotation of the flapper 302 may be facilitated via a ball bearing 334 that interfaces between an end of the flow-obstructing plate 312 and a wall 335 of the valve body 330, and ball bearing 336 that interfaces between the outer body 310 to the seal cup 304. Driven via the coupling mechanism 308, the orientation of the flow-obstructing plate 312 can thereby be oriented to either block or permit the passage of an incident gas flow 340, such as the cleaning gas being directed into the second gas conduit 294.
  • Alternatively, or in addition to the ball bearings 334, 336, a bearing 398 may be disposed between the flapper 302 and the valve body 330 as shown in FIG. 3D. The bearing 398 may be fabricated materials that are resistant to corrosion from fluorine and oxygen radicals, which in one embodiment is a ceramic material. The bearing 398 includes an upper race 395 that rotates on a lower race 397 via a plurality of roller 396. The upper race 395 is in contact with the flapper 302. In one embodiment, the upper race 395 is press-fit to the flapper 302. The lower race 397 is in contact with the valve body 330. In one embodiment, the lower race 397 is press-fit to the valve body 330. The rollers 396 may have a cylinder, ball, tapered, conical or other suitable shape.
  • Alternatively, one or more magnetic bearings 390 may be utilized to provide a bearing between the flapper 302 and the valve body 330 as shown in FIG. 3E. The magnetic bearing 390 includes a pair of repelling magnets. In the embodiment depicted in FIG. 3E, the magnetic bearing 390 includes two pair of repelling magnets, a first pair 392A, 394A and a second pair 392B, 394B disposed at opposite ends of the flapper 302. The magnets 394A, 394B are encapsulated with in the flapper 302 so that they are protected from the fluorine and oxygen radicals present in the cleaning gases. The magnets 392A, 392B may be permanent magnets or electromagnets. The magnets pair 392A, 394A and 392B, 394B function to levitate the flapper 302 within the valve body 330 so that the flapper 302 may be freely rotated by the magnetic interaction with the coupling mechanism 308.
  • The coupling mechanism 308 rotated by an actuator 390 to open and close the valve 300. The actuator 390 may be a solenoid, air motor, electric motor, pneumatic cylinder or other actuator suitable for controlling the rotary motion of the coupling mechanism 308. The actuator 390 may be mounted to the valve 300, process chamber 200 or other suitable structure.
  • FIG. 4 is a flowchart illustrating method steps of one embodiment of a sequence for operating the process chamber 200. In initial step 402, a substrate is introduced in a processing region 202 of the process chamber 200 to undergo a plasma process, such as an etch or deposition process. In step 404, while the valve 300 is closed, a process gas is delivered from the gas source 261 into the process volume 216 through the first conduit 290 and the gas distribution plate assembly 270 at the top of each processing region 202. In step 406, after the plasma process is completed, the substrate is removed out of the processing region 202. In step 408, while the valve 300 is in a closed state, a cleaning agent from the RPS 262, such as a chlorine based cleaning gas, a fluorine based cleaning gas, or a combination thereof, is delivered through the first conduit 290 and the gas distribution plate assembly 270 at the top of each processing region 202. In one embodiment, the cleaning gas may comprise at least one of NF3, F2, SF6, Cl2, CF4, C2F6, CCl4 or C2Cl6. While the cleaning gas is introduced through the top of each processing region 202, the valve 300 in step 410 is opened for a period of time to divert a portion of the supplied cleaning plasma through the passages 292 to the bottom 214 of each processing region 202 below the substrate support assembly 230. This additional flow of cleaning plasma reduces the recombination of fluorine radicals, and eliminates the flow stagnation under the support assembly 230. Moreover, the introduction of the diverted cleaning gas through the channels 196 creates a well-mixed turbulent flow below the substrate support assembly 230 prior to being pumped out of the chamber 200. As a result, the cleaning rate in each processing region 202 may be improved. It is contemplated that the opening of the valve 300 in step 410 may occur prior to or simultaneously with the introduction of the cleaning gas at step 408. In step 412, once the cleaning operation is completed, the supply of cleaning gas is terminated. It is also contemplated that the valve 300 may be another type of valve suitable for controlling the relative flows through the conduits 290, 294 from the RPS source 262, including switching between the flows through the conduits 290, 294 between flow and no-flow conditions, or providing a range of selected flow ratios through the conduits 290, 294.
  • As has been described above, the substrate processing system is thus able to controllably flow processing gases through both the top and bottom of a process chamber. During cleaning, the controlled supply of a cleaning plasma concurrently through the top and bottom of the process chamber into the process volume (i.e., from both the top and bottom sides of the substrate support) can decrease the recombination of chemical radicals inside the process volume. The horizontal introduction of the cleaning gas below the support assembly produces a turbulent flow which enhances chamber cleaning. Further, lower total mass flow rate causes a higher weight percentage of cleaning agents to flow into the bottom of the processing chamber. For example, 42.67 mass percentage of cleaning agent may be directed to the bottom of the processing chamber through the conduit 294 and passages 292 under a total plasma flow rate at 5000 sccm while only 28.8 mass percentage of cleaning agent flows to the bottom of the processing chamber under a total plasma flow rate at 15,000 sccm. As a result, lower total plasma flow rate can divert more percentage of the cleaning agent to the bottom of the process chamber, and thus the process chamber can be cleaned more efficiently.
  • FIG. 5 is an exploded view of another embodiment of a flapper 500. FIG. 6 is a top view of the flapper 500. Referring to both FIGS. 5-6, the flapper 500 includes a body 502, a cap 504 and one or more magnets 506. flapper 302 including the outer body 310 and obstructing plate 312 may be a single undivided body made of aluminum or other material as mentioned above. The body 502 and cap 504 may be fabricated from the materials described above.
  • The body 502 includes outer body 534 and an obstructing plate 538. The outer body 534 has recess 528 formed in a first end 530 that is sized to receive at least a portion of the cap 504. In one embodiment, the cap 504 is pressed fit into the recess 528 so the cap 504 cannot rotate within the recess 528. Alternatively, the cap 504 may be pinned, adhered, bonded, welded or otherwise fastened to the body 502 in a manner that prevents rotation.
  • The obstructing plate 538 extends from a second end 540 of the body 502 to a disk 536. The disk 536 is sized to interface with a recess formed in the valve body to facilitate rotation of the flapper 500. The disk 536 generally has a diameter less than a diameter of the outer body 534. A bottom surface 532 of the disk 536 includes pocket 520 for retaining a ball bearing (not shown) that facilitates rotation of the flapper 500.
  • The second end 540 of the body 502 also includes a plurality of depressions 542 formed therein. In one embodiment, the depressions 542 are radially orientated and equally spaced about a polar array. The depressions 542 are configured to mate with projections (not shown) extending from upper race 395 so that the race 395 is locked in rotation with the flapper 500.
  • FIG. 7 is a partial sectional view of the disk 536 through the pocket 520. The pocket 520 includes a blind hole 606 that is formed concentric to the centerline of the body 502. A countersink 604 is formed concentric with the hole 606. The countersink 604 is formed at an angle that facilitates retention of the ball bearing within the pocket 520.
  • Returning to FIGS. 5-6, the cap 504 includes a cylindrical body 510 having an upper end 516 and a lower end 518. The cylindrical body 510 has a diameter that fits within the recess 528 of the body 502. A lip 508 formed at the upper end 516 of the body 510 so that the end 530 of the body 502 seats on a ledge 512 defined by the lip 508, thereby setting the penetration of the body 510 into the body 502 at a predetermined depth. A pocket 520 may also be formed in the cap 504 to facilitate retention of a ball bearing (not shown) on the center axis of the flapper 500.
  • A cross-hole 514 is formed through the body 510 to receive the one or more magnets 506. The cross-hole 514 is formed perpendicular to a centerline of the flapper 500. The one or more magnets 506 are captured in the cross-hole 514 when the cap 504 is inserted into the recess 528 of the body 502.
  • In one embodiment, the one or more magnets 506 include a plurality of magnets stacked in a linear arrangement. In the embodiment of FIG. 5, the one or more magnets 506 include a North pole 524, a South pole 522 and one more magnets 526 stacked therebetween.
  • FIGS. 8A-8B are top and bottom views of another embodiment of a valve body 800. The valve body 800 is generally a unitary aluminum or ceramic member, although the valve body 800 may be fabricated from other suitable materials. The valve body 800 includes a top surface 802 and a bottom surface 804. A first bore 810 is formed into the body 800 from the top surface 802. The first bore 810 is positioned at least partially in an extending portion 806. The extending portion 806 has a first passage 812 (shown in phantom) formed therethrough. The end of the first passage 812 servers to connect the valve body 800 to the conduits leading to the remote plasma source 262. The second end of the first passage 812 is teed into a second passage 824 (also shown in phantom). The first bore 810 is aligned with the first passage 812 and is sized to receive the flapper as to control the flow of fluid through the first passage 812 to the second passage 824. A plurality of threaded blind mounting holes 816 are formed in the first side 802 of the valve body 800 to retain the seal cup (not shown) to the valve body 800.
  • The second side 804 of the valve body 800 includes a second and third bores 818. The second and third bores 818 communicate with the second passage 824 on either side of intersection of the first and second passages 812, 824. An o-ring groove 820 circumscribes each bore 818 to allow the conduits extending from the valve 800 into the chamber body to be sealingly coupled to the valve 800. The o-rings may be compressed to seal a fitting coupled to the second and third bores 818 using fasteners (not shown) passed through mounting holes 822 formed through the body 800. In the embodiment depicted in FIGS. 8A-8B, four mounting holes 822 are associated with each bore 818.
  • Referring now to the sectional view of FIG. 8C, the second passage 824 may be sealed at either end by plugs 830. The plugs 830 may be pressed fit, welded, bonded, adhered, threaded or sealingly coupled to the body 800 by another suitable manner.
  • Referring now to the sectional view of FIG. 8D, the first bore 810 include a ledge 832 that interfaces with the outer body of the flapper and/or supports the lower race 397 of the bearing 398. The obstructing plate of the flapper extends into the bore 810 and may be rotated as to control the flow through the first passage 812. The bottom of the first bore 810 may also include a pocket 520 to facilitate retention of the ball (not shown) disposed between the flapper and the body 800. In embodiments wherein a bearing 398 is utilized, the ledge 832 may include a plurality of depressions 840 that are configured to mate with projections extending from the lower race 397 of the bearing 398 such that the lower race 397 is fixed to the body 800 while the flapper 500 rotates.
  • Returning to FIG. 1, a flange support 299 is coupled in line with the outlet of the remote plasma source 262 to allow a pressure sensor 297 to detect a metric indicative of the output pressure of the remote plasma source 262. The sensor 297 may be in the form of a manometer, pressure gage or other sensor suitable for obtaining a metric indicative of the pressure of the cleaning agent exiting the remote plasma source 262.
  • FIG. 9 depicts one embodiment of flange support 299. The flange support 299 includes an inlet 902 and two outlets 904, 906. The inlet 902 is coupled to the outlet of the remote plasma source 262 and fluidly coupled to the first outlet 904 through a main passage 920 extending through the flange support 299. The first outlet 904 is coupled to the conduit that provides the cleaning agent from the remote plasma source 262 to the valve 300 and entry ports 260. The second outlet 906 is fluidly coupled to the main passage 920 coupling the inlet 902 to the first outlet 904 by a secondary passage 922. The second outlet 906 is configured to accept the sensor 297.
  • In one embodiment, the flange support 299 includes a flange base 912, a pipe 914, an elbow 916 and a flange 918 which are assembled as a pressure tight assembly. In one embodiment, the flange base 912, pipe 914, elbow 916 and flange 918 are fabricated from aluminum or stainless steel and are welded together, for example, by a continuous weld. The flange base 912 includes a cylindrical body 926 through which the main passage 920 is formed. The cylindrical body 926 has a major flange 928 at a first end and a minor flange 930 through a second end.
  • The inlet 902 is formed through the minor flange 930 and is circumscribed by an o-ring groove 932 on its face 934. The face 934 of the minor flange 930 also includes a plurality of mounting holes, not shown, which is one embodiment are in the form of a plurality of through holes.
  • The first outlet 904 is formed through the major flange 928. A face 936 of the major flange 928 is finished to provide a sealing surface. The face 936 of the major flange 928 also includes a plurality of mounting holes, not shown, which is one embodiment are in the form of a plurality of through holes.
  • The cylindrical body 926 includes a hole 938 which breaks into the main passage 920. In one embodiment the hole 938 is formed substantially perpendicular to the centerline of the body 926, which is coaxial with the centerline of the main passage 920.
  • The pipe 914 is configured to sealing couple to the cylindrical body 926 in a manner that fluidly coupled a passage 940 defined through the pipe 914 with the hole 938. In one embodiment, a first end of the pipe 914 has a taper or has a reduced outside diameter that is inserted into the hole 938 to facilitate coupling of the pipe 914 to the body 926. The second end of the pipe 914 may have a taper or has a reduced outside diameter that is inserted into the elbow 916 to facilitate coupling of the pipe 914 to the elbow 916.
  • The flange 918 includes a cylindrical stem 950 having a passage 960 formed therethrough. One end of the stem 950 has a lip 952. The lip 952 circumscribes a port 954 that defines the second outlet 906. The port 954 is configured in manner suitable for coupling the sensor 297 to the flange support 299.
  • In one embodiment, a face 956 of the lip 952 includes a recess 958 which is concentric with the passage 960 through the stem 950. The face 956 of the lip 952 may have an orientation substantially perpendicular to the centerline of the passage 960. A backside 962 of the lip 952 may be tapered to facilitate coupling a fitting, not show, utilized to secure the sensor 297. In one embodiment, the backside of the lip forms an angle with the stem of about 205 degrees. The passage 960 formed through the flange 918, a passage 964 formed through the elbow 916, the passage 940 formed through the pipe 914 and the hole 938 formed in the support flange 912 define the secondary passage 922.
  • Thus, the flange support 299 allows direct delivery of the cleaning agents from the remote plasma source 262 with minimal obstruction which would adversely promote recombination. Additionally, the flange support 299 facilitates coupling of the sensor 297 in a convenient location that is remote from the other utilities routed to the top of the chamber.
  • While the foregoing is directed to certain embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (12)

1. A process chamber comprising:
a remote plasma source;
a process chamber having at least two processing regions, each processing region comprising:
a substrate support assembly disposed in the processing region;
a gas distribution system configured to provide gas into the processing region above the substrate support assembly;
a gas passage configured to provide gas into the processing region below the substrate support assembly;
a first gas conduit configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region; and
a second gas conduit configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
2. The process chamber of claim 1 further comprising a valve controlling flow between the first gas conduit and the second gas conduit.
3. The process chamber of claim 2, wherein the valve comprises an aluminum body.
4. The process chamber of claim 3, wherein the valve comprises:
a movable flapper having a flow-obstructing plate;
at least one magnet encased in the flapper; and
a coupling mechanism operable to rotate the flapper between a first position where the flow-obstructing plate blocks flow through the body and a second position where the flow-obstructing plate allows flow through the body.
5. The process chamber of claim 4, wherein the coupling mechanism is configured to rotate the flapper through magnetic interaction.
6. The process chamber of claim 1 further comprising a source of at least one of NF3, F2, SF6, Cl2, CF4, C2F6, CCl4 or C2Cl6 coupled to the remote plasma source.
7. A substrate processing system comprising:
a loadlock chamber;
a transfer chamber coupled to the loadlock chamber;
a remote plasma source; and
a process chamber coupled to the transfer chamber, wherein the process chamber comprises:
a chamber body having at least a first processing region:
a first substrate support assembly disposed in the first processing region;
a first gas distribution assembly coupled to the remote plasma source and configured to provide gases from the remote plasma source into first processing region from above the substrate support assembly; and
a first gas passage coupled to the remote plasma source and configured to provide gases from the remote plasma source into the first processing region from below the substrate support assembly.
8. The substrate processing system of claim 7, wherein the chamber body further comprises:
a second processing region:
a second substrate support assembly disposed in the second processing region;
a second gas distribution assembly coupled to the remote plasma source and configured to provide gases from the remote plasma source into second processing region from above the substrate support assembly; and
a second gas passage coupled to the remote plasma source and configured to provide gases from the remote plasma source into the second processing region from below the substrate support assembly.
9. The substrate processing system of claim 8 wherein the first and second gas passages are oriented to produce a substantially inward flow of gases into the interior volume.
10. The substrate processing system of claim 8 further comprising:
a valve having an inlet coupled to the remote plasma source and at least one outlet coupled to the first and second gas passages, wherein the valve comprises:
a movable flapper having a flow-obstructing plate; and
a coupling mechanism operable to rotate the flapper between a first position where the flow-obstructing plate blocks flow through the valve and a second position where the flow-obstructing plate allows gas to pass through the valve.
11. The substrate processing system of claim 10, wherein the coupling mechanism is configured to rotate the flapper through magnetic interaction.
12. The substrate processing system of claim 10, wherein the valve flow contacting surfaces are comprised of a material compatible with at least one of NF3, F2, SF6, Cl2, CF4, C2F6, CCl4 or C2Cl6.
US12/571,677 2008-06-19 2009-10-01 Method and System for Supplying a Cleaning Gas Into a Process Chamber Abandoned US20100012273A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/571,677 US20100012273A1 (en) 2008-06-19 2009-10-01 Method and System for Supplying a Cleaning Gas Into a Process Chamber
US13/676,820 US8591699B2 (en) 2008-06-19 2012-11-14 Method and system for supplying a cleaning gas into a process chamber
US14/087,671 US9206511B2 (en) 2008-06-19 2013-11-22 Method and system for supplying a cleaning gas into a process chamber
US14/932,384 US10094486B2 (en) 2008-06-19 2015-11-04 Method and system for supplying a cleaning gas into a process chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/142,402 US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber
US12/571,677 US20100012273A1 (en) 2008-06-19 2009-10-01 Method and System for Supplying a Cleaning Gas Into a Process Chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/142,402 Division US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/676,820 Continuation US8591699B2 (en) 2008-06-19 2012-11-14 Method and system for supplying a cleaning gas into a process chamber

Publications (1)

Publication Number Publication Date
US20100012273A1 true US20100012273A1 (en) 2010-01-21

Family

ID=41429991

Family Applications (5)

Application Number Title Priority Date Filing Date
US12/142,402 Expired - Fee Related US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber
US12/571,677 Abandoned US20100012273A1 (en) 2008-06-19 2009-10-01 Method and System for Supplying a Cleaning Gas Into a Process Chamber
US13/676,820 Expired - Fee Related US8591699B2 (en) 2008-06-19 2012-11-14 Method and system for supplying a cleaning gas into a process chamber
US14/087,671 Active 2028-12-21 US9206511B2 (en) 2008-06-19 2013-11-22 Method and system for supplying a cleaning gas into a process chamber
US14/932,384 Active 2028-12-10 US10094486B2 (en) 2008-06-19 2015-11-04 Method and system for supplying a cleaning gas into a process chamber

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/142,402 Expired - Fee Related US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber

Family Applications After (3)

Application Number Title Priority Date Filing Date
US13/676,820 Expired - Fee Related US8591699B2 (en) 2008-06-19 2012-11-14 Method and system for supplying a cleaning gas into a process chamber
US14/087,671 Active 2028-12-21 US9206511B2 (en) 2008-06-19 2013-11-22 Method and system for supplying a cleaning gas into a process chamber
US14/932,384 Active 2028-12-10 US10094486B2 (en) 2008-06-19 2015-11-04 Method and system for supplying a cleaning gas into a process chamber

Country Status (6)

Country Link
US (5) US7699935B2 (en)
JP (1) JP5538376B2 (en)
KR (1) KR101543991B1 (en)
CN (2) CN103170478A (en)
TW (1) TW201009977A (en)
WO (1) WO2009155028A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011143062A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Confined process volume pecvd chamber
US9105581B2 (en) 2013-12-04 2015-08-11 Samsung Electronics Co., Ltd. Method of processing a substrate and apparatus for performing the same
CN107056580A (en) * 2017-05-05 2017-08-18 乐山师范学院 A kind of method that ionization prepares perchloroethane and perchloro- butane
US20170294292A1 (en) * 2016-04-11 2017-10-12 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
KR101906355B1 (en) 2010-08-16 2018-10-10 어플라이드 머티어리얼스, 인코포레이티드 Showerhead assembly with gas injection distribution devices
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
WO2022203869A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8293016B2 (en) * 2008-10-07 2012-10-23 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
KR101893471B1 (en) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for multizone plasma generation
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101312922B1 (en) * 2011-12-14 2013-10-01 주식회사 테스 Plasma processing apparatus and method
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8772055B1 (en) * 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10221478B2 (en) * 2013-04-30 2019-03-05 Tokyo Electron Limited Film formation device
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
JP2017517380A (en) 2014-03-06 2017-06-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Plasma mitigation of compounds containing heavy atoms
JP5764228B1 (en) * 2014-03-18 2015-08-12 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
TWI693638B (en) 2014-04-07 2020-05-11 美商蘭姆研究公司 Configuration independent gas delivery system
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US9581087B1 (en) * 2015-09-17 2017-02-28 Siemens Energy, Inc. Method and system for thermal expansion compensation in heated flow characterization
KR102477302B1 (en) * 2015-10-05 2022-12-13 주성엔지니어링(주) Substrate treatment apparatus having exhaust gas cracker and exhaust gas treatment method of the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105650288B (en) * 2016-03-25 2018-11-16 上海交通大学 Integrated pump valve flow active control device and control method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102214350B1 (en) * 2016-05-20 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 Gas distribution showerhead for semiconductor processing
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9797521B1 (en) 2016-08-09 2017-10-24 Edward P Davis Rotary magnetic coupling actuated valve with external magnets and internal magnetic flux path
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154577A1 (en) * 2017-02-22 2018-08-30 Ham-Let (Israel - Canada ) Ltd. Encapsulated leak-proof valve with permanent magnet actuator
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10998172B2 (en) * 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11530751B2 (en) 2017-09-29 2022-12-20 Applied Materials, Inc. Closure mechanism vacuum chamber isolation device and sub-system
CN111194474A (en) * 2017-09-29 2020-05-22 应用材料公司 Dual-port remote plasma cleaning isolation valve
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN109868459B (en) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 Semiconductor device
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP6990121B2 (en) * 2018-03-06 2022-01-12 株式会社Screenホールディングス Board processing equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
JP6906490B2 (en) * 2018-09-14 2021-07-21 株式会社Kokusai Electric Substrate processing equipment, semiconductor equipment manufacturing methods and programs
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110899271B (en) * 2018-09-17 2021-10-15 北京北方华创微电子装备有限公司 Adjusting device of remote plasma source and remote plasma source cleaning system
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN109943829A (en) * 2018-11-16 2019-06-28 黄剑鸣 A kind of multicell depositing system of RPECVD
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
EP4207244A1 (en) 2019-08-12 2023-07-05 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP7026086B2 (en) * 2019-09-25 2022-02-25 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing method, and substrate processing equipment cleaning method
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111107324A (en) * 2019-12-31 2020-05-05 上海陛通半导体能源科技股份有限公司 Monitoring device and monitoring method of wafer transmission system
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11881416B2 (en) 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114574837B (en) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 Structure and method for solving parasitic plasma in plasma processing equipment
CN114975066B (en) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 Deposition chamber cleaning method
US20240055230A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. System and method of cleaning process chamber components
KR102612086B1 (en) * 2022-09-05 2023-12-11 주식회사 셀비드 Particle free remote plasma source isolation valve

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3665958A (en) * 1968-09-16 1972-05-30 Gpe Controls Inc Vent closure device
US3747892A (en) * 1972-01-27 1973-07-24 Steinen Mfg Co Wm Magnetic valve
US4936289A (en) * 1989-02-21 1990-06-26 Peterson George A Usage responsive hot water recirculation system
US5098062A (en) * 1988-04-28 1992-03-24 Melitta-Werke Bentz & Sohn Magnetic valve incorporating a permanent magnet for effecting valve closure
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US5922134A (en) * 1996-05-14 1999-07-13 Tokyo Ohka Kogyo Co., Ltd. Simultaneous discharge device
US6085772A (en) * 1996-11-05 2000-07-11 Mcgill; James C. Smart automatic safety valve having remote electromagnetic shut-off protection and reset control from seismic or other sensors
US20010025645A1 (en) * 2000-03-02 2001-10-04 Jusung Engineering Co., Ltd. Apparatus for fabricating semiconductor device and method of cleaning the same
US20020020429A1 (en) * 2000-07-07 2002-02-21 Selbrede Steven C. Systems and methods for remote plasma clean
US20020109422A1 (en) * 1998-11-17 2002-08-15 Hatton Bruce M. Integrated torque motor and throttle body
US20030079757A1 (en) * 2001-03-22 2003-05-01 Koji Shibata Method of cleaning cvd device and cleaning device therefor
US20030170402A1 (en) * 2002-03-11 2003-09-11 Hirofumi Arai Method of cleaning CVD equipment processing chamber
US20030172872A1 (en) * 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6648012B2 (en) * 2001-06-13 2003-11-18 Applied Materials, Inc. Non-return valve override device
US20040055636A1 (en) * 2001-06-29 2004-03-25 Applied Materials Inc. Method and apparatus for fluid flow control
US20040251584A1 (en) * 2003-02-12 2004-12-16 Olav Borgmeier Customizing kit for a vehicle air suspension system with an additional air spring volume that can be added
US20040255854A1 (en) * 2002-03-27 2004-12-23 Katsuo Sakai Cvd apparatus and method of cleaning the cvd apparatus
US20050045275A1 (en) * 2003-08-27 2005-03-03 Koji Murayama Plasma treatment apparatus and surface treatment apparatus of substrate
US20050252451A1 (en) * 2002-07-01 2005-11-17 Tatsuro Beppu Cvd apparatus having means for cleaning with fluorine gas and method of cleaning cvd apparatus with fluorine gas
US20050263072A1 (en) * 2004-05-26 2005-12-01 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20050263248A1 (en) * 2004-05-26 2005-12-01 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US20060090700A1 (en) * 2004-10-29 2006-05-04 Asm Japan K.K. Gas-introducing system and plasma CVD apparatus
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060201623A1 (en) * 2005-03-09 2006-09-14 Yoo Woo S Low temperature wafer backside cleaning
US20060228473A1 (en) * 2005-03-31 2006-10-12 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070099438A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Thin film deposition
US20070132054A1 (en) * 2005-12-13 2007-06-14 Applied Materials Memory cell having stressed layers
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20080047610A1 (en) * 2006-08-28 2008-02-28 Honeywell International, Inc. Electromagnetic split flapper pressure relief valve

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3134404A (en) * 1961-02-27 1964-05-26 William B Jaspert Electro-magnetically operated floating armature valves
US3347262A (en) * 1965-09-22 1967-10-17 Mark Associates Inc Magnet actuated sealed valve
US3826545A (en) * 1972-05-24 1974-07-30 Borden Corp Readily assembled temperature compensated dual angular contact bearing assembly
FR2260041B1 (en) * 1974-02-06 1976-10-08 Amri
US4106825A (en) * 1976-12-13 1978-08-15 Autoclave Engineers, Inc. High pressure magnetic drive including magnetic thrust bearings
US4146206A (en) * 1977-03-11 1979-03-27 Garlock Inc. Valve closure member thrust and load compensator
CA1092589A (en) * 1978-11-21 1980-12-30 Patrick M. Taiani Torque transmitting assembly for rotary valve member
US4284262A (en) * 1979-05-17 1981-08-18 Autoclave Engineers, Inc. Magnetically actuated stopper valve
US4327892A (en) * 1980-09-08 1982-05-04 Autoclave Engineers, Inc. Normally magnetically actuated valve with novel nonmagnetic override
US4384703A (en) * 1981-01-21 1983-05-24 Autoclave Engineers, Inc. Handle for magnetically actuated valve
CN1014174B (en) * 1989-06-01 1991-10-02 毛沛琦 Magnet-valve for fluid conduit
US5083744A (en) * 1991-03-08 1992-01-28 Morotta Scientific Controls, Inc. Motor-operated valve
GB9113963D0 (en) * 1991-06-28 1991-08-14 Alpha Thames Eng Valve actuator
US5301848A (en) * 1991-10-18 1994-04-12 Conrad Larry M Electromagnetic meter for closed dispensing container
ATE181998T1 (en) * 1994-05-02 1999-07-15 Korea Atomic Energy Res VALVE WITH MAGNETIC POWER TRANSMISSION
JPH0893931A (en) * 1994-09-22 1996-04-12 Tokyo Kakoki Kk Fluid supply and discharge amount regulator
JP2002025914A (en) * 2000-07-04 2002-01-25 Hitachi Ltd Substrate treatment device
JP2002057106A (en) 2000-08-08 2002-02-22 Tokyo Electron Ltd Treatment unit and its cleaning method
US6710489B1 (en) * 2001-08-30 2004-03-23 Indigo Energy, Inc. Axially free flywheel system
JP2003086672A (en) 2001-09-06 2003-03-20 Applied Materials Inc Method and device for reflowing and method and device for film formation
TWI265380B (en) * 2003-05-06 2006-11-01 Asml Netherlands Bv Lithographic projection apparatus
JP3940095B2 (en) 2003-05-08 2007-07-04 忠弘 大見 Substrate processing equipment
JP2005090419A (en) * 2003-09-19 2005-04-07 Denso Corp Throttle device for internal combustion engine
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7387291B2 (en) * 2005-05-16 2008-06-17 Honeywell International Inc. Collapsible shaft and control assembly
GB0516500D0 (en) * 2005-08-11 2005-09-14 Active Technologies Ltd Valve assembly
JP4739128B2 (en) * 2006-06-28 2011-08-03 愛三工業株式会社 Intake control valve
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US9185856B1 (en) * 2014-06-28 2015-11-17 Frank August Barcatta Liquid flow rate modulator

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3665958A (en) * 1968-09-16 1972-05-30 Gpe Controls Inc Vent closure device
US3747892A (en) * 1972-01-27 1973-07-24 Steinen Mfg Co Wm Magnetic valve
US5098062A (en) * 1988-04-28 1992-03-24 Melitta-Werke Bentz & Sohn Magnetic valve incorporating a permanent magnet for effecting valve closure
US4936289A (en) * 1989-02-21 1990-06-26 Peterson George A Usage responsive hot water recirculation system
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US5922134A (en) * 1996-05-14 1999-07-13 Tokyo Ohka Kogyo Co., Ltd. Simultaneous discharge device
US6085772A (en) * 1996-11-05 2000-07-11 Mcgill; James C. Smart automatic safety valve having remote electromagnetic shut-off protection and reset control from seismic or other sensors
US20020109422A1 (en) * 1998-11-17 2002-08-15 Hatton Bruce M. Integrated torque motor and throttle body
US20010025645A1 (en) * 2000-03-02 2001-10-04 Jusung Engineering Co., Ltd. Apparatus for fabricating semiconductor device and method of cleaning the same
US20020020429A1 (en) * 2000-07-07 2002-02-21 Selbrede Steven C. Systems and methods for remote plasma clean
US20030079757A1 (en) * 2001-03-22 2003-05-01 Koji Shibata Method of cleaning cvd device and cleaning device therefor
US6648012B2 (en) * 2001-06-13 2003-11-18 Applied Materials, Inc. Non-return valve override device
US20040055636A1 (en) * 2001-06-29 2004-03-25 Applied Materials Inc. Method and apparatus for fluid flow control
US20030172872A1 (en) * 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20030170402A1 (en) * 2002-03-11 2003-09-11 Hirofumi Arai Method of cleaning CVD equipment processing chamber
US20040255854A1 (en) * 2002-03-27 2004-12-23 Katsuo Sakai Cvd apparatus and method of cleaning the cvd apparatus
US20050252451A1 (en) * 2002-07-01 2005-11-17 Tatsuro Beppu Cvd apparatus having means for cleaning with fluorine gas and method of cleaning cvd apparatus with fluorine gas
US20040251584A1 (en) * 2003-02-12 2004-12-16 Olav Borgmeier Customizing kit for a vehicle air suspension system with an additional air spring volume that can be added
US20050045275A1 (en) * 2003-08-27 2005-03-03 Koji Murayama Plasma treatment apparatus and surface treatment apparatus of substrate
US20050263072A1 (en) * 2004-05-26 2005-12-01 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20050263248A1 (en) * 2004-05-26 2005-12-01 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US20060090700A1 (en) * 2004-10-29 2006-05-04 Asm Japan K.K. Gas-introducing system and plasma CVD apparatus
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060201623A1 (en) * 2005-03-09 2006-09-14 Yoo Woo S Low temperature wafer backside cleaning
US20060228473A1 (en) * 2005-03-31 2006-10-12 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070099438A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Thin film deposition
US20070132054A1 (en) * 2005-12-13 2007-06-14 Applied Materials Memory cell having stressed layers
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20080047610A1 (en) * 2006-08-28 2008-02-28 Honeywell International, Inc. Electromagnetic split flapper pressure relief valve

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011143062A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Confined process volume pecvd chamber
WO2011143062A3 (en) * 2010-05-12 2012-05-10 Applied Materials, Inc. Confined process volume pecvd chamber
CN102884610A (en) * 2010-05-12 2013-01-16 应用材料公司 Confined process volume PECVD chamber
KR101906355B1 (en) 2010-08-16 2018-10-10 어플라이드 머티어리얼스, 인코포레이티드 Showerhead assembly with gas injection distribution devices
US9105581B2 (en) 2013-12-04 2015-08-11 Samsung Electronics Co., Ltd. Method of processing a substrate and apparatus for performing the same
US20170294292A1 (en) * 2016-04-11 2017-10-12 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
CN109075106A (en) * 2016-04-11 2018-12-21 应用材料公司 The plasma enhancing annealing chamber deflated for chip
US10770272B2 (en) 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US11348769B2 (en) 2016-04-11 2022-05-31 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
CN107056580A (en) * 2017-05-05 2017-08-18 乐山师范学院 A kind of method that ionization prepares perchloroethane and perchloro- butane
WO2022203869A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Also Published As

Publication number Publication date
US10094486B2 (en) 2018-10-09
WO2009155028A1 (en) 2009-12-23
US20090314309A1 (en) 2009-12-24
JP5538376B2 (en) 2014-07-02
CN102067279A (en) 2011-05-18
US8591699B2 (en) 2013-11-26
CN102067279B (en) 2013-03-27
KR101543991B1 (en) 2015-08-13
JP2011525054A (en) 2011-09-08
US9206511B2 (en) 2015-12-08
TW201009977A (en) 2010-03-01
US20140076236A1 (en) 2014-03-20
KR20110018458A (en) 2011-02-23
US20130213574A1 (en) 2013-08-22
CN103170478A (en) 2013-06-26
US20160084400A1 (en) 2016-03-24
US7699935B2 (en) 2010-04-20

Similar Documents

Publication Publication Date Title
US10094486B2 (en) Method and system for supplying a cleaning gas into a process chamber
US7651584B2 (en) Processing apparatus
US6994319B2 (en) Membrane gas valve for pulsing a gas
US11149345B2 (en) Cryogenically cooled rotatable electrostatic chuck
US20020069970A1 (en) Temperature controlled semiconductor processing chamber liner
US20070028838A1 (en) Gas manifold valve cluster
KR102269479B1 (en) Temporal Atomic Layer Deposition Processing Chamber
KR102644257B1 (en) shutoff valve
US10969029B2 (en) Low particle protected flapper valve
TW202230471A (en) Thermally uniform deposition station
US20180114706A1 (en) Wafer boat assembly and substrate processing apparatus including the same
US20220223367A1 (en) Reduced substrate process chamber cavity volume
KR20230048506A (en) Electrostatic chuck with heating and chucking capabilities
US20050211315A1 (en) Rotatable valve
US11674227B2 (en) Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
KR20180003297A (en) Opening and closing apparatus for chemical vapor deposition
KR100978131B1 (en) Apparatus for treating a substrate
JPH1197447A (en) Sealing equipment

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION