US20100019278A1 - Multilayer Structure Comprising A Substrate and A Layer Of Silicon and Germanium Deposited Heteroepitaxially Thereon, and A Process For Producing It - Google Patents

Multilayer Structure Comprising A Substrate and A Layer Of Silicon and Germanium Deposited Heteroepitaxially Thereon, and A Process For Producing It Download PDF

Info

Publication number
US20100019278A1
US20100019278A1 US12/568,882 US56888209A US2010019278A1 US 20100019278 A1 US20100019278 A1 US 20100019278A1 US 56888209 A US56888209 A US 56888209A US 2010019278 A1 US2010019278 A1 US 2010019278A1
Authority
US
United States
Prior art keywords
layer
silicon
multilayer structure
deposited
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/568,882
Inventor
Peter Storck
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siltronic AG
Original Assignee
Siltronic AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siltronic AG filed Critical Siltronic AG
Priority to US12/568,882 priority Critical patent/US20100019278A1/en
Publication of US20100019278A1 publication Critical patent/US20100019278A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Definitions

  • the subject matter of the present invention is a multilayer structure, comprising a substrate and a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially thereon and having a lattice constant which differs from a lattice constant of the substrate.
  • Silicon which is deposited on a SiGe layer of this type is biaxially strained. Since the mobility of charge carriers in strained silicon is higher than in unstrained silicon, electronic components which make use of strained silicon to increase the switching speed are receiving more and more interest.
  • an SiGe layer which consists of a mixture of silicon and germanium with a germanium content of from 20 to 50% and is as far as possible completely relaxed, is suitable for the deposition of strained silicon. Since the lattice constant of the SiGe layer is greater than that of silicon, the silicon lattice which is deposited on a layer of this type is widened, producing a layer of strained silicon.
  • silicon is also used as a substrate for the relaxed SiGe layer to be deposited on.
  • a heteroepitaxial layer which grows is initially strained itself. The strain disappears beyond a critical layer thickness, forming dislocations. Misfit dislocations tend to continue in a plane along the direction of growth of the growing layer.
  • threading dislocations are also formed as extensions of misfit dislocations. These threading dislocations extend in the direction of growth of the SiGe layer and reach as far as the surface of this layer. This occurs to an increased extent if the deposited SiGe layer is relaxed during a simple heat treatment (anneal).
  • Threading dislocations should be avoided wherever possible, since they usually continue in layers which are deposited on the SiGe layer and disrupt the functioning of electronic components which are integrated in layers of this type. Pile-ups of threading dislocations are particularly harmful.
  • Another important parameter for the quality of the SiGe layer is the roughness of the surface, which should be as low as possible. Misfit dislocations produce stress fields and lead to local differences in the growth rate during the growth of the SiGe layer, and ultimately to a surface topography, known as “cross-hatch” topography, which is likewise transferred to layers deposited on the SiGe layer.
  • a measure of this cross-hatch is the RMS roughness of the surface, measured for example by AFM (Atomic Force Microscopy).
  • US2004/0067644 A1 has described a process which allows the density of threading dislocations to be reduced to below 1 ⁇ 10 5 threading dislocations/cm 2 .
  • the process substantially comprises etching the surface of the SiGe layer at the same time as the heat treatment which relaxes the SiGe layer (etch anneal process).
  • etch anneal process An advantageous side-effect of so doing is that the surface roughness also decreases.
  • FIGS. 1 and 2 illustrate the layered structures of the present invention by cross-sectional Transmission Electron Microscopy.
  • the subject matter of the invention is a multilayer structure, comprising a substrate and a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially thereon having the composition Si 1-x Ge x and having a lattice constant which differs from the lattice constant of silicon, which multilayer structure includes a thin interfacial layer deposited on the SiGe layer and having the composition Si 1-y Ge y , which thin interfacial layer binds threading dislocations, and at least one further layer deposited on the interfacial layer.
  • SiGe layer silicon and germanium
  • the surface of the multilayer structure is distinguished by a particularly low density of threading dislocations and pile-ups of threading dislocations and by a low roughness.
  • a particular feature of the multilayer structure is an interfacial layer of silicon and germanium which binds threading dislocations at the interface with the SiGe layer below. As a result, a considerably smaller number of threading dislocations reaches the surface of the interfacial layer and of the at least one further layer deposited thereon.
  • the subject matter of the invention is also a process for producing a multilayer structure, comprising the steps of providing a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially on a substrate, having the composition Si 1-x Ge x , and having a lattice constant which differs from the lattice constant of silicon; and depositing a thin interfacial layer having the composition Si 1-y Ge y (“subsequent” SiGe layer) on the previously deposited SiGe layer, which thin interfacial layer binds threading dislocations, and depositing at least one further layer on the interfacial layer.
  • SiGe layer silicon and germanium
  • the SiGe layer may be strained or relaxed.
  • the SiGe layer may have a constant concentration Si 1-x Ge x of silicon and germanium. However, it is preferably a layer in which the concentration of germanium increases in steps or continuously over the thickness of the layer (graded layer) and only reaches the concentration Si 1-x Ge x at the surface of the layer.
  • the index x preferably has a value of from 0.2 to 0.5.
  • the SiGe layer is preferably present on a surface of silicon as substrate, most preferably on a semiconductor wafer of silicon or an SOI layer structure (silicon on insulator) with a silicon layer and an oxide layer beneath it.
  • a thin interfacial layer is deposited on the SiGe layer; this interfacial layer binds threading dislocations at the interface with the SiGe layer, so that the density of these threading dislocations at the surface of the multilayer structure is reduced considerably compared to the density of the threading dislocations on the surface of the SiGe layer.
  • the threading dislocation density (TDD) on the surface of the multilayer structure is at most 1.5 E+4 threading dislocations/cm 2 , preferably less than 5 E+3 threading dislocations/cm 2 .
  • the density of pile-ups of threading dislocations (PuD) is preferably at most 1 cm/cm 2 .
  • the roughness of the surface of the multilayer structure is preferably at most 2 ⁇ rms (1 ⁇ m ⁇ 1 ⁇ m measurement window).
  • the thickness of the interfacial layer is preferably from 2 to 30 nm. If the thickness of the interfacial layer is below the lower limit of the preferred thickness range or above the upper limit, this has an adverse effect on the roughness of the surface of the interfacial layer and therefore also on the surface of the multilayer structure.
  • the interfacial layer has a substantially constant composition Si 1-y Ge y , where the index y may preferably assume the same values as the index x.
  • the SiGe layer is exposed to a gaseous mixture which contains hydrogen, a hydrogen halide compound, a silicon compound and a germanium compound. This is preferably done in an epitaxy reactor.
  • concentrations of the gaseous compounds are set in such a way that net deposition of material of the composition Si 1-y Ge y takes place under the selected temperature and pressure conditions.
  • the deposition is preferably carried out at a temperature of between 900 and 1100° C. and at atmospheric pressure or a lower pressure.
  • the deposition rate is greater than 0 nm/min and is preferably at most 50 nm/min.
  • Suitable silicon compounds include SiH 4 and chlorosilanes, among which dichlorosilane is preferred.
  • Suitable germanium compounds are chlorogermanes and alkyl derivatives thereof, as well as GeH 4 .
  • GeH 4 , GeCl 4 and CH 3 GeCl 3 are particularly preferred.
  • the ratio of silicon compound to germanium compound in the gas atmosphere used for deposition of the interfacial layer is set in such a way that the growing interfacial layer has the desired composition Si 1-y Ge y .
  • the preferred hydrogen halide compound in the gas atmosphere is HCl.
  • the ratio of hydrogen halide compound, on the one hand, and silicon compound and germanium compound, on the other hand is preferably in the range from 100:1 to 1:1.
  • a layer of strained silicon is particularly preferable for a layer of strained silicon to be deposited as a further layer directly on the surface of the interfacial layer. Nevertheless, it is also possible for one or more further layers to be deposited in advance.
  • a relaxed heteroepitaxial layer with a constant composition Si 1-z Ge z can be deposited on the interfacial layer as buffer layer before the layer of strained silicon; the index z may in this case preferably assume the same values as the index y.
  • the degree of relaxation of the buffer layer is preferably greater than 90%.
  • the buffer layer has a thickness of preferably 0.5 to 2 ⁇ m.
  • Silicon substrate wafers were treated at a reduced pressure in a single-wafer epitaxy reactor. The following process steps were carried out:
  • FIG. 1 clearly shows the interfacial layer between graded layer (with dislocation network) and constant composition layer.
  • the thickness of the interfacial layer is approx. 2 to 3 nm.
  • FIG. 2 shows how dislocations from the lower-lying SiGe layer are absorbed in the interfacial layer. The dislocations then run within the plane of the boundary between the SiGe layer and the interfacial layer and do not grow further into the buffer layer.
  • the deposition of the interfacial layer leads to a reduction in the threading dislocation density (TDD), in particular the pile-up density (PuD) of such dislocations, and to a reduction in the RMS roughness as a result of elimination of the crosshatch structure.
  • TDD threading dislocation density
  • PuD pile-up density
  • the morphology of the surface can be influenced by changing the process conditions within wide ranges during the deposition of the interfacial layer.

Abstract

A multilayer structure, comprises a substrate and a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially thereon having the composition Si1-xGex and having a lattice constant which differs from the lattice constant of silicon, and a thin interfacial layer deposited on the SiGe layer and having the composition Si1-yGey, which thin interfacial layer binds threading dislocations, and at least one further layer deposited on the interfacial layer.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The subject matter of the present invention is a multilayer structure, comprising a substrate and a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially thereon and having a lattice constant which differs from a lattice constant of the substrate. Silicon which is deposited on a SiGe layer of this type is biaxially strained. Since the mobility of charge carriers in strained silicon is higher than in unstrained silicon, electronic components which make use of strained silicon to increase the switching speed are receiving more and more interest.
  • 2. Description of the Related Art
  • In particular an SiGe layer, which consists of a mixture of silicon and germanium with a germanium content of from 20 to 50% and is as far as possible completely relaxed, is suitable for the deposition of strained silicon. Since the lattice constant of the SiGe layer is greater than that of silicon, the silicon lattice which is deposited on a layer of this type is widened, producing a layer of strained silicon.
  • In general, silicon is also used as a substrate for the relaxed SiGe layer to be deposited on. On account of the different lattice constants, a heteroepitaxial layer which grows is initially strained itself. The strain disappears beyond a critical layer thickness, forming dislocations. Misfit dislocations tend to continue in a plane along the direction of growth of the growing layer. However, threading dislocations are also formed as extensions of misfit dislocations. These threading dislocations extend in the direction of growth of the SiGe layer and reach as far as the surface of this layer. This occurs to an increased extent if the deposited SiGe layer is relaxed during a simple heat treatment (anneal). Threading dislocations should be avoided wherever possible, since they usually continue in layers which are deposited on the SiGe layer and disrupt the functioning of electronic components which are integrated in layers of this type. Pile-ups of threading dislocations are particularly harmful. Another important parameter for the quality of the SiGe layer is the roughness of the surface, which should be as low as possible. Misfit dislocations produce stress fields and lead to local differences in the growth rate during the growth of the SiGe layer, and ultimately to a surface topography, known as “cross-hatch” topography, which is likewise transferred to layers deposited on the SiGe layer. A measure of this cross-hatch is the RMS roughness of the surface, measured for example by AFM (Atomic Force Microscopy).
  • Strategies have already been developed for reducing the density of threading dislocations. One possible option is to increase the concentration of germanium in the SiGe layer in steps or continuously. Another approach pursues the objective of depositing the SiGe layer on a layer which has a high concentration of point defects. Misfit dislocations then tend to form closed dislocation loops, which lead through the area with the high concentration of point defects, rather than to lengthen into threading dislocations directed toward the surface of the SiGe layer. The density of the threading dislocations which still reach the surface of the substrate is of the order of magnitude of at least 1·107 threading dislocations/cm2 and is therefore still far too high for the material to be suitable for the fabrication of electronic components. US2004/0067644 A1 has described a process which allows the density of threading dislocations to be reduced to below 1·105 threading dislocations/cm2. The process substantially comprises etching the surface of the SiGe layer at the same time as the heat treatment which relaxes the SiGe layer (etch anneal process). An advantageous side-effect of so doing is that the surface roughness also decreases.
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a multilayer structure and a simple process for producing it, in which the surface of the structure has a low roughness and a low density of threading dislocations and pile-ups of threading dislocations.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 and 2 illustrate the layered structures of the present invention by cross-sectional Transmission Electron Microscopy.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT(S)
  • The subject matter of the invention is a multilayer structure, comprising a substrate and a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially thereon having the composition Si1-xGex and having a lattice constant which differs from the lattice constant of silicon, which multilayer structure includes a thin interfacial layer deposited on the SiGe layer and having the composition Si1-yGey, which thin interfacial layer binds threading dislocations, and at least one further layer deposited on the interfacial layer.
  • The surface of the multilayer structure is distinguished by a particularly low density of threading dislocations and pile-ups of threading dislocations and by a low roughness. A particular feature of the multilayer structure is an interfacial layer of silicon and germanium which binds threading dislocations at the interface with the SiGe layer below. As a result, a considerably smaller number of threading dislocations reaches the surface of the interfacial layer and of the at least one further layer deposited thereon.
  • The subject matter of the invention is also a process for producing a multilayer structure, comprising the steps of providing a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially on a substrate, having the composition Si1-xGex, and having a lattice constant which differs from the lattice constant of silicon; and depositing a thin interfacial layer having the composition Si1-yGey (“subsequent” SiGe layer) on the previously deposited SiGe layer, which thin interfacial layer binds threading dislocations, and depositing at least one further layer on the interfacial layer.
  • The SiGe layer may be strained or relaxed. The SiGe layer may have a constant concentration Si1-xGex of silicon and germanium. However, it is preferably a layer in which the concentration of germanium increases in steps or continuously over the thickness of the layer (graded layer) and only reaches the concentration Si1-xGex at the surface of the layer. The index x preferably has a value of from 0.2 to 0.5.
  • The SiGe layer is preferably present on a surface of silicon as substrate, most preferably on a semiconductor wafer of silicon or an SOI layer structure (silicon on insulator) with a silicon layer and an oxide layer beneath it.
  • According to the invention, a thin interfacial layer is deposited on the SiGe layer; this interfacial layer binds threading dislocations at the interface with the SiGe layer, so that the density of these threading dislocations at the surface of the multilayer structure is reduced considerably compared to the density of the threading dislocations on the surface of the SiGe layer. The threading dislocation density (TDD) on the surface of the multilayer structure is at most 1.5 E+4 threading dislocations/cm2, preferably less than 5 E+3 threading dislocations/cm2. The density of pile-ups of threading dislocations (PuD) is preferably at most 1 cm/cm2. The roughness of the surface of the multilayer structure is preferably at most 2 Å rms (1 μm×1 μm measurement window). The thickness of the interfacial layer is preferably from 2 to 30 nm. If the thickness of the interfacial layer is below the lower limit of the preferred thickness range or above the upper limit, this has an adverse effect on the roughness of the surface of the interfacial layer and therefore also on the surface of the multilayer structure. The interfacial layer has a substantially constant composition Si1-yGey, where the index y may preferably assume the same values as the index x.
  • To deposit the interfacial layer, the SiGe layer is exposed to a gaseous mixture which contains hydrogen, a hydrogen halide compound, a silicon compound and a germanium compound. This is preferably done in an epitaxy reactor. The concentrations of the gaseous compounds are set in such a way that net deposition of material of the composition Si1-yGey takes place under the selected temperature and pressure conditions. The deposition is preferably carried out at a temperature of between 900 and 1100° C. and at atmospheric pressure or a lower pressure. The deposition rate is greater than 0 nm/min and is preferably at most 50 nm/min.
  • Suitable silicon compounds include SiH4 and chlorosilanes, among which dichlorosilane is preferred. Suitable germanium compounds are chlorogermanes and alkyl derivatives thereof, as well as GeH4. GeH4, GeCl4 and CH3GeCl3 are particularly preferred. The ratio of silicon compound to germanium compound in the gas atmosphere used for deposition of the interfacial layer is set in such a way that the growing interfacial layer has the desired composition Si1-yGey. The preferred hydrogen halide compound in the gas atmosphere is HCl. The ratio of hydrogen halide compound, on the one hand, and silicon compound and germanium compound, on the other hand is preferably in the range from 100:1 to 1:1. On account of the low density of threading dislocations on the surface and the low roughness of the surface of the interfacial layer, it is particularly preferable for a layer of strained silicon to be deposited as a further layer directly on the surface of the interfacial layer. Nevertheless, it is also possible for one or more further layers to be deposited in advance. By way of example, a relaxed heteroepitaxial layer with a constant composition Si1-zGez can be deposited on the interfacial layer as buffer layer before the layer of strained silicon; the index z may in this case preferably assume the same values as the index y. The degree of relaxation of the buffer layer is preferably greater than 90%. The buffer layer has a thickness of preferably 0.5 to 2 μm.
  • Comparative Example
  • Silicon substrate wafers were treated at a reduced pressure in a single-wafer epitaxy reactor. The following process steps were carried out:
    • Step 1. Loading of the reactor.
    • Step 2. Heat treatment of the substrate wafer under hydrogen (H2 bake) at a temperature of 1120° C.
    • Step 3. Deposition of an SiGe layer with an increasing germanium content (graded layer) (0-20%) at a temperature of 800-900° C.
    • Step 4. Deposition of a buffer layer of silicon and germanium with a constant germanium content of 20% (constant composition layer) and a thickness of 1 μm.
    • Step 5. Deposition of an 18 nm thick layer of strained silicon at a temperature of 700° C.
    • Step 6. Unloading of the multilayer structure from the reactor.
    EXAMPLE
  • Further substrate wafers of the same type as that of the Comparative Example were treated in the same reactor as in the Comparative Example, with one difference:
    • Steps 1 to 3: as in the Comparative Example
    • Step 4: Deposition of an interfacial layer of silicon and germanium with a constant germanium content of 20% by introducing a mixture of hydrogen chloride, dichlorosilane and germane at a temperature of 1050 ° C.
    • Steps 5 to 7: same as steps 4 to 6 in the Comparative Example
  • Examination of the multilayer structures formed: the interfacial layer examination was carried out by cross-sectional TEM (Transmission Electron Microscopy, X-TEM). FIG. 1 clearly shows the interfacial layer between graded layer (with dislocation network) and constant composition layer. The thickness of the interfacial layer is approx. 2 to 3 nm. FIG. 2 shows how dislocations from the lower-lying SiGe layer are absorbed in the interfacial layer. The dislocations then run within the plane of the boundary between the SiGe layer and the interfacial layer and do not grow further into the buffer layer.
  • The deposition of the interfacial layer leads to a reduction in the threading dislocation density (TDD), in particular the pile-up density (PuD) of such dislocations, and to a reduction in the RMS roughness as a result of elimination of the crosshatch structure. The morphology of the surface can be influenced by changing the process conditions within wide ranges during the deposition of the interfacial layer.
  • Comparative Example Example
    TDD/cm − 2 4E+5 1.3E+4
    PuD/cm − 1 18 1.0
    RMS 40 μm × 40 μm  6.5 nm  1.6 nm
    RMS 1 μm × 1 μm 0.42 nm 0.14 nm
  • While embodiments of the invention have been illustrated and described, it is not intended that these embodiments illustrate and describe all possible forms of the invention. Rather, the words used in the specification are words of description rather than limitation, and it is understood that various changes may be made without departing from the spirit and scope of the invention.

Claims (11)

1. A multilayer structure, comprising a substrate and a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially thereon having the composition Si1-xGex and having a lattice constant which differs from the lattice constant of silicon, the multilayer structure having a thin interfacial layer which binds threading dislocations deposited on the SiGe layer, the thin interfacial layer having the composition Si1-yGey, and having a thickness of from 2 nm to 30 nm, and at least one further layer deposited on the thin interfacial layer.
2. The multilayer structure of claim 1, wherein the concentration of germanium increases over the thickness of the SiGe layer.
3. The multilayer structure of claim 1, which has an interfacial layer thickness of from about 2 nm to about 3 nm.
4. The multilayer structure of claim 1, having a density of at most 1.5 E+4 threading dislocations/cm2 on the surface.
5. The multilayer structure of claim 1, having a density of at most 1 cm/cm2 pile-ups of threading dislocations.
6. The multilayer structure of claim 1, which has a surface roughness of at most 2 Å rms in a 1 μm×1 μm window.
7. The multilayer structure of claim 1, which includes a relaxed heteroepitaxial buffer layer having the composition Si1-zGez, which has been deposited on the thin interfacial layer, and a layer of strained silicon, which has been deposited on the relaxed heteroepitaxial buffer layer having the composition Si1-zGez.
8. The multilayer structure of claim 1, which includes a layer of strained silicon which has been deposited on the thin interfacial layer.
9.-20. (canceled)
21. The multilayer structure of claim 1, wherein x and y are equal, and the thin interfacial layer is deposited at a rate of ≦50 nm/min. at a temperature of 900 to 1100° C.
22. A multilayer structure, comprising a substrate and a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially thereon having the composition Si1-xGex and having a lattice constant which differs from the lattice constant of silicon, the multilayer structure having a thin interfacial layer which binds threading dislocations deposited on the SiGe layer, the thin interfacial layer having the composition Si1-yGey and having a thickness of from 2 nm to 30 nm, and at least one further layer deposited on the thin interfacial layer produced by a process comprising the steps of:
providing a layer of silicon and germanium (SiGe layer) deposited heteroepitaxially on a substrate having the composition Si1-xGex and having a lattice constant which is different from the lattice constant of silicon, and depositing a thin interfacial layer having the composition Si1-yGey on the SiGe layer, which thin interfacial layer binds threading dislocations, and depositing at least one further layer on the interfacial layer.
US12/568,882 2004-11-04 2009-09-29 Multilayer Structure Comprising A Substrate and A Layer Of Silicon and Germanium Deposited Heteroepitaxially Thereon, and A Process For Producing It Abandoned US20100019278A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/568,882 US20100019278A1 (en) 2004-11-04 2009-09-29 Multilayer Structure Comprising A Substrate and A Layer Of Silicon and Germanium Deposited Heteroepitaxially Thereon, and A Process For Producing It

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE102004053307A DE102004053307B4 (en) 2004-11-04 2004-11-04 A multilayer structure comprising a substrate and a heteroepitaxially deposited layer of silicon and germanium thereon, and a method of making the same
DE102004053307.5 2004-11-04
US11/263,192 US7723214B2 (en) 2004-10-30 2005-10-31 Multilayer structure comprising a substrate and a layer of silicon and germanium deposited heteroepitaxially thereon, and a process for producing it
US12/568,882 US20100019278A1 (en) 2004-11-04 2009-09-29 Multilayer Structure Comprising A Substrate and A Layer Of Silicon and Germanium Deposited Heteroepitaxially Thereon, and A Process For Producing It

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/263,192 Division US7723214B2 (en) 2004-10-30 2005-10-31 Multilayer structure comprising a substrate and a layer of silicon and germanium deposited heteroepitaxially thereon, and a process for producing it

Publications (1)

Publication Number Publication Date
US20100019278A1 true US20100019278A1 (en) 2010-01-28

Family

ID=36217127

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/263,192 Active 2026-07-03 US7723214B2 (en) 2004-10-30 2005-10-31 Multilayer structure comprising a substrate and a layer of silicon and germanium deposited heteroepitaxially thereon, and a process for producing it
US12/568,882 Abandoned US20100019278A1 (en) 2004-11-04 2009-09-29 Multilayer Structure Comprising A Substrate and A Layer Of Silicon and Germanium Deposited Heteroepitaxially Thereon, and A Process For Producing It

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/263,192 Active 2026-07-03 US7723214B2 (en) 2004-10-30 2005-10-31 Multilayer structure comprising a substrate and a layer of silicon and germanium deposited heteroepitaxially thereon, and a process for producing it

Country Status (6)

Country Link
US (2) US7723214B2 (en)
JP (1) JP4700472B2 (en)
KR (1) KR100797131B1 (en)
CN (1) CN100580893C (en)
DE (1) DE102004053307B4 (en)
FR (1) FR2878072B1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008062685A1 (en) * 2008-12-17 2010-06-24 Siltronic Ag Producing silicon-germanium layer, comprises depositing graded silicon-germanium buffer layer on substrate made of single-crystalline silicon with surface, and depositing the silicon-germanium layer on the silicon-germanium buffer layer
CN102117741B (en) * 2010-01-06 2013-03-13 上海华虹Nec电子有限公司 Method for improving morphology of interface of germanium-silicon or germanium-silicon-carbon single crystals and polycrystals
US20150194307A1 (en) * 2014-01-06 2015-07-09 Globalfoundries Inc. Strained fin structures and methods of fabrication
US9752224B2 (en) * 2015-08-05 2017-09-05 Applied Materials, Inc. Structure for relaxed SiGe buffers including method and apparatus for forming
US9922941B1 (en) 2016-09-21 2018-03-20 International Business Machines Corporation Thin low defect relaxed silicon germanium layers on bulk silicon substrates
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
CN110265402B (en) * 2019-06-27 2020-09-18 长江存储科技有限责任公司 3D NAND memory device and manufacturing method thereof

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5659187A (en) * 1991-05-31 1997-08-19 International Business Machines Corporation Low defect density/arbitrary lattice constant heteroepitaxial layers
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US6562703B1 (en) * 2002-03-13 2003-05-13 Sharp Laboratories Of America, Inc. Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content
US20040067644A1 (en) * 2002-10-04 2004-04-08 Malik Igor J. Non-contact etch annealing of strained layers
US20040152284A1 (en) * 2002-08-26 2004-08-05 Bruno Ghyselen Recycling a wafer comprising a buffer layer, after having separated a thin layer therefrom
US20040173812A1 (en) * 2003-03-07 2004-09-09 Amberwave Systems Corporation Shallow trench isolation process
US20040212035A1 (en) * 2003-04-25 2004-10-28 Yee-Chia Yeo Strained-channel transistor and methods of manufacture
US20040214407A1 (en) * 2003-01-27 2004-10-28 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US6831350B1 (en) * 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US20040259333A1 (en) * 2003-03-12 2004-12-23 Pierre Tomasini Method to planarize and reduce defect density of silicon germanium
US20050093018A1 (en) * 2003-10-31 2005-05-05 Chung-Hu Ge Strained silicon structure
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7081410B2 (en) * 1997-06-24 2006-07-25 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US20060258126A1 (en) * 2003-02-04 2006-11-16 Sumco Corporation Semiconductor substrate, field-effect transistor, and their production methods
US7436046B2 (en) * 2004-10-05 2008-10-14 Renesas Technology Corp. Semiconductor device and manufacturing method of the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3324573B2 (en) * 1999-07-19 2002-09-17 日本電気株式会社 Semiconductor device manufacturing method and manufacturing apparatus
JP4221928B2 (en) 2001-12-28 2009-02-12 株式会社Sumco Semiconductor substrate, field effect transistor, and manufacturing method thereof

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5659187A (en) * 1991-05-31 1997-08-19 International Business Machines Corporation Low defect density/arbitrary lattice constant heteroepitaxial layers
US7081410B2 (en) * 1997-06-24 2006-07-25 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US7250359B2 (en) * 1997-06-24 2007-07-31 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US6562703B1 (en) * 2002-03-13 2003-05-13 Sharp Laboratories Of America, Inc. Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040152284A1 (en) * 2002-08-26 2004-08-05 Bruno Ghyselen Recycling a wafer comprising a buffer layer, after having separated a thin layer therefrom
US20040067644A1 (en) * 2002-10-04 2004-04-08 Malik Igor J. Non-contact etch annealing of strained layers
US20040214407A1 (en) * 2003-01-27 2004-10-28 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US7405142B2 (en) * 2003-02-04 2008-07-29 Sumco Corporation Semiconductor substrate and field-effect transistor, and manufacturing method for same
US20060258126A1 (en) * 2003-02-04 2006-11-16 Sumco Corporation Semiconductor substrate, field-effect transistor, and their production methods
US20040173812A1 (en) * 2003-03-07 2004-09-09 Amberwave Systems Corporation Shallow trench isolation process
US20040259333A1 (en) * 2003-03-12 2004-12-23 Pierre Tomasini Method to planarize and reduce defect density of silicon germanium
US20040212035A1 (en) * 2003-04-25 2004-10-28 Yee-Chia Yeo Strained-channel transistor and methods of manufacture
US6831350B1 (en) * 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US20050093018A1 (en) * 2003-10-31 2005-05-05 Chung-Hu Ge Strained silicon structure
US7436046B2 (en) * 2004-10-05 2008-10-14 Renesas Technology Corp. Semiconductor device and manufacturing method of the same

Also Published As

Publication number Publication date
DE102004053307B4 (en) 2010-01-07
KR100797131B1 (en) 2008-01-22
KR20060049306A (en) 2006-05-18
US7723214B2 (en) 2010-05-25
CN100580893C (en) 2010-01-13
US20060091502A1 (en) 2006-05-04
FR2878072B1 (en) 2011-07-22
JP2006135329A (en) 2006-05-25
FR2878072A1 (en) 2006-05-19
DE102004053307A1 (en) 2006-05-11
JP4700472B2 (en) 2011-06-15
CN1773686A (en) 2006-05-17

Similar Documents

Publication Publication Date Title
US6906400B2 (en) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US7785995B2 (en) Semiconductor buffer structures
US6982208B2 (en) Method for producing high throughput strained-Si channel MOSFETS
US6930026B2 (en) Method of forming a semiconductor wafer having a crystalline layer thereon containing silicon, germanium and carbon
US20100019278A1 (en) Multilayer Structure Comprising A Substrate and A Layer Of Silicon and Germanium Deposited Heteroepitaxially Thereon, and A Process For Producing It
US6787793B2 (en) Strained Si device with first SiGe layer with higher Ge concentration being relaxed to have substantially same lattice constant as second SiGe layer with lower Ge concentration
US20050148162A1 (en) Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases
EP2104135B1 (en) A semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer
US5037774A (en) Process for the production of semiconductor devices utilizing multi-step deposition and recrystallization of amorphous silicon
JP2014075585A (en) Semiconductor heterostructure having reduced dislocation pile-ups and related method
US20070134901A1 (en) Growth of GaAs expitaxial layers on Si substrate by using a novel GeSi buffer layer
EP1649495A2 (en) Epitaxial growth of relaxed silicon germanium layers
EP1437765B1 (en) Production method for semiconductor substrate and production method for field effect transistor
US7198997B2 (en) Method for producing semiconductor substrate, method for producing field effect transistor, semiconductor substrate, and field effect transistor
JP2005210071A (en) Semiconductor substrate and manufacturing method of the same
US7202142B2 (en) Method for producing low defect density strained -Si channel MOSFETS
JP4158607B2 (en) Manufacturing method of semiconductor substrate
US8115195B2 (en) Semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer
JPH09306844A (en) Semiconductor device and manufacture thereof
WO2013038980A1 (en) Substrate having buffer layer structure for growing nitride semiconductor layer
TWI289881B (en) Multilayer structure comprising a substrate and a layer of silicon and germanium deposited heteroepitaxially thereon, and a process for producing it

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION