US20100165585A1 - Chip packages with power management integrated circuits and related techniques - Google Patents

Chip packages with power management integrated circuits and related techniques Download PDF

Info

Publication number
US20100165585A1
US20100165585A1 US12/645,361 US64536109A US2010165585A1 US 20100165585 A1 US20100165585 A1 US 20100165585A1 US 64536109 A US64536109 A US 64536109A US 2010165585 A1 US2010165585 A1 US 2010165585A1
Authority
US
United States
Prior art keywords
layer
chip
over
metal
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/645,361
Inventor
Mou-Shiung Lin
Jin-Yuan Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Megica Corp filed Critical Megica Corp
Priority to US12/645,361 priority Critical patent/US20100165585A1/en
Assigned to MEGICA CORPORATION reassignment MEGICA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, JIN-YUAN, LIN, MOU-SHIUNG
Priority to TW99105855A priority patent/TW201041479A/en
Priority to TW98145193A priority patent/TW201112386A/en
Publication of US20100165585A1 publication Critical patent/US20100165585A1/en
Priority to US14/034,440 priority patent/US8809951B2/en
Assigned to MEGIT ACQUISITION CORP. reassignment MEGIT ACQUISITION CORP. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: MEGICA CORPORATION
Assigned to QUALCOMM INCORPORATED reassignment QUALCOMM INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MEGIT ACQUISITION CORP.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F1/00Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or values, i.e. retroactive systems
    • G05F1/10Regulating voltage or current
    • G05F1/46Regulating voltage or current wherein the variable actually regulated by the final control device is dc
    • G05F1/56Regulating voltage or current wherein the variable actually regulated by the final control device is dc using semiconductor devices in series with the load as final control devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/645Inductive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05023Disposition the whole internal layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/45124Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45139Silver (Ag) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/48247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • H01L2224/48465Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond the other connecting portion not on the bonding area being a wedge bond, i.e. ball-to-wedge, regular stitch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate
    • H01L2924/15331Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19104Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30107Inductance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Definitions

  • PCBs printed circuit boards
  • power supplies having different output voltages are commonly used. These output voltages are typically selected to correspond to general voltage ranges that are used by the electrical devices of the PCB.
  • Such an approach consumes a rather large amount of energy, increases the difficulty of designing circuits, and also has a rather high cost.
  • voltage regulators of rather large size are employed, and on-chip regulators are not a reality.
  • a common method has been to use multiple voltage regulators or converters to modify the voltage from a single power supply unit, to accommodate the needs of the electrical devices. These voltage regulators or converters allow the voltage that enters each electrical device to correspond to the device's working voltage.
  • An aspect of the present disclosure provides semiconductor chip structures and related application circuits, in which a switching voltage regulator, or voltage converter, is integrated within the semiconductor chip using chip fabrication methods, so that the switching voltage regulator or voltage converter and semiconductor chip are combined as one structure.
  • Another aspect of the present disclosure provides a semiconductor chip structure and its application circuit with the ability to adapt immediately to supply-voltage variation, efficiently decreasing the transient response time.
  • Another aspect of the present disclosure provides a semiconductor chip structure and its application circuit, for which the use of such semiconductor chip with the integrated voltage regulator or converter will reduce the overall difficulty of circuit designs on the PCB or Motherboard, both satisfying the demand to lower manufacturing costs and miniaturize electronic products.
  • Exemplary embodiments of the present disclosure can provide a semiconductor chip structure that includes a silicon substrate with multiple devices, and a set of external components. On this silicon substrate a thin circuit structure can be provided with a passivation layer. This passivation layer can have multiple passivation layer openings for electrical connection from external components or circuits to the thin circuit structure.
  • the devices can include active devices. Examples of active devices can include, but are not limited to, diodes, p-type metal oxide semiconductor (MOS) devices (e.g., MOSFETs), N-type MOS devices, and/or complementary metal oxide semiconductor (CMOS) devices. Exemplary embodiments of the present disclosure can include voltage feedback devices and/or switch controllers made of the previously-mentioned active devices in the semiconductor chip. Embodiments can likewise include external, passive components such as resistors, capacitors, and inductors.
  • MOS metal oxide semiconductor
  • CMOS complementary metal oxide semiconductor
  • Exemplary embodiments of the present disclosure can provide a circuit structure that includes, from top to bottom, at least a first dielectric layer, a first metal layer, a second dielectric layer, and a second metal layer.
  • the first dielectric layer can lie above the substrate, and within the first dielectric layer there can be a contact window.
  • the first metal layer can be above the first dielectric layer, and every point on the first metal layer can be electrically connected to corresponding devices using corresponding contact windows.
  • the second dielectric layer can be above the first metal layer and may contain multiple vias.
  • the second metal layer can be above the second dielectric layer, and every point on the second metal layer can be electrically connected to corresponding first metal layer through corresponding vias.
  • a polymer layer can be on or over the passivation layer.
  • This polymer layer can have an opening above the opening of the passivation layer, and an under bump metal structure or post passivation metal layer can be constructed on top of the passivation layer opening.
  • the thickness of the solder layer can vary depending on the different thicknesses of and materials used in the packaging structure of semiconductor chips.
  • the post passivation metal layer may have the same composition as the under bump metal structure or comprises an adhesion/barrier layer and a metal layer, e.g., one that is a copper or gold.
  • a second polymer layer there can be a second polymer layer, and this second polymer layer can contain an opening that allows the post passivation metal layer to be revealed.
  • Embodiments of the present disclosure can also provide various application circuits for semiconductor chips, which includes an internal electrical circuit and an external electrical circuit.
  • the internal and external circuits can be electrically connected using a metal circuit.
  • the devices implemented in the internal circuit can be, but are not necessarily limited to, P-Type MOS devices, N-type MOS devices, CMOS devices, voltage feedback devices, and/or switch controllers.
  • Components of an external electrical circuit can include, but are not limited to, resistors, capacitors and inductors.
  • the internal electrical circuit can be in or disposed over a silicon substrate, while the metal circuit and external circuit are over the substrate with the metal circuit in between the internal circuit and external circuit.
  • Semiconductor chips and chip packages according to the present disclosure can utilize various packaging techniques including, but not limited to, the following techniques: thin small outline package (TSOP), small outline J-lead (SOJ), quad flat package (QFP), thin quad flat package (TQFP), and ball grid array (BGA) as packaging methods.
  • TSOP thin small outline package
  • SOJ small outline J-lead
  • QFP quad flat package
  • TQFP thin quad flat package
  • BGA ball grid array
  • the semiconductor chip in the present disclosure can be electrically connected to the outside.
  • aspects and embodiments of the present disclosure can accordingly provide a semiconductor chip with switching voltage regulation and the ability to adapt to varying voltages demanded by various chip designs and/or components, which decreases transient response time, circuit routing area used on the PCB, and the complexity of circuit connection. These improvements can lead to a decrease in the overall cost of manufacturing semiconductor devices.
  • FIG. 1 depicts a circuit diagram of exemplary embodiments of the present disclosure
  • FIG. 2 is a graph showing the relationship between usage frequency and output impedance
  • FIG. 3 depicts a diagram view of a cross section of a semiconductor chip in accordance with a first embodiment of the present disclosure
  • FIGS. 3A-3E depict fabrication processes for the semiconductor chip in accordance with a first embodiment of the present disclosure
  • FIG. 4 depicts a diagram view of a cross section of a semiconductor chip in accordance with a second embodiment of the present disclosure
  • FIGS. 4A-4U and 4 AA- 4 AM depict fabrication processes for the semiconductor chip in accordance with a second embodiment of the present disclosure.
  • FIG. 5 depicts a diagram view of a cross section of a semiconductor chip in accordance with a third embodiment of the present disclosure.
  • FIGS. 5A-5D depict fabrication processes for a semiconductor chip in accordance with a third embodiment of the present disclosure
  • FIG. 6 depicts a diagram view of a cross section of a semiconductor chip in accordance with a fourth embodiment of the present disclosure
  • FIGS. 6A-6I depict fabrication processes for a semiconductor chip in accordance with a fourth embodiment of the present disclosure
  • FIG. 7A depicts a diagram view of a cross section of a semiconductor chip in accordance with a fifth embodiment of the present disclosure
  • FIG. 7B depicts a diagram view of a cross section of a semiconductor chip in accordance with a sixth embodiment of the present disclosure
  • FIGS. 8-11 depict ball grid array (BGA) package structures in accordance with a fourth embodiment of the present disclosure
  • FIGS. 12A-12F depict semiconductor chip package structures in accordance with first, second, fourth, and fifth embodiments of the present disclosure
  • FIGS. 13A-13C depict semiconductor chip package structures in accordance with a third embodiment of the present disclosure.
  • FIGS. 13D-13F depict semiconductor chip package structures in accordance with a sixth embodiment of the present disclosure.
  • FIG. 14 depicts a diagram of an equivalent circuit of a semiconductor chip in accordance with a first embodiment of the present disclosure
  • FIG. 15 depicts a diagram of an equivalent circuit of a semiconductor ship in accordance with a second embodiment of the present disclosure
  • FIG. 16 is a graph showing the relationship between voltage and time of the circuit in FIG. 15 ;
  • FIGS. 17A-17L depicts fabrication processes in accordance with a seventh embodiment of the present disclosure
  • FIGS. 18A-18Q depict fabrication processes in accordance with an eighth embodiment of the present disclosure.
  • FIGS. 19A-19B depict side and top views of fabrication processes in accordance with a ninth embodiment of the present disclosure.
  • FIGS. 20A-20B depicts side and top views, respectively, of a structure in accordance with a tenth embodiment of the present disclosure
  • FIGS. 21A-21K depict fabrication processes in accordance with an eleventh embodiment of the present disclosure
  • FIGS. 22-23 depict circuit diagrams of a voltage amplifying device, in accordance with an exemplary embodiment of the present disclosure
  • FIG. 24 depicts a cross section view of an N-type double-diffused MOS (DMOS) device, in accordance with an exemplary embodiment of the present disclosure
  • FIG. 25 shows a top view of an N-type DMOS device, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 26A-26B depict side views of system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 27A-27B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 28A-28B depict system-in packages or modules including a power management IC chip with on-chip passive devices, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 29A-29B depict system-in packages or modules including a power management IC chip with on-chip passive devices, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 30A-30B depict system-in packages or modules including a power management IC chip with on-chip passive devices, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 31A-31B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 32A-32B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure
  • FIGS. 33A-33B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure
  • FIG. 34 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip passive devices for input power, and a switch controller with two N-type switching DMOS devices, in accordance with an exemplary embodiment of the present disclosure
  • FIG. 35 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip passive devices for input power, and a switch controller with a P-type switching DMOS device and a N-type switching DMOS device, in accordance with an exemplary embodiment of the present disclosure
  • FIG. 36 depicts a step-up DC to DC switching voltage regulator or convertor including on-chip passive devices for input power, and a switch controller with two N-type switching DMOS devices, in accordance with an exemplary embodiment of the present disclosure
  • FIG. 37 depicts a cross-sectional view of a portion of the step-down switching voltage regulator or converter of FIG. 34 ;
  • FIG. 38 depicts a cross-sectional view of a portion of the step-up switching voltage regulator or converter of FIG. 36 ;
  • FIG. 39 shows a circuit diagram of an operational amplifier, in accordance with an exemplary embodiment of the present disclosure.
  • FIG. 40 depicts a circuit layout with functional blocks of a converter implementing the circuit diagram of FIG. 34 ;
  • FIG. 41 depicts a circuit layout with functional blocks of a converter implementing the circuit diagram of FIG. 35 .
  • aspects of the present disclosure are directed to semiconductor chip structures and related application circuits having multiple passive devices integrated on a semiconductor chip.
  • active devices from semiconductor chips of different functions to match the passive components integrated on the semiconductor chip, immediate voltage adaptation can be achieved within a specific voltage range.
  • Embodiments of the present disclosure can provide a semiconductor chip structure with the equivalent circuit structure 1 shown in FIG. 1 .
  • a characteristic of the circuit structure 1 used in exemplary embodiments of the present disclosure is that the circuit structure contains the voltage regulator, or also called converter, 12 ′ constructed after parasitic elements 14 ′ of PC board and parasitic elements 15 ′ of chip package. Therefore, because voltage regulator 12 ′ does not need to bear the burden of parasitic elements 14 ′ and 15 ′, the voltage regulator or converter integrated with a single chip allows circuit operation under higher frequency.
  • the voltage regulator or converter 12 ′ can be controlled by Pulse-Frequency-Modulation or Pulse-Width-Modulation to control duty cycle.
  • the modulation frequency of voltage regulator or converter 12 ′ can be between 1K Hz and 300M Hz, and preferably between 1M Hz and 100M Hz, for duty cycle control. Also, this circuit structure design can lower manufacturing costs and simplify the routing design on the PCB because the distance between voltage regulator 12 ′ and corresponding electrical devices 16 ′ is shortened. The simplified routing design increases the speed and efficiency at which signals are delivered and solves the problem of large voltage fluctuations under high frequency usage. An example relationship between load current frequency and impedance resistance values are shown in FIG. 2 .
  • FIG. 3 depicts a substrate 100 made of a type of semiconductor base.
  • This substrate can be silicon based, gallium arsenide (GaAs) based, silicon indium based (SiIn), silicon antimony based (SiSb), indium antimony based (InSb), or silicon germanium (SiGe) based, and many of the devices, such as devices 110 , 112 , and 114 , are located in or over substrate 100 .
  • These devices 110 , 112 , and 114 can mainly be active devices, though passive devices can also be included.
  • Active devices include voltage feedback devices, switch controller, or MOS devices, such as p-channel MOS devices, n-channel MOS devices, n-channel DMOS devices, p-channel DMOS devices, LDMOS, BiCMOS devices, Bipolar Junction Transistor (BJT), or CMOS.
  • MOS devices such as p-channel MOS devices, n-channel MOS devices, n-channel DMOS devices, p-channel DMOS devices, LDMOS, BiCMOS devices, Bipolar Junction Transistor (BJT), or CMOS.
  • a thin circuit structure can be disposed or located on substrate 100 .
  • This circuit structure can include a first dielectric layer 150 , multiple metal layers 140 , and at least one second dielectric layer 155 .
  • the thicknesses of the first dielectric layer 150 and second dielectric layer 155 can be between 0.3 micrometers and 2.5 micrometers, for exemplary embodiments, and the materials that are used to make the first and second dielectric layers can include boron containing silicate glass, silicon-nitride, silicon-oxide, silicon-oxynitride, and carbon containing low-k dielectric materials.
  • the thicknesses of metal layers 140 can be between 0.1 micrometers and 2 micrometers, for exemplary embodiments, and the materials used to make the metal layers can include copper, aluminum-copper alloy, tantalum, tantalum nitride, tungsten, and tungsten alloy.
  • Devices 110 , 112 , 114 can be electrically connected to metal layers 140 through a metal contact 120 and metal via 130 , which pass through first dielectric layer 150 and second dielectric layer 155 .
  • Metal contact 120 and via 130 can be a W-plug or Cu-plug.
  • the metal layers 140 can be formed by various methods including damascene process, electroplating, CVD, and sputtering.
  • the damascene process, electroplating, sputtering, and CVD can be used to form copper metal layers 140 , or sputtering can be used to form aluminum metal layers 140 .
  • the first dielectric layer 150 and second dielectric layer 155 can be formed by Chemical Vapor Deposition (CVD), or formed by carbon nanotube material.
  • Passivation layer 160 can be over the circuit structure comprising the first dielectric layer 150 , metal layers 140 , and second dielectric layer 155 . This passivation layer 160 can protect devices 110 , 112 , 114 and the metal layers 140 described above from humidity and metal ion contamination.
  • passivation layer 160 can prevent movable ions, such as sodium ions, moisture, transition metal ions, such as gold, silver, and copper, and other impurities from passing through and damaging devices 110 , 112 , 144 , which could be MOS devices, n-channel DMOS devices, p-channel DMOS devices, LDMOS, BiCMOS devices, Bipolar transistors, or voltage feedback devices, and switch controller, or all of metal layers 140 that are below passivation layer 160 .
  • passivation layer 160 usually consists of silicon-oxide (such as SiO 2 ), phosphosilicate glass (PSG), silicon-nitride (such as Si 3 N 4 ) or silicon oxynitride.
  • Passivation layer 160 typically has a thickness between 0.3 micrometers and 2 micrometers, and when it includes a silicon-nitride layer, this silicon-nitride layer usually has a thickness exceeding 0.3 micrometers and less than 2 micrometers.
  • the passivation layer 160 can be formed by depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m using a CVD method and on the silicon oxide layer depositing a silicon nitride layer with thickness between 0.3 and 1.2 ⁇ m by using a CVD method.
  • the passivation layer 160 can be formed by depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m using a CVD method, next depositing a silicon oxynitride layer with a thickness of between 0.05 and 0.3 ⁇ m on the silicon oxide layer using a Plasma Enhanced CVD (PECVD) method, and then depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m on the silicon oxynitride layer using a CVD method.
  • PECVD Plasma Enhanced CVD
  • the passivation layer 160 can be formed by depositing a silicon oxynitride layer with a thickness of between 0.05 and 0.3 ⁇ m using a CVD method, next depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m on the silicon oxynitride layer using a CVD method, and then depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m on the silicon oxide layer using a CVD method.
  • the passivation layer 160 can be formed by depositing a first silicon oxide layer with a thickness of between 0.2 and 0.5 ⁇ m using a CVD method, next depositing a second silicon oxide layer with a thickness of between 0.5 and 1 ⁇ m on the first silicon oxide layer using a spin-coating method, next depositing a third silicon oxide layer with a thickness of between 0.2 and 0.5 ⁇ m on the second silicon oxide layer using a CVD method, and then depositing a silicon nitride layer with a thickness of 0.2 and 1.2 ⁇ m on the third silicon oxide using a CVD method.
  • the passivation layer 160 can be formed by depositing a silicon oxide layer, e.g., with a thickness of between 0.5 and 2 ⁇ m, using a High Density Plasma CVD (HDP-CVD) method.
  • a silicon nitride layer with a desired thickness, e.g., of 0.2 and 1.2 ⁇ m, can be deposited on the silicon oxide layer using a CVD method.
  • the passivation layer 160 can be formed by depositing an Undoped Silicate Glass (USG) layer with a desired thickness, e.g., of between 0.2 and 3 ⁇ m.
  • an insulating layer e.g., of tetraethyl orthosilicate (“TEOS”), phosphosilicate glass (“PSG”), or borophosphosilicate glass (“BPSG”), with a desired thickness, e.g., of between 0.5 and 3 ⁇ m, can be deposited on the USG layer.
  • a silicon nitride layer with a desired thickness, e.g., of between 0.2 and 1.2 ⁇ m can be deposited on the insulating layer, for example, by using a CVD method.
  • the passivation layer 160 can be formed by optionally depositing a first silicon oxynitride layer with a thickness of between 0.05 and 0.3 ⁇ m using a CVD method, next depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m on the first silicon oxynitride layer using a CVD method, next optionally depositing a second silicon oxynitride layer with a thickness of between 0.05 and 0.3 ⁇ m on the silicon oxide layer using a CVD method, next depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m on the second silicon oxynitride layer or on the silicon oxide using a CVD method, next optionally depositing a third silicon oxynitride layer with a thickness of between 0.05 and 0.3 ⁇ m on the silicon nitride layer using a CVD method, and then depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m on the third
  • the passivation layer 160 can be formed by depositing a first silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m using a CVD method, next depositing a second silicon oxide layer with a thickness of between 0.5 and 1 ⁇ m on the first silicon oxide layer using a spin-coating method, next depositing a third silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m on the second silicon oxide layer using a CVD method, next depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m on the third silicon oxide layer using a CVD method, and then depositing a fourth silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m on the silicon nitride layer using a CVD method.
  • the passivation layer 160 can be formed by depositing a first silicon oxide layer with a thickness of between 0.5 and 2 ⁇ m using a HDP-CVD method, next depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m on the first silicon oxide layer using a CVD method, and then depositing a second silicon oxide layer with a thickness of between 0.5 and 2 ⁇ m on the silicon nitride using a HDP-CVD method.
  • the passivation layer 160 can be formed by depositing a first silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m using a CVD method, next depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 ⁇ m on the first silicon nitride layer using a CVD method, and then depositing a second silicon nitride layer with a thickness of between 0.2 and 1.2 ⁇ m on the silicon oxide layer using a CVD method.
  • passivation layer 160 can include more than one passivation layer opening 165 , to expose part of the metal layers 140 below.
  • the passivation layer openings 165 can be in any desired and practical shape, e.g., the shape of a circle, square, rectangle, or polygon with more than five edges; different shapes can have different opening dimensions and characteristics. For example, a circle opening has dimensions defined by its diameter, a square opening has dimensions defined by its side length, and a polygon with more than five edges has dimensions defined by the longest diagonal.
  • the portion of the metal layers 140 exposed by the passivation layer openings 165 in the passivation layer 160 defines pads 166 , 167 .
  • pads 166 , 167 there can be an optional metal cap (not shown in figure) to protect pads 166 , 167 from being damaged by oxidation.
  • This metal cap can be an aluminum-copper alloy, a gold layer, a titanium tungsten alloy layer, a tantalum layer, a tantalum nitride layer, or a nickel layer.
  • pads 166 , 167 are copper pads, there needs to be a metal cap, such as an aluminum-copper alloy, to protect the copper pad exposed by the passivation layer openings 165 from oxidation, which could damage the copper pad.
  • a barrier layer is formed between the copper pad and aluminum-copper alloy.
  • This barrier layer includes titanium, titanium tungsten alloy, titanium nitride, tantalum, tantalum nitride, chromium, or nickel. The following method is under a condition where there is no metal cap, but those familiar with such technology should be able to deduce a similar method with the addition of a metal cap.
  • an under bump metal (“UBM”) structure 250 is disposed over passivation layer opening 165 .
  • the thickness of under bump metal structure 250 can be selected as desired, and in exemplary embodiments is between about one micrometer and 15 micrometers.
  • This under bump metal structure 250 can be connected to external devices 310 and 320 through a solder layer 300 .
  • the solder layer 300 may include gold-tin alloy, tin-silver alloy, tin-silver-copper alloy, or other lead-free alloy. Using tin-silver alloy as an example, the tin to silver ratio can be adjusted according to needs, with the most common tin/silver ratio being 96.0 ⁇ 97/3.0 ⁇ 4.
  • the thickness of the solder layer 300 can be between 30 micrometers and 350 micrometers for exemplary embodiments, though other thicknesses can of course be realized.
  • Under bump metal structure 250 can be a TiW/Cu/Ni metal layer structure, Ti/Cu/Ni metal structure, Ti/Cu metal structure, or Ti/Cu/Ni/Au metal structure.
  • a suitable method for forming the TiW/Cu/Ni/Au under bump metal structure 250 is described.
  • a sputtering process or evaporating process can be used to form a TiW adhesion/barrier metal layer 168 with thickness between 0.05 and 0.5 micrometers on pad 166 , pad 167 and passivation layer 160 , then using the sputtering process to form a copper seed layer 170 ( FIG. 3B ) with thickness between 0.05 and 1 micrometer on TiW metal layer 168 .
  • a patterned photoresist layer 172 FIG. 3C
  • FIG. 3C can be formed on seed layer 170 .
  • This patterned photoresist layer 172 can have more than one opening 172 a revealing seed layer 170 .
  • one or more metallic layers can be deposited/formed ( FIG. 3D ).
  • the following can be formed: (i) a copper metal layer 174 with a desired thickness, e.g., between 3 and 30 micrometers; (ii) a nickel layer 176 with desired thickness, e.g., between 0.5 and 5 micrometers; and, (iii) a gold layer 178 with a desired thickness, e.g., between 0.05 and 1.5 micrometers, preferable between 0.05 and 0.2 micrometers, can be formed respectively in opening 172 a of patterned photoresist layer 172 .
  • the Cu seed layer 170 removing process can be done by using wet etching solution containing H 2 SO 4 or NH 4 OH
  • TiW adhesion/barrier metal layer 168 removing process can be done by using wet etching solution containing 20 ⁇ 40% H 2 O 2 . It may be preferred that the PH value of the etching solution for TiW removal is higher than 6 to prevent Cu corrosion during TiW removal. Of course, other suitable removal processes can be used within the scope of the present disclosure.
  • seed layer 170 is formed by avaporating method, an electroplating method, or an electroless plating method.
  • a sputtering method may be preferred. Because seed layer 170 is important for the construction of electrical circuits thereon, the material used for seed layer 170 can vary according to material used for electrical circuits in following processes.
  • the metal layer 174 made of copper material is formed on seed layer 170 by electroplating, then copper is also the optimal material to use for seed layer 170 .
  • the metal layer 174 is made of gold material and formed on seed layer 170 by electroplating then gold is the optimal material to use for seed layer 170 .
  • the metal layer 174 is made of palladium material and formed on seed layer 170 by electroplating, then palladium is also the optimal material to use for seed layer 170 .
  • the metal layer 174 is made of platinum material and formed on seed layer 170 by electroplating, then platinum is also the optimal material to use for seed layer 170 .
  • rhodium is also the optimal material to use for seed layer 170 .
  • the metal layer 174 is made of ruthenium material and formed on seed layer 170 by electroplating, then ruthenium is also the optimal material to use for seed layer 170 .
  • the metal layer 174 is made of rhenium material and formed on seed layer 170 by electroplating, then rhenium is also the optimal material to use for seed layer 170 .
  • the metal layer 174 is made of silver material and formed on seed layer 170 by electroplating, then silver is also the optimal material to use for seed layer 170 .
  • the structure of under bump metal structure 250 can vary depending on the method used to form solder layer 300 ( FIG. 3 ).
  • solder layer 300 is formed on under bump metal structure 250 by an electroplating method, it may be preferred for the under bump metal structure 250 to be a TiW/Cu/Ni alloy structure or Ti/Cu/Ni alloy structure.
  • the solder structure 300 can be electroplated on the nickel layer, the TiW, or Ti metal layer, formed by a sputtering method on pads 166 , 167 and passivation layer 160 , and Cu/Ni can be deposited by electroplating. In between the TiW or Ti metal layer and copper layer, there can be a copper seed layer deposited by sputtering.
  • the under bump metal structure 250 may preferably be a TiW/Cu/Ni/Au or Ti/Cu/Ni/Au structure.
  • the under bump metal structure 250 on passivation layer opening 165 can be electrically connected to external devices 310 and 320 (labeled as 310 in figure). External devices 310 and 320 are also electrically connected to the metal layer 140 below passivation layer 160 , therefore external devices 310 and 320 are also electrically connected to devices 110 , 112 , and 114 .
  • External devices 310 and 320 can be passive devices, e.g., inductors, capacitors, resistors, or integrated passive devices.
  • external devices 310 and 320 include a capacitor and an inductor, respectively.
  • external device 310 may be a capacitor
  • external device 320 may be an inductor
  • external device 310 may be an integrated passive device
  • external device 320 may be an inductor.
  • the dimensions of external devices 310 and 320 may be chosen from industrial standard dimension 1210 , dimension 0603 , dimension 0402 , or dimension 0201 , wherein the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210 , dimension 0603 , and dimension 0402 deduced by the same standard.
  • external devices 310 and 320 can have a length between 0.2 mm and 5 mm and a width between 0.1 mm and 4 mm, for exemplary embodiments.
  • External devices 310 and 320 can be directly constructed on under bump metal structure 250 through the connection of solder layer 300 .
  • external devices 310 and 320 can be mounted either before or after a dice sawing procedure is performed on substrate 100 .
  • the semiconductor chip after dice sawing procedures as disclosed in Embodiment 1, can be electrically connected to external circuits or power supplies, e.g., through copper wires or gold wires made by wire-bonding or through solder by flip chip techniques.
  • a copper wire or a gold wire can be connected to pad 167 through wire-bonding techniques, where the pad 167 is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • a chip structure according to a second embodiment (“Embodiment 2”) of the present disclosure is similar to that of the above-described first embodiment (“Embodiment 1”), and therefore an explanation of some of the manufacturing processes and properties will not be repeated.
  • a difference between Embodiment 2 and Embodiment 1 lies in an under bump metal structure 260 and a bonding metal layer 400 c that are constructed on or over a pad 166 b .
  • the bonding metal layer 400 c can be used to connect electrically to external circuits through a copper wire or gold wire formed by wire-bonding (not shown in figure).
  • Embodiment 2 can be manufactured by suitable methods, including the following methods:
  • integrated circuit 20 represents all structures below passivation layer 160 . Also included in integrated circuit 20 are substrate 100 , devices 110 , 112 , 114 , first dielectric layer 150 , metal layers 140 , second dielectric layer 155 , metal contact 120 , and via 130 (shown in FIG. 4 ). In circuit 20 multiple passivation layer openings 165 reveal multiple pads 166 a and 166 b.
  • an adhesion/barrier layer 22 is formed on passivation layer 160 and pad 166 a and 166 b by using, e.g., sputtering.
  • the thickness of adhesion/barrier layer 22 is selected as desired. In exemplary embodiments, the thickness can be between about 0.1 micrometers and about 1 micrometer, with an optimal thickness between 0.3 micrometers and 0.8 micrometers.
  • the adhesion/barrier can be selected from or composed of the following materials, Ti, TiW, TiN, Ta, TaN, Cr, and Mo. Ti and TiW are two preferred materials for adhesion/barrier.
  • a seed layer 24 with a desired thickness e.g., between about 0.05 micrometers and 1 micrometer (and an optimal thickness between 0.1 micrometers and 0.7 micrometers) is then formed on adhesion/barrier layer 22 .
  • the material used for seed layer 24 can vary according to the material of metal layers formed later.
  • the material of seed layer can be, for example, Cu, Au or Ag. Au is a preferred seed layer material in this embodiment.
  • photoresist layer 26 is formed on seed layer 24 , and through spin coating, exposure and development, photoresist layer 26 is patterned, forming multiple photoresist layer openings 26 a in photoresist layer 26 , which reveal portions of seed layer 24 that are over pad 166 b.
  • bonding metal layer 400 c is formed by an electroplating, electroless plating, sputtering or CVD method on seed layer 24 , which is in photoresist layer opening 26 a .
  • the bonding metal layer 400 c consists of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure.
  • the thickness of bonding metal layer 400 c is between 1 micrometers and 100 micrometers, with optimal thickness between 1.5 micrometers and 15 micrometers.
  • the bonding metal layer 400 c may be composed with combinations of the multiple metal layer structure which comprise Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt. In this embodiment, bonding metal layer 400 c is preferred to be a single layer made of gold.
  • a removal process can occur for patterned photoresist 26 and portions of seed layer 24 that are not below metal layer 400 c .
  • seed layer 24 may be removed by using solution containing I 2 and KI.
  • a seed layer 28 with a suitable thickness e.g., between 0.05 micrometers and 1 micrometer (and an optimal thickness between 0.1 micrometers and 0.7 micrometers), can be formed on adhesive/barrier layer 22 and metal layer 400 c .
  • the material of the seed layer 28 is preferably copper (Cu). Similar to seed layer 170 described above, the material used for seed layer 28 will vary according to the material of metal layers formed later.
  • a photoresist layer 30 can be formed on seed layer 28 , and through spin coating, exposure and development, photoresist layer 30 is patterned, forming multiple photoresist layer openings 30 a in photoresist layer 30 , which reveal portions of seed layer 28 that are over pads 166 a.
  • a metal layer 32 is formed by an electroplating method on seed layer 28 , which is in photoresist layer openings 30 a .
  • the metal layer 32 can be made of copper, and can have a desired thickness, e.g., between about 1 micrometer and about 100 micrometers, with preferred thicknesses being between about 1.5 micrometers and about 15 micrometers.
  • a metal layer 34 can be formed by an electroplating method on metal layer 32 , which is in photoresist layer openings 30 a .
  • the metal layer 34 can be made of nickel, and can have a desired thickness, e.g., between about 0.1 micrometers and about 20 micrometers, with preferred thicknesses being between 1 micrometer and 5 micrometers.
  • a metal layer 300 can be formed by an electroplating method on metal layer 34 , which is in photoresist layer openings 30 a .
  • the metal layer 300 can consist of material such as tin, Sn/Ag alloy, Sn/In alloy, Sn/Ag/Cu alloy, and any other lead free soldering material, and has a desired thickness, e.g., between about 5 micrometers and about 300 micrometers, with preferred thicknesses being between 20 micrometers and 150 micrometers.
  • FIG. 4L depicts a removal process of patterned photoresist layer 30 and the portions of seed layer 28 and adhesive/barrier layer 22 that are not below metal layer 300 .
  • NH 3 + or SO 4 2+ can be used to etch the copper.
  • dry etching or wet etching can be used to remove adhesive/barrier layer 22 . Dry etching involves using reactive ion etching or Argon sputter etching.
  • wet etching if adhesive/barrier layer 22 is made of Ti/W alloy, hydrogen peroxide can be used to remove the layer, and if adhesion/barrier layer 22 is made of Ti, HF containing solution can be used to remove the layer.
  • under bump metal structure 250 can be a TiW/Cu/Ni structure
  • under bump metal structure 260 can be a TiW/Au seed layer.
  • solder layer 300 collates into a hemisphere shape through the process of reflow in an environment containing oxygen less than 20 ppm.
  • external device 310 and external device 320 are mounted separately on solder layer 300 .
  • external devices 310 and 320 can be passive devices, which can include inductors, capacitors, resistors, and/or integrated passive devices.
  • external devices 310 and 320 are two different passive devices.
  • external device 310 may be a capacitor
  • external device 320 may be an inductor
  • external device 310 may be an integrated passive device
  • external device 320 may be an inductor.
  • External devices 310 and 320 each can have multiple contact points (not shown in figure). On the surface of these multiple contact points, there are metals suited for mounting on metal layer 300 .
  • the surface of contact points may have a soldering material layer such as tin containing layer or a solder wetting layer such as gold layer.
  • external devices 310 and 320 may be chosen from industrial standard dimension 1210 , dimension 0603 , dimension 0402 , or dimension 0201 .
  • the dimension 0201 can stands for 0.02 inches by 0.01 inches
  • dimension 1210 , dimension 0603 , and dimension 0402 can be deduced with the same standard.
  • external devices 310 and 320 can have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm, for exemplary embodiments.
  • the following steps can include a dicing procedure, where substrate 100 is first sawed into multiple chips.
  • a wire 37 can be formed by wire-bonding on metal layer 400 c , which is on pad 166 b , and the wire 37 is used to connect to external circuits or power supplies.
  • the wire 37 can be formed of copper or gold.
  • a copper or gold wire can be connected to bonding metal layer 400 c through wire-bonding techniques, where the bonding metal layer 400 c is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • external devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100 .
  • Manufacturing method 2 differs from manufacturing method 1 in that solder layer 300 is provided by external devices 310 and 320 or external addition during mounting process of device 310 and 320 . In other words, before mounting with external devices 310 and 320 , the structure does not have a solder layer 300 on the under bump metal structure 250 . The following is a detailed description of the manufacturing process.
  • a seed layer 38 is formed on adhesive/barrier layer 22 with a desired thickness, e.g., between about 0.05 micrometers and about 1 micrometers (and a preferred optimal thickness between 0.1 micrometers and 0.7 micrometers).
  • seed layer 38 is made of Cu. Similar to seed layer 170 described above, the material used for seed layer 38 will vary according to the material of metal layers formed later.
  • photoresist layer 40 is formed on seed layer 38 , and through spin coating, exposure and development, photoresist layer 40 is patterned, forming multiple photoresist layer openings 40 a in photoresist layer 40 , which separately reveal portions of seed layer 24 that are over pad 166 b and pad 166 a.
  • metal layer 42 is formed by an electroplating method on seed layer 38 , which is in photoresist layer openings 40 a .
  • the metal layer 42 consists of materials such as gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure.
  • the thickness of metal layer 42 can be between about 1 micrometers and about 100 micrometers, with an optimal preferred thickness between 1.5 micrometers and 15 micrometers.
  • metal layer 42 can be made of copper.
  • a metal layer 44 is formed by an electroplating method on metal layer 42 , which is in photoresist layer openings 40 a .
  • the metal layer 44 can be made of nickel, and have a desired thickness, e.g., between about 0.5 micrometers and about 100 micrometers, with an optimal preferred thickness between 1 micrometer and 5 micrometers.
  • a metal layer 46 is formed by an electroplating, electroless-plating sputtering or CVD method on metal layer 44 , which is in photoresist layer openings 40 a .
  • the metal layer 46 can consist of materials such as aluminum, gold, silver, palladium, rhodium, ruthenium, or rhenium, and have a desired thickness, e.g., between about 0.03 micrometers and about 2 micrometers, with an optimal preferred thickness between 0.05 micrometer and 0.5 micrometers.
  • the material of metal layer 46 can be gold (Au).
  • a removal process can be used to remove the patterned photoresist layer 40 and the portions of seed layer 44 and adhesive/barrier layer 22 that are not below metal layer 46 .
  • a NH 3 + or SO 4 2+ containing solution can be used to etch the copper.
  • dry etching or wet etching can be used. Dry etching involves using reactive ion etching or Argon sputter etching.
  • adhesive/barrier layer 22 is made of Ti/W alloy, hydrogen peroxide can be used to remove the layer, and if adhesion/barrier layer 22 is made of Ti, HF containing solution can be used to remove the layer.
  • external device 310 and external device 320 can be separately connected on/to metal layer 46 .
  • the external devices 310 and 320 can contain a solder layer 300 .
  • a solder layer 300 can be formed on metal layer 46 by screen printing method. Through this solder layer 300 , external devices 310 and 320 are mounted to metal layer 46 .
  • external devices 310 and 320 may be passive devices, e.g., inductors, capacitors, resistor, and/or integrated passive devices.
  • external devices 310 and 320 are two different passive devices.
  • external device 310 may be a capacitor
  • external device 320 may be an inductor
  • external device 310 may be an integrated passive device
  • external device 320 may be an inductor.
  • External devices 310 and 320 each have multiple contact points (not shown in figure). On the surface of these multiple contact points, there are metals suited for mounting on metal layer 300 .
  • the surface of contact points may have a soldering material layer or a solder wetting layer such as gold layer.
  • external devices 310 and 320 may be chosen from industrial standard dimension 1210 , dimension 0603 , dimension 0402 , or dimension 0201 , where the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210 , dimension 0603 , and dimension 0402 deduced with the same standard.
  • external devices 310 and 320 have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm.
  • a dicing procedure can follow, in which substrate 100 is sawed into multiple chips. Then, a wire 47 can be conducted by wire-bonding on metal layer 46 , which is on pad 166 b , and the wire 47 can be used to connect to outside circuits or power supplies.
  • the wire 47 can be formed of copper or gold.
  • a copper or gold wire can be connected to bonding metal layer 400 c through wire-bonding techniques, where the bonding metal layer 400 c is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • External devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100 .
  • FIGS. 4 AA- 4 AM depict a third manufacturing method of Embodiment 2.
  • FIG. 4 AA is a cross-sectional view cut along the line 2 - 2 in FIG. 4 AB.
  • Integrated circuit 20 represents all structures below passivation layer 160 .
  • substrate 100 Also included in integrated circuit 20 is substrate 100 , devices 110 , 112 , 114 , first dielectric layer 150 , metal layers 140 , second dielectric layer 155 , metal contact 120 , and via 130 (shown in FIG. 4 ), wherein multiple passivation layer openings 165 a and openings 165 b in passivation layer 160 reveal multiple pads 166 a , pads 166 b and 166 ab .
  • Multiple metal pads 166 a and 166 b are preferably designed in rectangular form.
  • an adhesion/barrier layer 22 can be formed on passivation layer 160 , pad 166 a and 166 b and 166 b by using sputtering method.
  • the thickness of adhesion/barrier layer 22 can be selected as desired, e.g., between 0.1 micrometers and 1 micrometer, with an optimal preferred thickness being between 0.3 micrometers and 0.8 micrometers.
  • the adhesion/barrier can be selected from or composed of the following materials, Ti, TiW, TiN, Ta, TaN, Cr, and Mo. Ti and/or TiW are preferred materials for the adhesion/barrier.
  • a seed layer 38 with a desired thickness e.g., between about 0.05 micrometers and about 1 micrometers (with an optimal preferred thickness being between 0.1 micrometers and 0.7 micrometers), can then be formed on adhesion/barrier layer 22 .
  • the material used for seed layer 38 can vary according to the material of metal layers formed later.
  • the material of seed layer 38 can be Cu, Au or Ag. Cu is a preferred seed layer material in this embodiment.
  • photoresist layer 40 can be formed on seed layer 38 , and through spin coating, exposure and development, photoresist layer 40 is patterned, forming multiple photoresist layer openings 40 a in photoresist layer 40 , which separately reveal portions of seed layer 38 that are over pads 166 a and pad 166 b.
  • metal layer 42 can be formed by an electroplating method on seed layer 38 , which is in photoresist layer openings 40 a .
  • the metal layer 42 can consist of materials such as gold, copper, silver, palladium, rhodium, ruthenium, or rhenium.
  • the thickness of metal layer 42 can be a suitable thickness, e.g., between about 1 micrometers and about 100 micrometers, with optimal preferred thickness being between 1.5 micrometers and 15 micrometers.
  • metal layer 42 is preferably a single layer of copper.
  • metal layer 44 can be formed by an electroplating method on metal layer 42 , which is in photoresist layer openings 40 a .
  • the metal layer 44 can consist of nickel.
  • the thickness of metal layer 44 can be selected as desired, e.g., between about 0.1 micrometers and about 10 micrometers, with optimal preferred thickness being between 0.5 micrometers and 5 micrometers.
  • metal layer 46 can be formed by an electroplating, electroless plating, sputtering or CVD method on metal layer 44 , which is in photoresist layer opening 40 a .
  • the metal layer 46 can consist of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, or rhenium.
  • the thickness of metal layer 46 can be selected as desired, e.g., between about 0.03 micrometers and about 5 micrometers, with an optimal preferred being thickness between 0.05 micrometers and 1.5 micrometers.
  • metal layer 46 is preferably a single layer of gold.
  • a removal process can be applied to remove the patterned photoresist layer 40 and the portions of seed layer 38 and adhesive/barrier layer 22 that are not below metal layer 46 .
  • NH 3 + or SO 4 2+ containing solution can be used to etch the copper.
  • dry etching or wet etching can be used. Dry etching involves using reactive ion etching or Argon sputter etching.
  • adhesive/barrier layer 22 is made of Ti/W alloy, hydrogen peroxide can be used to remove the layer, and if adhesion/barrier layer 22 is made of Ti, HF containing solution can be used to remove the layer.
  • external devices 310 can be connected on/to the metal layer 46 , which is over the pads 166 a .
  • the external devices 310 can have a solder layer 300 .
  • a solder layer 300 can be formed on metal layer 46 by screen printing. Through this solder layer 300 , external devices 310 can be mounted on metal layer 46 .
  • FIG. 4 AL is a cross-sectional view cut along the line 2 - 2 ′ in FIG. 4 AK
  • FIG. 4 AM is a cross-sectional view cut along the line 2 - 2 in FIG. 4 AK.
  • External devices 320 can be connected on the metal layer 46 , which is over the pads 166 ab .
  • the external devices 320 are also over the external device 310 .
  • the external devices 320 can have a solder layer 301 .
  • a solder layer 301 can be formed on metal layer 46 by screen printing. Through this solder layer 301 , external devices 320 can be mounted on metal layer 46 .
  • a dicing process can be performed to singulate each chip, where substrate 100 is sawed into multiple chips.
  • a wire 47 can be formed by wire-bonding on metal layer 46 , which is on pad 166 b , and the wire 47 can be used to connect to outside circuits or power supplies.
  • the wire 47 can be formed of copper or gold.
  • a copper or gold wire can be connected to bonding metal layer 400 c ( FIG. 4 ) through wire-bonding techniques, where the bonding metal layer 400 c is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • External devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100 .
  • Embodiment 3 a third embodiment (“Embodiment 3”) is shown which is similar to Embodiment 2, with a difference being the material and thickness of connecting metal layer 400 .
  • solder layer 400 is constructed on pad 166 a and 166 b . The following is a description of the formation of the structure of Embodiment 3.
  • Embodiment 3 can continue from FIG. 4R of manufacturing method 2 of Embodiment 2.
  • a solder layer 400 is formed on metal layer 44 in photoresist layer openings 40 a by an electroplating method.
  • the thickness of solder layer 400 can be selected as desired, e.g., between about 30 micrometers and about 350 micrometers.
  • Preferred materials for solder layer 400 include tin/silver, tin/copper/silver, and tin/lead alloy.
  • a removal process can be applied to remove the patterned photoresist layer 40 and the portions of seed layer 38 and adhesive/barrier layer 22 that are not below solder layer 400 .
  • NH 3 + or SO 4 2+ containing solution can be used to etch the copper.
  • solder layer 400 will reach melting point and aggregate into a hemispherical shape, as shown.
  • external device 310 and external device 320 are separately mounted to solder layer 400 over pads 166 a .
  • external devices 310 and 320 are passive devices, which include inductors, capacitors, and integrated passive devices.
  • external devices 310 and 320 are two different passive devices.
  • external device 310 may be a capacitor
  • external device 320 may be an inductor
  • external device 310 may be an integrated passive device
  • external device 320 may be an inductor.
  • external devices 310 and 320 may be chosen from industrial standard dimension 1210 , dimension 0603 , dimension 0402 , or dimension 0201 , where the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210 , dimension 0603 , and dimension 0402 deduced by the same standard.
  • external devices 310 and 320 have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm.
  • a first polymer layer 200 on passivation layer 160 can be optionally formed.
  • the first polymer layer 200 can have a desired thickness, e.g., a thickness between about 3 micrometers and about 25 micrometers.
  • the polymer layer can be made of one or more suitable materials, such as polyimide (PI), benzocyclobutene (BCB), parylene, epoxy resins, elastomers, and/or porous dielectric material.
  • PI polyimide
  • BCB benzocyclobutene
  • parylene parylene
  • epoxy resins epoxy resins
  • elastomers elastomers
  • porous dielectric material porous dielectric material
  • integrated circuit 20 is used to represent various structures below passivation layer 160 .
  • Integrated circuit 20 includes substrate 100 , devices 110 , 112 , 114 , first dielectric layer 150 , metal layers 140 , second dielectric layer 155 , metal contact 120 , and metal via 130 (shown in FIG. 6 ), where passivation layer 160 has multiple openings 165 that reveal multiple pads 166 .
  • a photosensitive polymer layer 200 with a desired thickness e.g., between about 3 micrometers and about 25 micrometers, can be formed on the passivation layer 160 .
  • a desired thickness e.g., between about 3 micrometers and about 25 micrometers.
  • polymer layer 200 is patterned, forming many openings 200 a in the polymer layer 200 . These openings 200 a reveal the pads 166 .
  • the polymer layer 200 is then heated, e.g., to a temperature between about 150 and about 390 degrees C. to cure polymer layer 200 so that the polymer layer 200 will harden.
  • Exemplary materials for polymer layer 200 can be chosen from among the following: polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co.
  • the polymer layer 200 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. or preferably between 150 and 190 degrees C.
  • the polymer layer 200 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a desired thickness, e.g., between about 6 and about 50 micrometers on the passivation layer 160 and on the contact pads 166 .
  • the spin-on coated polyimide layer can then be baked, and then exposed. Exposure of the baked polyimide layer can be performed in exemplary embodiments by using a 1 ⁇ stepper or 1 ⁇ contact aligner with at least two of the following lines from a mercury vapor lamp: G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked polyimide layer can then be illuminated with desired wavelength, e.g., with G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polyimide layer can be developed to form multiple openings exposing the contact pads 166 .
  • the developed polyimide layer can then be heated or cured, e.g., at a temperature between 130 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polyimide layer can have a thickness about between 3 and about 25 micrometers in exemplary embodiments.
  • the residual polymeric material or other contaminants can then be removed from the contact pads 166 , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 200 can be formed on the passivation layer 160 , and the openings 200 a formed in the polymer layer 200 expose the contact pads 166 .
  • the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C.
  • the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the polymer layer 200 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between about 3 and about 25 micrometers on the passivation layer 160 and on the contact pads 166 .
  • the spin-on coated polybenzoxazole layer can then be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1 ⁇ stepper or a 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • Subsequent illumination of the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polybenzoxazole layer can then be developed to form multiple openings exposing the contact pads 166 .
  • the developed polybenzoxazole layer can then be heated or cured, e.g., at a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between about 5 and about 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polybenzoxazole layer preferably has a thickness of between about 3 and about 25 ⁇ m.
  • the residual polymeric material or other contaminants can be removed from the contact pads 166 , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 200 can be formed on the passivation layer 160 , and the openings 200 a can be formed in the polymer layer 200 exposing the contact pads 166 .
  • an adhesion/barrier layer 48 can be formed on the polymer layer 200 and on the pads 166 through a sputtering method.
  • the thickness of the adhesion/barrier layer 48 is preferably between about 0.1 micrometer and about 1 micrometer, with an optimal thickness between 0.2 micrometers and 0.5 micrometers.
  • the material of the adhesion/barrier layer 48 can be Ti, TiW, TiN, Ta, TaN or composite of the above metals.
  • a seed layer 50 is shown, which can have a suitable thickness, e.g., between 0.05 about micrometers and about 1 micrometer (with a preferred thickness being between 0.08 micrometers and 0.5 micrometers). See layer 50 is formed on the adhesion/barrier layer 48 .
  • the material of the seed layer 50 in this embodiment is preferably gold (Au), but as in the description of the seed layer 170 above, the material of the seed layer 50 can vary depending on the material of the metal layer formed later on.
  • a photoresist layer 52 is formed on the seed layer 50 , and through spin coating, exposure and development a patterned photoresist layer 52 is formed, with multiple photoresist openings 52 a on the photoresist layer 52 that reveal the seed layer 50 on the pads 166 .
  • metal layer 220 is formed on seed layer 50 in photoresist layer openings 52 a by an electroplating, electroless plating, sputtering or CVD method.
  • the material of metal layer 220 includes aluminum gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure.
  • the thickness of metal layer 220 can be between about 2 micrometers and about 25 micrometers, with an optimal preferred thickness between 3 micrometers and 10 micrometers.
  • metal layer 220 when formed as a multiple metal layer structure, can include combinations such as Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt.
  • metal layer 220 is preferably a single gold layer.
  • a removal process can be applied to remove patterned photoresist layer 52 and portions of seed layer 50 and adhesive/barrier layer 48 that are not below metal layer 220 .
  • seed layer 50 is made of gold
  • seed layer 50 can be removed by using I 2 plus KI solution.
  • hydrogen peroxide H 2 O 2
  • the portions of seed layer 50 and adhesive/barrier layer 48 under metal layer 220 correspond to label 210 in FIG. 6 .
  • a photosensitive polymer layer 230 with a desired thickness can be formed, e.g., between about 3 micrometers and about 25 micrometers.
  • a desired thickness can be formed, e.g., between about 3 micrometers and about 25 micrometers.
  • spin coating, exposure, development, and O 2 plasma ash or etching multiple openings 240 a can be formed in the polymer layer 230 , which expose the metal layer 220 .
  • the polymer layer 230 can be heated and cured.
  • a suitable curing process can proceed at a temperature between about 150 degrees Celsius and about 380 degrees Celsius.
  • the material of the polymer layer 230 can be chosen from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co.
  • the polymer layer 230 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C., and preferably between 150 and 190 degrees C.
  • the polymer layer 230 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the polymer layer 200 and on the metal layer 220 .
  • the spin-on coated polyimide layer can then be baked and exposed. Exposing the baked polyimide layer can include using a 1 ⁇ stepper or 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked polyimide layer can then be illuminated.
  • Illuminating the baked polyimide layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination of the baked polyimide layer.
  • the exposed polyimide layer can then be developed to form multiple openings exposing the metal layer 220 .
  • the developed polyimide layer can then be heated or cured, e.g., at a temperature between about 130 and about 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polyimide layer can have a thickness between about 3 and about 25 micrometers in exemplary embodiments.
  • the residual polymeric material or other contaminants can then be removed from the exposed metal layer 220 , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen.
  • the polymer layer 230 can be formed on the polymer layer 200 and on the metal layer 220 , and the openings 240 a formed in the polymer layer 230 can expose the metal layer 220 .
  • the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C.
  • the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the polymer layer 230 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between 3 and 25 micrometers on the polymer layer 200 and on the metal layer 220 .
  • the spin-on coated polybenzoxazole layer can then be baked, and subsequently exposed. Exposing the baked polybenzoxazole layer can include using a 1 ⁇ stepper or a 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked layer can then be illuminated.
  • Illuminating the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polybenzoxazole layer can then be developed to form multiple openings exposing the metal layer 220 .
  • curing or heating of the developed polybenzoxazole layer can be performed, e.g., at a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between about 200 and about 400° C., and preferably between 250 and 350° C., for a time between about 5 and about 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polybenzoxazole layer can have a thickness of between 3 and 2 ⁇ m, in exemplary embodiment.
  • the residual polymeric material or other contaminants can be removed from the exposed metal layer 220 by a suitable process. For example, with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 230 can be formed on the polymer layer 200 and on the metal layer 220 , and the openings 240 a formed in the polymer layer 230 expose the metal layer 220 .
  • metal layer 220 revealed by openings 240 a can form multiple pads 220 a and one wire bonding pad 220 b .
  • Pads 220 a can be used to connect to external devices 310 and external device 320
  • wire binding pad 220 b can be connected to external circuits or power supplies through wires formed by the wire bounding method.
  • external devices 310 and 320 may be passive devices, which include, inductors, capacitors, and integrated passive devices.
  • external devices 310 and 320 are two different passive devices.
  • external device 310 may be a capacitor
  • external device 320 may be an inductor
  • external device 310 may be an integrated passive device
  • external device 320 may be an inductor.
  • external devices 310 and 320 may be chosen from industrial standard dimension 1210 , dimension 0603 , dimension 0402 , or dimension 0201 , wherein the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210 , dimension 0603 , and dimension 0402 deduced by the same standard.
  • external devices 310 and 320 can have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm.
  • external device 310 and external device 320 can be separately connected to pads 220 a .
  • External device 310 and external device 320 can include a solder layer 400 , with a thickness between 30 micrometers and 350 micrometers, and may be made of materials such as Sn/Ag, Sn/Cu/Ag, Sn/Au alloy, or other related materials.
  • the solder layer 400 may be provided by screen printing process instead of included in external devices.
  • External device 310 and external device 320 can be connected to pads 220 a through solder layer 400 by using surface mount technology.
  • the next step can include a dicing procedure, where substrate 100 is sawed into multiple chips.
  • a wire 56 is formed by wire bonding on wire bonding pad 220 b , and the wire 56 is used to connect wire bonding pad 220 b to external circuits or power supplies.
  • the wire 56 can be formed of copper or gold.
  • a copper or gold wire can be connected to wire bonding pad 220 b through wire-bonding techniques, where the wire bonding pad 220 b is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • external devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100 by using surface mount technology.
  • the pad metal 166 of the circuit structure in the above mentioned four embodiments can be made of aluminum.
  • the pad metal 166 can be made of copper.
  • a metal cap layer 170 is preferably included to protect pad 166 revealed by passivation layer 160 openings, so that pad 166 will not be damaged by oxidation and can sustain later on processes such as wire bonding and flip-chip.
  • the metal cap layer 170 can be an aluminum-copper layer, a gold layer, a titanium (Ti) layer, a titanium tungsten alloy layer, a tantalum (Ta) layer, a tantalum nitride (TaN) layer, or a nickel (Ni) layer.
  • a barrier layer (not shown in figure) can be formed between the copper pad 166 and metal cap layer 170 .
  • This barrier layer can be titanium, titanium tungsten alloy, titanium nitride, tantalum, tantalum nitride, chromium, or nickel in exemplary embodiments.
  • under bump metal structure and mounting external devices in FIG. 7A can be the same as that described for Embodiment 4.
  • Embodiment 6 a sixth embodiment (“Embodiment 6”) of the present disclosure is shown.
  • a difference between Embodiment 6 and the first to fifth embodiments is that external devices are integrated into a single external device 330 .
  • external device 330 can be an integrated passive device of a capacitor and an inductor. Except for external device 330 , the manufacturing process and materials are all identical to those of the first to fifth embodiments. Therefore, the manufacturing process and materials of identical devices will not be repeated.
  • FIGS. 8-11 reveal the packaging structure of a semiconductor chip package structure with only one semiconductor device.
  • FIG. 8 depicts a packaging structure useful for containing or packaging chip structures of the present disclosure, e.g., Embodiment 1 shown in FIG. 3 , Embodiment 2 shown in FIG. 4 , Embodiment 4 shown in FIG. 6 , and/or Embodiment 5 shown in FIG. 7A .
  • the packaging structure of FIG. 8 includes integrated circuit 20 ( 100 ) electrically connected to a BGA substrate 500 through wire 510 .
  • the above mentioned chip devices can be sealed with a molding compound 520 .
  • BGA substrate 500 shown has multiple solder balls 530 and is electrically connected to outside circuits through these solder balls 530 .
  • FIG. 9 depicts another embodiment of a packaging structure, which is useful for holding or packaging a chip package according to Embodiment 3 shown in FIG. 5 .
  • the integrated circuit 20 ( 100 ) is electrically connected to BGA substrate 500 through solder layer 400 a . Then, the above mentioned devices are sealed with a molding compound 520 , and the BGA substrate 500 is electrically connected to outside circuits through solder balls 530 .
  • the molding compound 520 can be a polymer such as epoxy resin or polyimide compound.
  • the external device 310 and 320 in FIGS. 8 and 9 are replaced by an integrated passive device 330 (such as in embodiment 6).
  • the integrated circuit 20 ( 100 ) is electrically connected to the BGA substrate 500 through wire 510
  • it is electrically connected to the BGA substrate 500 through solder layer 400 a.
  • an integrated circuit 20 ( 100 ) can be constructed on lead frame 600 , which can be made of copper or copper alloy and have a thickness between about 100 micrometers and about 2000 micrometers.
  • FIG. 12A-12C depict a packaging structure suitable for packaging chip structures according to Embodiment 1 of FIG. 3 , Embodiment 2 of FIG. 4 , Embodiment 4 of FIG. 5 , and Embodiment 5 of FIG. 6A .
  • integrated circuit 20 100
  • the above mentioned devices are then sealed with a molding compound 620 , but exposing the leads of lead frame 600 . These leads are then connected to an outside circuit.
  • FIG. 12D-12F the external devices 310 and 320 in FIG. 12A-12C are replaced by an integrated device 330 (as in Embodiment 6).
  • FIGS. 13A-13C another packaging structure of Embodiment 3 of FIG. 5 is shown.
  • Integrated circuit 20 ( 100 ) is electrically connected to lead frame 600 through solder layer 400 b , and the above-mentioned devices are then sealed with molding compound 620 , but exposing the leads of lead frame 600 . These leads are then connected to other outside circuits.
  • the molding compound 620 is a polymer such as epoxy resin or polyimide compound.
  • FIGS. 13D-13F the external devices 310 and 320 in FIGS. 14A-14C are replaced by an integrated device 330 (as in Embodiment 6).
  • the description up until this point has been of semiconductor chip structures. Following is the description and explanation of application circuits corresponding to the semiconductor chip structures.
  • the application circuits include an internal circuit, an external circuit, and a metal connection which are all integrated on a single semiconductor chip.
  • FIG. 14 a simplified equivalent circuit is shown.
  • Voltage feedback device 1112 and switch circuit including switch controller 1114 a and switch MOS 1114 b , 1114 e are shown in FIG. 14 .
  • Inductor 1320 and capacitor 1310 are also shown in FIG. 14 , where inductor 1320 and capacitor 1310 are connected and voltage feedback device 1112 is electrically connected between inductor 1320 and capacitor 1310 .
  • This voltage feedback device 1112 can feedback the voltage signal between inductor 1320 and capacitor 1310 .
  • a power supply input 1311 uses wire-bonded leads or solder layers on contact pads of the semiconductor chip to input power to MOS 1114 b , which is below the passivation layer of the semiconductor chip.
  • Feedback device 1112 then takes the voltage signal passing between inductor 1320 and capacitor 1310 , and the voltage signal is transmitted back to switch controller 1114 a .
  • Switch controller 1114 a then uses the signal to decide the on and off timing of the two MOS 1114 b and 1114 e located on the semiconductor chip, which allows switch controller 1114 a to regulate the duty cycle of MOS 1114 b and 1114 e and therefore to regulate the voltage at output 1313 .
  • inductor 1320 , capacitor 1310 , switch controller 1114 a , and voltage feedback device 1112 form the voltage regulator or converter. Therefore, according to different working voltage ranges of semiconductor chips, the voltage regulator integrated with the semiconductor chip can use the described mechanism to regulate voltages immediately, using the shortest transfer path to transfer power supply to the semiconductor chip, allowing the voltage level of the semiconductor chip's power supply to be quickly regulated to a specific voltage range.
  • MOS 1114 b can be replaced by DMOS, LDMOS or Bipolar transistor.
  • MOS 1114 e can be replaced by DMOS, LDMOS or bipolar transistor also.
  • the voltage feedback device 1112 may feature dynamic voltage scaling function.
  • the switch controller 1114 a may comprise a Pulse-Frequency-Modulator or a Pulse-Width-Modulator to control duty cycle, wherein the modulation frequency of the switch controller 1114 a is between 1K Hz and 300M Hz, and preferably between 1M Hz and 100M Hz, for duty cycle control.
  • FIG. 15 shows an equivalent circuit of multiple passive devices and a semiconductor chip connected together, wherein all switch MOS 1114 f , 1114 h , 1114 j , 1114 g , 1114 i , 1114 k and inductor 1320 a , 1320 b , and 1320 c connect to a capacitor 1310 , voltage feedback device 1112 , and a switch controller 1114 a . Therefore, when input pad 1110 inputs a power supply, voltage feedback device 1112 takes a voltage signal between inductors 1320 a , 1320 b , 1320 c and capacitor 1310 and sends a voltage feedback signal to switch controller 1114 a .
  • Switch controller 1114 a then decides when MOS 1114 f , 1114 g , 1114 h , 1114 i , 1114 j , 1114 k will be switched on or off separately.
  • the switch controller 1114 a controls the duty cycles and on-off phases of switch MOS 1114 f , 1114 g , 1114 h , 1114 i , 1114 j , 1114 k to fine-tune the voltage level at output 1313 .
  • switch controller 1114 a controls MOS 1114 f , 1114 g , 1114 h , 1114 i , 1114 j , 1114 k , at least two different on-off phases are generated.
  • FIGS. 17A-17L demonstrate a manufacturing process of a on-chip regulator or converter with inductor and capacitor, wherein the inductor is made by using post-passivation embossing process and the capacitor is attached by using surface mount technology.
  • integrated circuit 20 represents all structures below passivation layer 160 . Also included in integrated circuit 20 is substrate 100 , devices 110 , 112 , 114 , first dielectric layer 150 , metal layers 140 , second dielectric layer 155 , metal contact 120 , and metal via 130 (such as shown in FIG. 7A , for example), wherein multiple passivation layer openings 165 a in passivation layer 160 reveal multiple pads 166 a , 166 b , and 166 c.
  • an adhesion/barrier layer 401 is formed by sputtering on passivation layer 160 and contact pads 166 a , 166 b , and 166 c .
  • the thickness of the adhesion/barrier layer 401 can be between about 0.1 micrometers and about 1 micrometer, with a preferred optimal thickness being between 0.3 micrometers and 0.8 micrometers.
  • the material of adhesion/barrier 401 is preferably TiW or Ti or Ti/TiW.
  • a seed layer 402 is formed with a desired thickness, e.g., between about 0.05 micrometers and about 1 micrometers (with a preferred optimal thickness being between 0.08 micrometers and 0.7 micrometers).
  • the seed layer 402 can be formed on adhesion/barrier layer 401 by sputtering.
  • the seed layer 402 is preferably made of gold.
  • the material of seed layer 402 can vary according to the material of metal layers formed afterwards.
  • a photoresist layer 404 is formed on seed layer 402 , and through spin coating, exposure and development, photoresist layer 404 is patterned, forming multiple photoresist layer openings 404 a in photoresist layer 404 , which separately reveal portions of seed layer 402 that are over pad 166 a , 166 b , and 166 c.
  • bonding metal layer 406 is formed by an electroplating, electroless plating, sputtering or CVD method on seed layer 402 , which is in photoresist layer openings 404 a .
  • the bonding metal layer 406 consists of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure.
  • the thickness of bonding metal layer 406 is selected as desired, e.g., between about 1 micrometers and about 100 micrometers, with an optimal preferred thickness being between 1.5 micrometers and 15 micrometers.
  • the combinations of the multiple metal layer structure can include Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt.
  • bonding metal layer 406 is preferably a single layer made of gold.
  • a removal process can be applied to remove the patterned photoresist layer 404 and portions of seed layer 402 and adhesive/barrier layer 401 that are not below metal layer 406 .
  • Portions of seed layer 402 that are made of gold can be removed by using solvents containing KI plus I 2 solution, while adhesive/barrier layer 401 can be removed by using solvents containing hydrogen peroxide (H 2 O 2 ) if the material of layer 401 is TiW.
  • the bonding metal layer 406 at least forms one inductor device 408 , multiple wire-bonding pads 410 , and multiple contact pads 412 on passivation layer 160 .
  • the wire-bonding pads 410 are formed on pad 166 a
  • the contact pads 412 are formed on pad 166 c
  • the inductor device 408 is formed on passivation layer 160 and pads 166 b .
  • FIG. 17F is a cross section view of FIG. 17G across horizontal line 2 - 2 .
  • Multiple inductor devices 408 can also be formed on or over passivation layer 160 , as shown in FIG. 17H , but in the drawing of FIG. 17F , only one inductor device 408 is shown.
  • a polymer layer 414 can be formed on multiple wire-bonding pads 410 , on multiple contact pads 412 , on the passivation layer 160 and on the inductor 408 by a process including a spin-on coating process, a lamination process, a screen-printing process or a spraying process, and multiple openings in the polymer layer 414 are over the pads 410 and 412 and expose the pads 410 and 412 .
  • the polymer layer 414 can have a thickness as desired, e.g., between about 3 and about 25 micrometers, and preferably between 5 and 15 micrometers, and the material of the polymer layer 414 may include benzocyclobutane (BCB), polyimide (PI), polybenzoxazole (PBO) or epoxy resin.
  • BCB benzocyclobutane
  • PI polyimide
  • PBO polybenzoxazole
  • epoxy resin epoxy resin
  • polymer layer 414 can be formed and patterned with multiple openings 414 a that reveal multiple wire-bonding pads 410 , multiple contact pads, 412 , and cover inductor device 408 . Polymer layer 414 is then cured under a temperature between 150 and 380 degrees Celsius.
  • the material of polymer layer 414 can be chosen from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. If polymer layer 414 is made of polyimide, it is preferably an ester-type polyimide. The polymer layer 414 is preferably photosensitive, so that lithography can be used to pattern the polymer layer 414 .
  • Polymer layer 414 can have a desired thickness, e.g., between about 5 micrometers and about 50 micrometers, with an optimal preferred thickness between 10 micrometers and 20 micrometers.
  • the polymer layer 414 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. or preferably between 150 and 190 degrees C.
  • the polymer layer 414 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the wire-bonding pads 410 , on the contact pads 412 , on the passivation layer 160 and on the inductor 408 .
  • the spin-on coated polyimide layer can then be backed, then exposed.
  • Exposing the baked polyimide layer can include using a 1 ⁇ stepper or 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked layer can then be illuminated.
  • Illuminating the baked polyimide layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polyimide layer can then be developed to form multiple openings exposing the pads 410 and 412 .
  • the polyimide layer can then be cured or heated. Curing or heating the developed polyimide layer can occur at a temperature between about 130 and about 400° C. for a time between about 20 and about 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polyimide layer can have a thickness between about 3 and about 25 micrometers, for exemplary embodiments. Residual polymeric material or other contaminants can be removed from the pads 410 and 412 , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen.
  • the polymer layer 414 can be formed on the passivation layer 160 and on the inductor 408 , and the openings 414 a formed in the polymer layer 414 expose the pads 410 and 412 .
  • the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C.
  • the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the polymer layer 414 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between 3 and 25 micrometers on the wire-bonding pads 410 , on the contact pads 412 , on the passivation layer 160 and on the inductor 408 .
  • the spin-on coated polybenzoxazole layer can then be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1 ⁇ stepper or a 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked layer can then be illuminated. Illuminating the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polybenzoxazole layer can then be developed to form multiple openings exposing the pads 410 and 412 .
  • the developed polybenzoxazole layer can then be heated or cured. For example, heating or curing at a temperature between about 150 and about 250° C.
  • the temperature used is between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between 5 and 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polybenzoxazole layer can have a thickness of between 3 and 25 ⁇ m, in exemplary embodiments. Residual polymeric material or other contaminants can be removed from the pads 410 and 412 , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 414 can be formed on the passivation layer 160 and on the inductor 408 , and the openings 414 a formed in the polymer layer 414 expose the pads 410 and 412 .
  • dicing procedures can be used to cut substrate 100 , passivation layer 160 , and polymer layer 414 into multiple semiconductor chips 600 .
  • the multiple wire-bonding pads 410 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process.
  • the wire 416 can be formed of copper or gold.
  • a copper or gold wire can be connected to wire bonding pad 410 through wire-bonding techniques, where the wire bonding pad 410 is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • Contact pad 412 can then be connected to a capacitor device 418 with a solder layer 420 , through surface mount technique (SMT), wherein the capacitor device 418 is connected to inductor device 408 through metal layers 140 in integrated circuit 20 .
  • SMT surface mount technique
  • the dicing procedures can be performed after capacitor mounting.
  • FIG. 18A-18M depict a manufacturing process of another on-chip regulator or converter with inductor and capacitor, in accordance with an eighth embodiment (“Embodiment 8”) of the present disclosure.
  • the inductor can be made by using a post-passivation embossing process and the capacitor can be attached by using surface mount technology.
  • integrated circuit 20 represents all structures below passivation layer 160 . Also included in integrated circuit 20 are substrate 100 , devices 110 , 112 , 114 , first dielectric layer 150 , metal layers 140 , second dielectric layer 155 , metal contact 120 , and via 130 (such as shown in FIG. 7A , for example). Multiple passivation layer openings 165 a in passivation layer 160 reveal multiple pads 166 a , 166 b , and 166 c.
  • a polymer layer 421 can be formed on the passivation layer 160 and the pads 166 a , 166 b and 166 c .
  • polymer layer 421 can be formed and patterned with multiple openings 421 a that reveal multiple pads 166 a , 166 b , and 166 c .
  • Polymer layer 421 can then be cured under a temperature, e.g., of between about 150 and about 380 degrees Celsius.
  • the material of polymer layer 421 can be chosen from polyimide (PI), benzocyclobutene (“BCB”), polybenzoxazole (“PBO”), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. If polymer layer 421 is made of polyimide, it is preferably ester-type polyimide. The polymer layer 421 is preferably photosensitive, which allows lithography to be used to pattern the polymer layer 421 .
  • Polymer layer 421 can have a desired thickness, e.g., between about 5 micrometers and about 50 micrometers, with an optimal preferred thickness being between 10 micrometers and 25 micrometers.
  • the polymer layer 421 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. and preferably between 150 and 190 degrees C.
  • the polymer layer 421 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the passivation layer 160 and on the pads 166 a , 166 b and 166 c .
  • the spin-on coated polyimide layer can be baked and then exposed. Exposing the baked polyimide layer can include using a 1 ⁇ stepper or 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked polyimide layer can be illuminated.
  • Illuminating the baked polyimide layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polyimide layer can then be developed to form multiple openings exposing the pads 166 a , 166 b and 166 c .
  • Curing or heating can then take place.
  • Curing or heating the developed polyimide layer can include using a temperature between about 130 and about 400° C. for a time between about 20 and about 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polyimide layer has a thickness between 3 and 25 micrometers.
  • the residual polymeric material or other contaminants can be removed from the pads 166 a , 166 b and 166 c , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Accordingly, the polymer layer 421 can be formed on the passivation layer 160 , and the openings 421 a formed in the polymer layer 421 expose the pads 166 a , 166 b and 166 c.
  • the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C.
  • the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the polymer layer 421 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between about 3 and about 25 micrometers on the passivation layer 160 and on the pads 166 a , 166 b and 166 c .
  • the spin-on coated polybenzoxazole layer can then be baked. Baking the spin-on coated polybenzoxazole layer can then be exposed.
  • Exposing the baked polybenzoxazole layer can include using a 1 ⁇ stepper or a 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked layer can then be illuminated.
  • Illuminating the baked polybenzoxazole layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination, e.g., from a mercury lamp.
  • the exposed polybenzoxazole layer can then be developed to form multiple openings exposing the pads 166 a , 166 b and 166 c . Curing can then take place. Curing or heating the developed polybenzoxazole layer can include heating to a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between 5 and 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polybenzoxazole layer preferably has a thickness between about 3 and about 25 ⁇ m.
  • the residual polymeric material or other contaminants can then be removed from the pads 166 a , 166 b and 166 c , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen.
  • the polymer layer 421 can be formed on the passivation layer 160 , and the openings 421 a formed in the polymer layer 421 expose the pads 166 a , 166 b and 166 c.
  • an adhesion/barrier layer 422 can be formed by sputtering on the polymer layer 421 and on the pads 166 a , 166 b , and 166 c .
  • the adhesion/barrier layer 422 can have a thickness between 0.1 micrometers and 1 micrometer, with an optimal, preferred thickness being between 0.3 micrometers and 0.8 micrometers.
  • the material of the adhesion/barrier layer 422 can be titanium, a titanium-tungsten alloy, titanium nitride, chromium, tantalum, tantalum nitride or a composite of the above-mentioned materials.
  • the material of the adhesion/barrier 422 is preferably TiW or Ti or Ti/TiW.
  • a seed layer 424 e.g., with a thickness between 0.05 micrometers and 1 micrometer (with a preferred thickness being between 0.08 micrometers and 0.7 micrometers), is formed next on the adhesion/barrier layer 422 by sputtering.
  • the seed layer 424 is preferably made of gold.
  • the material of the seed layer 424 can vary (or be selected) according to the material of metal layers formed afterwards.
  • photoresist layer 426 can be formed on seed layer 424 , and through spin coating, exposure and development, photoresist layer 426 is patterned, forming multiple photoresist layer openings 426 a in photoresist layer 426 , which separately reveal portions of seed layer 424 that are over pad 166 a , 166 b , and 166 c.
  • bonding metal layer 428 can be formed by an electroplating, electroless plating, sputtering or CVD method on seed layer 424 , which is in photoresist layer openings 426 a .
  • the bonding metal layer 428 can consist of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, and/or nickel, and may have a single metal layer structure or multiple metal layer structure.
  • the thickness of bonding metal layer 428 can be, for example, between about 1 micrometer and about 100 micrometers, with optimal, preferred thickness being between about 1.5 micrometers and about 15 micrometers.
  • Layer 428 may be made of combinations of multiple metal layers forming a multi-layered structure of Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt, etc.
  • bonding metal layer 428 is preferably a single layer of gold.
  • a removal process can be applied to remove patterned photoresist layer 426 and portions of seed layer 424 and adhesive/barrier layer 422 that are not below metal layer 428 .
  • Seed layer 424 when made of gold, can be removed by using solvents containing KI plus I 2 solution, while adhesive/barrier layer 422 can be removed by using solvents containing hydrogen peroxide (H 2 O 2 ) if the material of layer 422 includes TiW.
  • H 2 O 2 hydrogen peroxide
  • the bonding metal layer 428 can form one or more inductor devices 430 (only one is shown), multiple wire-bonding pads 432 , and multiple contact pads 434 on polymer layer 421 .
  • the wire-bonding pads 432 are formed on pad 166 a
  • the contact pads 434 are formed on pad 166 c
  • the inductor device 430 is formed on or over passivation layer 160 and pads 166 b .
  • FIG. 18G is a cross section view of FIG. 18H in the direction of cutting plane indicated by line 2 - 2 .
  • Multiple inductor devices 430 can also be formed on polymer 421 , as shown in FIG. 18I .
  • a polymer layer 436 can be formed by using spin coating on the inductor device 430 , multiple wire-bonding pads 432 , multiple contact pads 434 , and the polymer layer 421 .
  • polymer layer 436 can form multiple openings 436 a that reveal multiple wire-bonding pads 432 , multiple contact pads 434 , and conceal inductor device 430 . Polymer layer 436 can then be cured under a temperature between 150 and 380 degrees Celsius.
  • the material of polymer layer 436 can be chosen, e.g., from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. If polymer layer 436 is made of polyimide, it is preferably ester-type polyimide.
  • the polymer layer 436 is preferably photosensitive, which can allow lithography to be used to pattern the polymer layer 436 .
  • Polymer layer 436 can have a thickness between about 5 micrometers and about 50 micrometers, in exemplary embodiments. An optimal thickness is between 10 micrometers and 20 micrometers.
  • the polymer layer 436 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. or preferably between 150 and 190 degrees C.
  • the polymer layer 436 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the metal layer 428 , on the inductor 430 and on the polymer layer 421 .
  • the coated polyimide layer can then be baked.
  • the polyimide layer can then be illuminated.
  • Illuminating the baked polyimide layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination, e.g., from a mercury lamp source.
  • the baked polyimide layer can then be developed and then exposed to form multiple openings exposing the pads 432 and 434 . Curing can then take place. Curing or heating the developed polyimide layer can be performed at a temperature, e.g., between about 130 and about 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient,
  • the cured polyimide layer can have a thickness between about 3 and about 25 micrometers, in exemplary embodiments.
  • the residual polymeric material or other contaminants can be removed from the pads 432 and 434 , e.g., with an O 2 plasma or a plasma containing fluorine of below about 200 PPM and oxygen. Accordingly, the polymer layer 436 can be formed on the metal layer 428 , on the inductor 430 and on the polymer layer 421 , and the openings 436 a formed in the polymer layer 436 expose the pads 432 and 434 .
  • the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C.
  • the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the polymer layer 436 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between 3 and 25 micrometers on the metal layer 428 , on the inductor 430 and on the polymer layer 421 . Then the spin-on coated polybenzoxazole layer can be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1 ⁇ stepper or a 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked layer polybenzoxazole layer can be illuminated. Illuminating the baked polybenzoxazole layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polybenzoxazole layer can be developed to form multiple openings exposing the pads 432 and 434 . A curing step/process can then be applied to the developed polybenzoxazole.
  • Curing or heating the developed polybenzoxazole layer can include using a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between 5 and 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polybenzoxazole layer can have a thickness of between about 3 and about 25 ⁇ m, for exemplary embodiments.
  • the residual polymeric material or other contaminants can then be removed from the pads 432 and 434 , e.g., with an O 2 plasma or a plasma containing fluorine of below 200 PPM and oxygen.
  • the polymer layer 436 can be formed on the metal layer 428 , on the inductor 430 and on the polymer layer 421 , and the openings 436 a formed in the polymer layer 436 expose the pads 432 and 434 .
  • a dicing procedure can be used to cut substrate 100 , passivation layer 160 , polymer layer 421 , and polymer layer 436 into multiple semiconductor chips 600 .
  • the multiple wire-bonding pads 432 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process.
  • the wire 416 can be formed of copper or gold.
  • a copper or gold wire can be connected to wire-bonding pad 432 through wire-bonding techniques, where the wire-bonding pad 432 is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • Contact pad 434 can then be connected to a capacitor device 418 with a solder layer 420 , through surface mount technique (SMT), wherein the capacitor device 418 is connected to inductor device 430 through metal layers 140 in integrated circuit 20 .
  • SMT surface mount technique
  • the dicing procedures may be performed after capacitor mounting.
  • the inductor 430 and the pads 166 b can be disposed between the contact pads 434 and the pads 166 c.
  • dicing procedures can be used to cut substrate 100 , passivation layer 160 , polymer layer 421 , and polymer layer 436 into multiple semiconductor chips 600 .
  • the multiple wire-bonding pads 432 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process.
  • the wire 416 can be formed of copper or gold.
  • a copper or gold wire can be connected to wire-bonding pad 432 through wire-bonding techniques, where the wire-bonding pad 432 is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • Contact pad 434 can then be connected to a capacitor device 418 with a solder layer 420 , through surface mount technique (SMT), wherein the capacitor device 418 is connected to inductor device 430 through metal layer 428 or metal layers 140 in integrated circuit 20 .
  • SMT surface mount technique
  • the capacitor device 418 is connected to inductor device 430 through metal layer 428 or metal layers 140 in integrated circuit 20 .
  • the dicing procedures may be performed after capacitor mounting.
  • Embodiment 9 a ninth embodiment
  • Embodiment 9 wire-bonding pad 432 is shown directly above pad 166 a
  • this embodiment (“Embodiment 9”), wire-bonding pad 432 is not directly above pad 166 a . Therefore, the location of wire-bonding pad 432 can be adjusted according to requirement and not limited to the area directly above pad 166 a.
  • Embodiment 10 a tenth embodiment (“Embodiment 10”) is shown. This embodiment is similar to Embodiment 8, with the difference being a connecting pad 438 of inductor devices revealed by multiple openings 436 a in polymer layer 436 . Connecting pad 438 can be connected to external circuits or power sources using a wire 416 made by a wire-bonding process.
  • integrated circuit 20 represents all structures below passivation layer 160 . Also included in integrated circuit 20 are substrate 100 , devices 110 , 112 , 114 , first dielectric layer 150 , metal layers 140 , second dielectric layer 155 , metal contact 120 , and metal via 130 (such as shown in FIG. 7A , for example), wherein multiple passivation layer openings 165 a in passivation layer 160 reveal multiple pads 166 a , 166 b , and 166 c (pad 166 a is not shown).
  • an adhesion/barrier layer 401 is formed by sputtering on passivation layer 160 and contact pads 166 a , 166 b , and 166 c .
  • the thickness of the adhesion/barrier layer 401 is between 0.1 micrometers and 1 micrometer, with an optimal thickness between 0.3 micrometers and 0.8 micrometers.
  • the material of adhesion/barrier 401 is preferred to be a TiW or Ti or Ti/TiW.
  • a seed layer 402 with a thickness between about 0.05 micrometers and about 1 micrometers (with an optimal, preferred thickness of between 0.08 micrometers and 0.7 micrometers) can be formed next on adhesion/barrier layer 401 by sputtering.
  • the seed layer 402 is preferably made of gold, however, the material of seed layer 402 can vary according to the material of metal layers formed afterwards.
  • photoresist layer 404 can be formed on seed layer 402 , through spin coating, exposure and development, photoresist layer 404 is patterned, forming multiple photoresist layer openings 404 a in photoresist layer 404 , which separately reveal portions of seed layer 402 that are over pad 166 a , 166 b , and 166 c.
  • bonding metal layer 406 can be formed, e.g., by an electroplating, electroless plating, sputtering or CVD method, on seed layer 402 , which is in photoresist layer openings 404 a .
  • the bonding metal layer 406 can consist of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure.
  • the thickness of bonding metal layer 406 is preferably between about 1 micrometer and about 100 micrometers, with optimal, preferred thickness of between 1.5 micrometers and 15 micrometers.
  • Layer 406 may be combinations of multiple metal layers forming a multi-layered structure including, for example, Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and/or Cu/Ni/Pt.
  • bonding metal layer 406 is preferably a single layer made of gold.
  • a removal process/step can be applied to remove patterned photoresist layer 404 and portions of seed layer 402 and adhesive/barrier layer 401 that are not below metal layer 406 .
  • Seed layer 402 portions that are made of gold can be removed by using solvents containing I 2
  • adhesive/barrier layer 401 can be removed by using solvents containing hydrogen peroxide (H 2 O 2 ) if the material of layer 401 is TiW.
  • the bonding metal layer 406 can form multiple wire-bonding pads 440 and multiple contact pads 442 . Wire-bonding pad 440 and a contact pad 442 can be connected through bonding metal layer 406 .
  • a polymer layer 444 can be formed, e.g., by using spin coating, on multiple wire-bonding pads 440 , multiple contact pads 442 , and passivation layer 160 .
  • polymer layer 444 can be patterned with multiple openings 444 a that reveal multiple wire-bonding pads 440 and multiple contact pads 442 .
  • the polymer layer 444 can then be cured under a temperature between, for example, about 150 and about 380 degrees Celsius.
  • the material of polymer layer 444 can be chosen from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co.
  • polymer layer 444 is made of polyimide, it preferably an ester-type polyimide.
  • the polymer layer 444 is preferably photosensitive to then allow lithography to be used to pattern the polymer layer 444 (then an etching process will be unnecessary).
  • Polymer layer 444 has a thickness between 5 micrometers and 50 micrometers, in exemplary embodiment, with an optimal, preferred thickness being between 10 micrometers and 25 micrometers.
  • the polymer layer 444 may be a fluorine-containing polymer with a curing temperature between 130 and 200 degrees C. or between 150 and 190 degrees C.
  • the polymer layer 444 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the passivation layer 160 and on the metal layer 406 .
  • the polyimide layer can then be baked and then exposed. Exposing the baked polyimide layer can include using a 1 ⁇ stepper or 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the layer can then be illuminated.
  • Illuminating the baked polyimide layer can include utilizing, e.g., from a mercury lamp, G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination.
  • the exposed polyimide layer can then be developed to form multiple openings exposing the pads 440 and 442 .
  • a curing process can then be performed. Curing or heating the developed polyimide layer can occur at a temperature between about 130 and about 400° C. for a time between about 20 and about 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polyimide layer can have a thickness between 3 and 25 micrometers.
  • the residual polymeric material or other contaminants can then be removed from the pads 440 and 442 , e.g., with an O 2 plasma or a plasma containing fluorine of below about 200 PPM and oxygen.
  • the polymer layer 444 can be formed on the passivation layer 160 and on the metal layer 406 , and the openings 444 a formed in the polymer layer 444 expose the pads 440 and 442 .
  • suitable curing processes can include curing or heating the developed polyimide layer at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C.
  • the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • the polymer layer 444 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between about 3 and about 25 micrometers on the passivation layer 160 and on the metal layer 406 .
  • the spin-on coated polybenzoxazole layer can then be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1 ⁇ stepper or a 1 ⁇ contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm.
  • the baked layer can be illuminated.
  • Illuminating the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination, e.g., using a mercury lamp source. Other radiation sources can of course be used for this and other embodiments of the present disclosure.
  • the exposed polybenzoxazole layer can then be developed to form multiple openings exposing the pads 440 and 442 . A curing process can then be applied.
  • Curing or heating the developed polybenzoxazole layer can be performed at a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between about 5 and about 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient.
  • the cured polybenzoxazole layer can have a thickness of between about 3 and about 25 ⁇ m.
  • the residual polymeric material or other contaminants can then be removed from the pads 440 and 442 , e.g., with an O 2 plasma or a plasma containing fluorine of below about 200 PPM and oxygen.
  • the polymer layer 444 can be formed on the passivation layer 160 and on the metal layer 406 , and the openings 444 a formed in the polymer layer 444 expose the pads 440 and 442 .
  • dicing procedures can be used to cut substrate 100 , passivation layer 160 , and polymer layer 444 into multiple semiconductor chips 600 .
  • the multiple wire-bonding pads 440 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process.
  • the wire 416 can be formed of copper or gold.
  • a copper or gold wire can be connected to wire-bonding pad 440 through wire-bonding techniques, where the wire-bonding pad 440 is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • FIG. 21J depicts a cross section view of FIG. 21K along the cutting plane indicated by line 2 - 2 .
  • the dicing procedures may be performed after capacitor mounting.
  • Devices and structures according to Embodiment 10 and Embodiment 11 can be used in devices that step-up voltage as shown in circuit diagrams of FIG. 22 and FIG. 23 .
  • power source input 2240 is connected to inductor 2320
  • inductor 2320 is connected to capacitor 2310 through transistor 2114 d
  • voltage feedback device 2112 is connected to power output 2110
  • switch controller 2114 a is connected to voltage feedback device 2112 and a switch transistor 2114 b .
  • switch controller 2114 a receives the voltage signal of voltage feedback device 2112 and controls the on and off timing of switch transistor 2114 b , pumping up the voltage level of power source output 2110 .
  • MOS device 2114 b can be replaced by DMOS, LDMOS or Bipolar transistor.
  • MOS device 2114 d can be replaced by DMOS, LDMOS or Bipolar transistor also.
  • the voltage feedback device 2112 can provide a dynamic voltage scaling function.
  • FIG. 23 The difference between FIG. 23 and FIG. 22 is that the circuit diagram of FIG. 23 is made of multiple inductors 2320 , capacitor 2310 , switch transistor 2114 g , switch transistor 2114 i , transistor 2114 h and transistor 2114 f .
  • Switch controller 2114 a is used to receive the voltage signal of voltage feedback device 2112 and control the duty cycle and phase of switch transistor 2114 g , and switch transistor 2114 i and therefore pumping up the voltage level of power output 2110 .
  • the circuit diagram of FIG. 23 can more accurately and efficiently to regulate the output voltage.
  • Transistor 2114 g can be replaced by DMOS, LDMOS or Bipolar transistor.
  • Transistor 2114 i can be replaced by DMOS, LDMOS or Bipolar transistor.
  • Transistor 2114 f can be replaced by DMOS, LDMOS or Bipolar transistor.
  • Transistor 2114 h can be replaced by DMOS, LDMOS or Bipolar transistor.
  • FIGS. 24-25 a N-type DMOS device according to an embodiment of the present disclosure is shown.
  • FIG. 24 shows a cross section view of an N-type DMOS device and
  • FIG. 25 shows a top view of an N-type DMOS device.
  • FIGS. 24-25 The elements shown in FIGS. 24-25 are described as follows:
  • 3120 field isolation region, shallow trench isolation filled with oxide or LOCOS isolation;
  • 3125 source of DMOS, heavily doped P-type semiconductor region
  • Source of DMOS heavily doped N-type semiconductor region
  • 3140 source of DMOS, lightly doped N-type semiconductor region
  • metal silicide on source of DMOS comprise Ni-silicide, Co-silicide or Ti-silicide;
  • gate oxide of DMOS comprise
  • gate spacer comprise silicon oxide, nitrogen doped silicon oxide or silicon nitride
  • metal silicide on drain of DMOS comprise Ni-silicide, Co-silicide or Ti-silicide;
  • gate of DMOS comprise silicon, Ni-silicide, Co-silicide, Ti-silicide, W-silicide, Mo-silicide, TiN, Ta, TaN, Al, AlN, W, WN or Ti.
  • FIGS. 26A-26B depict side views of system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 27A-27B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 28A-28B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 29A-29B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 30A-30B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 31A-31B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 32A-32B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 33A-33B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • the elements shown in FIGS. 26A to 33B include:
  • substrate of package or module can be made of a suitable material such as BT, FR4, glass, silicon, ceramic, Cu wiring, Ni/Au pad or polyimide;
  • power management chip combines with on-chip passive device, comprise functions of voltage regulation, voltage convert, dynamic voltage scaling, battery management or charging.
  • the on-chip passive device comprises inductor, capacitor or resistor.
  • the chip 3210 a can be used for a wire-bonding process;
  • power management chip combines with on chip passive device, comprise functions of voltage regulation, voltage convert, dynamic voltage scaling, battery management or charging.
  • the on chip passive device comprises inductor, capacitor or resistor.
  • the chip 3210 b can be used for a flip-chip process;
  • 3230 bonding wire formed by a wire-bonding process, wherein the wire may be Au wire, Cu wire, or Al wire;
  • encapsulation material such as molding compound, epoxy or polyimide
  • IC chip such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip
  • IC chip such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip
  • adhesive material such as silver epoxy or polyimide
  • BGA solder ball such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy;
  • substrate of power management chip package comprising a lead frame, BT, FR4, glass, silicon, ceramic, Cu wiring, a Ni/Au pad or polyimide;
  • metal connect comprising a Cu layer, Ni layer, Au layer or solder layer, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy;
  • IC chip such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip;
  • encapsulation material such as molding compound, epoxy or polyimide
  • IC chip such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip;
  • under-fill material comprising epoxy or polyimide
  • metal bump comprising an electroplated copper layer with a thickness between 10 and 100 micrometers, a gold layer having an electroplated gold layer with a thickness between 5 and 30 micrometers, or a solder layer, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy, having a thickness between 10 and 350 micrometers.
  • the metal bump may comprise an adhesion layer, such as titanium, titanium nitride or a titanium-tungsten alloy, on an overlying chip, a copper seed layer on the adhesion layer, an electroplated copper layer with a thickness between 10 and 100 micrometers on the copper seed layer, an electroplated or electroless plated nickel layer on the electroplated copper layer and a solder layer, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy, having a thickness between 10 and 100 micrometers on the electroplated or electroless plated nickel layer, wherein the solder layer is bonded to an underlying substrate.
  • an adhesion layer such as titanium, titanium nitride or a titanium-tungsten alloy
  • FIG. 34 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip capacitor 1310 , on-chip inductor 1320 , on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with two N-type switching DMOS devices 3114 b and 3114 e , in accordance with an exemplary embodiment of the present disclosure.
  • FIG. 34 shows a step-down DC to DC switching voltage regulator or convertor including on-chip capacitor 1310 , on-chip inductor 1320 , on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with two N-type switching DMOS devices 3114 b and 3114 e .
  • the elements shown in FIG. 34 having a same reference number as that shown in FIG. 14 can be referred to in the discussion above regarding FIG. 14 .
  • the arrangement of the on-chip capacitor 1310 and the on-chip inductor 1320 formed over a passivation layer 160 can be referred to in the discussion above as described in all embodiments, such as the arrangement of surface mounted capacitor 310 and inductor 320 shown in FIGS.
  • the output pad 1313 corresponding to the pad 440 in FIG. 21H , can be connected to the inductor 1320 , corresponding to the inductor 448 in FIG.
  • the arrangement of on-chip input capacitor 32 u for input power can be referred to as the arrangement of the on-chip capacitor 1310 , such as the arrangement of surface mounted capacitor 310 shown in FIGS.
  • FIG. 35 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip capacitor 1310 , on-chip inductor 1320 , on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with a P-type switching DMOS device 3115 b and a N-type switching DMOS device 3115 e , in accordance with an exemplary embodiment of the present disclosure.
  • the step-down DC to DC switching voltage regulator or convertor in FIG. 35 can include on-chip capacitor 1310 , on-chip inductor 1320 , on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with a P-type switching DMOS device 3115 b and a N-type switching DMOS device 3115 e .
  • the elements shown in FIG. 35 having the same reference characters as shown in FIG. 14 can be referred to in the discussion above regarding FIG. 14 .
  • the arrangement of the on-chip capacitor 1310 and the on-chip inductor 1320 formed over a passivation layer 160 can be referred to as described above described for various embodiments, such as the arrangement of surface mounted capacitor 310 and inductor 320 shown in FIGS.
  • the output pad 1313 shown can correspond to pad 440 in FIG. 21H , and can be connected to the inductor 1320 , corresponding to inductor 448 in FIG.
  • the arrangement of on-chip input capacitor 32 u for input power is similar to (and can be referenced as) the arrangement of the on-chip capacitor 1310 , such as the arrangement of surface mounted capacitor 310 shown in FIGS.
  • FIG. 36 depicts a step-up DC to DC switching voltage regulator or convertor including on-chip capacitor 2310 , on-chip inductor 2320 , on-chip input capacitor 32 u for input power, switch controller or circuit 2114 a with two N-type switching DMOS devices 3116 b and 3116 e , in accordance with an exemplary embodiment of the present disclosure.
  • the step-up DC to DC switching voltage regulator or convertor shown in FIG. 36 includes on-chip capacitor 2310 , on-chip inductor 2320 , on-chip input capacitor 32 u for input power, switch controller or circuit 2114 a with two N-type switching DMOS devices 3116 b and 3116 e .
  • the elements shown in FIG. 36 are similar to and are shown having the same reference characters as those shown in FIG. 22 .
  • the arrangement of the on-chip capacitor 2310 and the on-chip inductor 2320 formed over a passivation layer 160 can be referred to as above described for other embodiments, such as the arrangement of surface mounted capacitor 310 and inductor 320 shown in FIGS.
  • the output pad 2110 corresponding to the pad 440 in FIG. 21H , can be connected to the capacitor 2310 , corresponding to the inductor 446 in FIG.
  • the arrangement of on-chip input capacitor 32 u for input power can be referred to as the arrangement of the on-chip capacitor 1310 , such as the arrangement of surface mounted capacitor 310 shown in FIGS.
  • the N-type DMOS devices 3114 b , 3114 e , 3115 e , 3116 b and 3116 e shown in FIGS. 34-36 are similar to the DMOS device of FIGS. 24-25 .
  • FIG. 37 shows a cross-sectional view of a part of a step-down switching voltage regulator or converter shown in FIG. 34 .
  • FIG. 38 shows a cross-sectional view of a part of a step-up switching voltage regulator or converter shown in FIG. 36 .
  • the elements shown in FIGS. 37 and 38 are shown having the same reference numbers as those shown in FIGS. 24 , 25 , 34 and 36 . Additional elements shown in FIGS. 37 and 38 are described as follows: 3180 : opening in passivation layer, wherein the opening may have a width between 0.1 and 20 micrometers, between 0.5 and 30 micrometers or between 0.1 and 200 micrometers.
  • An operational amplifier 32 g , 32 j , 32 k and 32 o can be implemented or realized by the circuit of operational amplifier shown in FIG. 40 , as discussed below with respect to FIG. 40 .
  • FIG. 40 shows the function blocks of converter realizing the circuit diagram of FIG. 34 .
  • FIG. 41 shows the function blocks of converter realizing the circuit diagram of FIG. 35 .
  • the element shown in FIGS. 40 and 41 are shown having the same reference numbers as those shown in FIGS. 24 , 25 , 34 , 35 , and 37 .
  • FIGS. 40 and 41 are described as follows:
  • the capacitance of the capacitor may be between 1 ⁇ F and 100 ⁇ F, between 0.1 pF and 50 mH or between 1 pF and 1 mF;
  • the inductance of the inductor can be between 0.1 nH and 10 mH, between 100 nH and 10 mH or between 1 nH and 100 nH;
  • 3114 b N-type DMOS device
  • 3115 b P-type DMOS device
  • the arrangement of on-chip input capacitor 31 q can be referred to as the arrangement of the on-chip capacitor 1310 , such as the arrangement of surface mounted capacitor 310 shown in FIGS. 3 , 4 , 4 N, 4 U, 4 AJ, 4 AK, 4 AL, 4 AM, 5 , 5 D, 6 , 6 I, and 7 A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B integrating the inductor 1320 and the capacitors 1310 , 32 u and 31 q , the arrangement of the surface-mounted capacitor 418 shown in FIGS. 17F-17L , 18 M, 18 Q, 19 A, 20 A, and the arrangement of the surface mounted capacitor 446 shown in FIG. 21J ;
  • Pulse modulator comprise Pulse-width modulation comparator, Pulse frequency modulation circuits
  • the capacitance may be between 1 nF and 100 ⁇ F;
  • the inductor 1320 can be connected to the capacitors 1310 and 31 q and to the resistor 31 s through a Cu wiring layer formed on or over a passivation layer 160 , wherein the Cu wiring layer may contain electroplated copper having a thickness between 3 and 30 micrometers or between 2 and 50 micrometers.
  • the above-described circuits e.g., those shown and described for FIGS. 14 , 15 , 22 , 23 , 34 - 36 and 39 - 41 and the above-mentioned structures shown in FIGS. 3 , 4 , 4 N, 4 U, 4 AK, 4 AL, 4 AM, 5 , 6 , 6 I, 7 A, 7 B, 17 L, 18 M, 18 Q, 19 A, 19 B, 20 A, 20 B, 21 J, and 21 K can be implemented in or realized by a power management IC chip 3210 a for a wire-bonding process or by a power management IC chip 3210 b for a flip-chip bonding process, as shown in FIGS.
  • 26A , 26 B, 27 A, 27 B, 28 A, 28 B, 29 A, 29 B, 30 A, 30 B, 31 A, 31 B, 32 A, 32 B, 33 A and 33 B (which show system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device).
  • the whole structure shown in FIG. 3 comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 32 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a metal pad exposed by an opening 165 in the passivation layer 160 shown in FIG. 3 .
  • the whole structure shown in FIG. 4 or 4 N comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a bonding metal layer 400 c over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIGS. 4 or 4 N, where the wirebonded wire 3230 can be referred to as the wirebonded wire 37 shown in FIG. 4N .
  • FIG. 4U or 4 AM Another example is that the whole structure shown in FIG. 4U or 4 AM comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a metal layer 46 over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIG. 4U or 4 AM, where the wirebonded wire 3230 can be referred to as the wirebonded wire 47 shown in FIG. 4U or 4 AM.
  • the whole structure shown in FIG. 6 , 6 I, or 7 A comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a metal layer 220 exposed by an opening 240 in a polymer layer 230 shown in FIG. 6 , 6 I, or 7 A, where the wirebonded wire 3230 can be referred to as the wirebonded wire 56 shown in FIG. 6I .
  • the whole structure shown in FIG. 7B comprising an integrated passive device 330 of a capacitor and an inductor over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a metal layer 220 exposed by an opening in a polymer layer 230 , where the wirebonded wire 3230 can be referred to as the wirebonded wire 56 shown in FIG. 7B .
  • the whole structure shown in FIG. 17L comprising an on-chip inductor 408 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a metal pad 410 exposed by an opening in a polymer layer 414 shown in FIG. 17L , in which the wirebonded wire 3230 can be referred to as the wirebonded wire 416 .
  • the whole structure shown in FIG. 18M comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18M , where the wirebonded wire 3230 can be referred to as the wirebonded wire 416 shown in FIG. 18M .
  • the whole structure shown in FIG. 18Q comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18Q , where the wirebonded wire 3230 can be referred to as the wirebonded wire 416 shown in FIG. 18Q .
  • the whole structure shown in FIG. 19A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a wirebonding pad 432 exposed by an opening in a polymer layer 436 shown in FIG. 19A , where the wirebonded wire 3230 can be referred to as the wirebonded wire 416 shown in FIG. 19A .
  • the whole structure shown in FIG. 20A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a bonding metal layer 428 exposed by openings in a polymer layer 436 shown in FIG. 20A , where the wirebonded wires 3230 can be referred to as the wirebonded wires 416 shown in FIG. 20A .
  • the whole structure shown in FIG. 21J comprising an on-chip inductor 448 and an on-chip capacitor 446 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A, and the wirebonded wire 3230 shown in FIGS. 26A , 27 A, 28 A, 29 A, 30 A, 31 A, 32 A and/or 33 A can be bonded to a bonding pad 440 exposed by an opening in a polymer layer 444 shown in FIG. 21J , where the wirebonded wires 3230 can be referred to as the wirebonded wires 416 shown in FIG. 21J .
  • the whole structure shown in FIG. 3 comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a metal pad exposed by an opening 165 in the passivation layer 160 shown in FIG. 3 to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 4 or 4 N comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a bonding metal layer 400 c over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIG. 4 or 4 N to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 4U or 4 AM comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a metal layer 46 over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIG. 4U or 4 AM to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 5 or 5 D comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a metal pad 166 b exposed by an opening in a passivation layer 160 , where the metal bump 3360 can be referred to as the metal bump including the elements 400 and 260 shown in FIG. 5 or 5 D.
  • the whole structure shown in FIG. 6 , 6 I, or 7 A comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 32 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 32 B can be formed on a metal layer 220 exposed by an opening 240 in a polymer layer 230 shown in FIG. 6 , 6 I, or 7 A to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 7B comprising an integrated passive device 330 of a capacitor and an inductor over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a metal layer 220 exposed by an opening in a polymer layer 230 to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 17L comprising an on-chip inductor 408 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a metal pad 410 exposed by an opening in a polymer layer 414 shown in FIG. 17L to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 18M comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18M to bond the chip 3210 b to the substrate 3310 or 3000 .
  • FIG. 18Q Another example include that the whole structure shown in FIG. 18Q comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18Q to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 19A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26 B, 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a pad 432 exposed by an opening in a polymer layer 436 shown in FIG. 19A to bond the chip 3210 b to the substrate 3310 or 3000 .
  • the whole structure shown in FIG. 20A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a bonding metal layer 428 exposed by openings in a polymer layer 436 shown in FIG. 20A to bond the chip 3210 b to the substrate 3310 or 3000 .
  • FIG. 21J the whole structure shown in FIG. 21J comprising an on-chip inductor 448 and an on-chip capacitor 446 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B, and the metal bump 3360 shown in FIGS. 26B , 27 B, 28 B, 29 B, 30 B, 31 B, 32 B and/or 33 B can be formed on a bonding pad 440 exposed by an opening in a polymer layer 444 shown in FIG. 21J to bond the chip 3210 b to the substrate 3310 or 3000 .
  • embodiments and aspects of the present disclosure provide for semiconductor chip and application circuits, in which passive and active devices are integrated with the semiconductor chip, so that the signal path between the two types of devices has minimal distance, therefore enabling fast and effective voltage regulation and also decreasing circuit routing area on the PCB.
  • the reaction/response time of each device is decreased, increasing the performance of electronic device without increasing cost.
  • embodiments of the present disclosure can be implemented in hardware, software, firmware, or any combinations of such, and over one or more networks. Moreover, embodiments of the present disclosure can be included in or carried by various signals, e.g., as transmitted over a wireless RF or IR communications link or downloaded from the Internet.

Abstract

Chip packages having power management integrated circuits are described. Power management integrated circuits can be combined with on-chip passive devices, and can provide voltage regulation, voltage conversion, dynamic voltage scaling, and battery management or charging. The on-chip passive devices can include inductors, capacitors, or resistors. Power management using a built-in voltage regulator or converter can provide for immediate adjustment of the voltage range to that which is needed. This improvement allows for easier control of electrical devices of different working voltages and decreases response time of electrical devices. Related fabrication techniques are described.

Description

    RELATED TECHNIQUES
  • This application claims priority to U.S. provisional patent application No. 61/140,895, filed on Dec. 26, 2008, which is herein incorporated by reference in its entirety.
  • BACKGROUND
  • Conventional printed circuit boards (“PCBs”) typically have various components having different power inputs requiring different voltages. For a PCB with multiple electrical devices, each with potentially different voltage demands, power supplies having different output voltages are commonly used. These output voltages are typically selected to correspond to general voltage ranges that are used by the electrical devices of the PCB. Such an approach, however, consumes a rather large amount of energy, increases the difficulty of designing circuits, and also has a rather high cost. Currently, in order to accommodate many different voltage ranges, voltage regulators of rather large size are employed, and on-chip regulators are not a reality.
  • To decrease the amount of energy required, a common method has been to use multiple voltage regulators or converters to modify the voltage from a single power supply unit, to accommodate the needs of the electrical devices. These voltage regulators or converters allow the voltage that enters each electrical device to correspond to the device's working voltage.
  • The greater the number of different types of electrical devices on a PCB, the greater the number of corresponding voltage regulating devices, so that the supply voltages entering the electrical devices will fall in the correct voltage range. Such circuit designs, however, can utilize an overly high quantity of high-cost voltage regulator devices. Moreover, the electrical wiring between different voltage regulators must be separated, causing the need for more metal lines and therefore increasing total manufacturing costs. Needless to say, such circuit design may not be suitable or very economical for use in micro-scale electronic products. In addition, although the use of multiple voltage regulators in place of multiple power supply units can effectively reduce the amount of resources wasted, the large number of voltage regulators used to account for different electrical devices causes circuits on the PCB to become rather complicated. Because signals pass through a complicated arrangement of wiring, the signal response time is naturally longer and cannot be immediate, simultaneously lowering efficiency of power management. Also, the circuit design takes up a large portion of the PCB, which is an inefficient use of circuit routing.
  • SUMMARY OF THE DISCLOSURE
  • The present disclosure describes semiconductor chips and application circuits that address disadvantages described previously. An aspect of the present disclosure provides semiconductor chip structures and related application circuits, in which a switching voltage regulator, or voltage converter, is integrated within the semiconductor chip using chip fabrication methods, so that the switching voltage regulator or voltage converter and semiconductor chip are combined as one structure.
  • Another aspect of the present disclosure provides a semiconductor chip structure and its application circuit with the ability to adapt immediately to supply-voltage variation, efficiently decreasing the transient response time.
  • Another aspect of the present disclosure provides a semiconductor chip structure and its application circuit, for which the use of such semiconductor chip with the integrated voltage regulator or converter will reduce the overall difficulty of circuit designs on the PCB or Motherboard, both satisfying the demand to lower manufacturing costs and miniaturize electronic products.
  • Exemplary embodiments of the present disclosure can provide a semiconductor chip structure that includes a silicon substrate with multiple devices, and a set of external components. On this silicon substrate a thin circuit structure can be provided with a passivation layer. This passivation layer can have multiple passivation layer openings for electrical connection from external components or circuits to the thin circuit structure. The devices can include active devices. Examples of active devices can include, but are not limited to, diodes, p-type metal oxide semiconductor (MOS) devices (e.g., MOSFETs), N-type MOS devices, and/or complementary metal oxide semiconductor (CMOS) devices. Exemplary embodiments of the present disclosure can include voltage feedback devices and/or switch controllers made of the previously-mentioned active devices in the semiconductor chip. Embodiments can likewise include external, passive components such as resistors, capacitors, and inductors.
  • Exemplary embodiments of the present disclosure can provide a circuit structure that includes, from top to bottom, at least a first dielectric layer, a first metal layer, a second dielectric layer, and a second metal layer. The first dielectric layer can lie above the substrate, and within the first dielectric layer there can be a contact window. The first metal layer can be above the first dielectric layer, and every point on the first metal layer can be electrically connected to corresponding devices using corresponding contact windows. The second dielectric layer can be above the first metal layer and may contain multiple vias. The second metal layer can be above the second dielectric layer, and every point on the second metal layer can be electrically connected to corresponding first metal layer through corresponding vias. A polymer layer can be on or over the passivation layer. This polymer layer can have an opening above the opening of the passivation layer, and an under bump metal structure or post passivation metal layer can be constructed on top of the passivation layer opening. Also, according to different embodiments of semiconductor chips, there can be a solder layer, or a solder wetting layer, or a wire bondable layer, a barrier layer, a metal layer and an adhesion/barrier layer comprised in the under bump metal structure. The thickness of the solder layer can vary depending on the different thicknesses of and materials used in the packaging structure of semiconductor chips. The post passivation metal layer may have the same composition as the under bump metal structure or comprises an adhesion/barrier layer and a metal layer, e.g., one that is a copper or gold. Lastly, on the post passivation metal layer there can be a second polymer layer, and this second polymer layer can contain an opening that allows the post passivation metal layer to be revealed.
  • Embodiments of the present disclosure can also provide various application circuits for semiconductor chips, which includes an internal electrical circuit and an external electrical circuit. The internal and external circuits can be electrically connected using a metal circuit. The devices implemented in the internal circuit can be, but are not necessarily limited to, P-Type MOS devices, N-type MOS devices, CMOS devices, voltage feedback devices, and/or switch controllers. Components of an external electrical circuit can include, but are not limited to, resistors, capacitors and inductors. The internal electrical circuit can be in or disposed over a silicon substrate, while the metal circuit and external circuit are over the substrate with the metal circuit in between the internal circuit and external circuit. Semiconductor chips and chip packages according to the present disclosure can utilize various packaging techniques including, but not limited to, the following techniques: thin small outline package (TSOP), small outline J-lead (SOJ), quad flat package (QFP), thin quad flat package (TQFP), and ball grid array (BGA) as packaging methods. In addition, using wire-bonding or flip chip techniques, the semiconductor chip in the present disclosure can be electrically connected to the outside.
  • Aspects and embodiments of the present disclosure can accordingly provide a semiconductor chip with switching voltage regulation and the ability to adapt to varying voltages demanded by various chip designs and/or components, which decreases transient response time, circuit routing area used on the PCB, and the complexity of circuit connection. These improvements can lead to a decrease in the overall cost of manufacturing semiconductor devices.
  • Other features and advantages of the present disclosure will be understood upon reading and understanding the detailed description of exemplary embodiments, described herein, in conjunction with reference to the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Other features and advantages of the present disclosure will be understood upon reading and understanding the detailed description of exemplary embodiments, described herein, in conjunction with reference to the drawings. In the drawings:
  • FIG. 1 depicts a circuit diagram of exemplary embodiments of the present disclosure;
  • FIG. 2 is a graph showing the relationship between usage frequency and output impedance;
  • FIG. 3 depicts a diagram view of a cross section of a semiconductor chip in accordance with a first embodiment of the present disclosure;
  • FIGS. 3A-3E depict fabrication processes for the semiconductor chip in accordance with a first embodiment of the present disclosure;
  • FIG. 4 depicts a diagram view of a cross section of a semiconductor chip in accordance with a second embodiment of the present disclosure;
  • FIGS. 4A-4U and 4AA-4AM depict fabrication processes for the semiconductor chip in accordance with a second embodiment of the present disclosure.
  • FIG. 5 depicts a diagram view of a cross section of a semiconductor chip in accordance with a third embodiment of the present disclosure.
  • FIGS. 5A-5D depict fabrication processes for a semiconductor chip in accordance with a third embodiment of the present disclosure;
  • FIG. 6 depicts a diagram view of a cross section of a semiconductor chip in accordance with a fourth embodiment of the present disclosure;
  • FIGS. 6A-6I depict fabrication processes for a semiconductor chip in accordance with a fourth embodiment of the present disclosure;
  • FIG. 7A depicts a diagram view of a cross section of a semiconductor chip in accordance with a fifth embodiment of the present disclosure;
  • FIG. 7B depicts a diagram view of a cross section of a semiconductor chip in accordance with a sixth embodiment of the present disclosure;
  • FIGS. 8-11 depict ball grid array (BGA) package structures in accordance with a fourth embodiment of the present disclosure;
  • FIGS. 12A-12F depict semiconductor chip package structures in accordance with first, second, fourth, and fifth embodiments of the present disclosure;
  • FIGS. 13A-13C depict semiconductor chip package structures in accordance with a third embodiment of the present disclosure;
  • FIGS. 13D-13F depict semiconductor chip package structures in accordance with a sixth embodiment of the present disclosure;
  • FIG. 14 depicts a diagram of an equivalent circuit of a semiconductor chip in accordance with a first embodiment of the present disclosure;
  • FIG. 15 depicts a diagram of an equivalent circuit of a semiconductor ship in accordance with a second embodiment of the present disclosure;
  • FIG. 16 is a graph showing the relationship between voltage and time of the circuit in FIG. 15;
  • FIGS. 17A-17L depicts fabrication processes in accordance with a seventh embodiment of the present disclosure;
  • FIGS. 18A-18Q depict fabrication processes in accordance with an eighth embodiment of the present disclosure;
  • FIGS. 19A-19B depict side and top views of fabrication processes in accordance with a ninth embodiment of the present disclosure;
  • FIGS. 20A-20B depicts side and top views, respectively, of a structure in accordance with a tenth embodiment of the present disclosure;
  • FIGS. 21A-21K depict fabrication processes in accordance with an eleventh embodiment of the present disclosure;
  • FIGS. 22-23 depict circuit diagrams of a voltage amplifying device, in accordance with an exemplary embodiment of the present disclosure;
  • FIG. 24 depicts a cross section view of an N-type double-diffused MOS (DMOS) device, in accordance with an exemplary embodiment of the present disclosure;
  • FIG. 25 shows a top view of an N-type DMOS device, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 26A-26B depict side views of system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 27A-27B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 28A-28B depict system-in packages or modules including a power management IC chip with on-chip passive devices, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 29A-29B depict system-in packages or modules including a power management IC chip with on-chip passive devices, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 30A-30B depict system-in packages or modules including a power management IC chip with on-chip passive devices, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 31A-31B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 32A-32B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure;
  • FIGS. 33A-33B depict system-in packages or modules including a power management IC chip with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure;
  • FIG. 34 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip passive devices for input power, and a switch controller with two N-type switching DMOS devices, in accordance with an exemplary embodiment of the present disclosure;
  • FIG. 35 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip passive devices for input power, and a switch controller with a P-type switching DMOS device and a N-type switching DMOS device, in accordance with an exemplary embodiment of the present disclosure;
  • FIG. 36 depicts a step-up DC to DC switching voltage regulator or convertor including on-chip passive devices for input power, and a switch controller with two N-type switching DMOS devices, in accordance with an exemplary embodiment of the present disclosure;
  • FIG. 37 depicts a cross-sectional view of a portion of the step-down switching voltage regulator or converter of FIG. 34;
  • FIG. 38 depicts a cross-sectional view of a portion of the step-up switching voltage regulator or converter of FIG. 36;
  • FIG. 39 shows a circuit diagram of an operational amplifier, in accordance with an exemplary embodiment of the present disclosure;
  • FIG. 40 depicts a circuit layout with functional blocks of a converter implementing the circuit diagram of FIG. 34; and
  • FIG. 41 depicts a circuit layout with functional blocks of a converter implementing the circuit diagram of FIG. 35.
  • While certain embodiments are depicted in the drawings, the embodiments depicted are illustrative and variations of those shown, as well as other embodiments described herein, may be envisioned and practiced within the scope of the present disclosure.
  • DETAILED DESCRIPTION
  • Aspects of the present disclosure are directed to semiconductor chip structures and related application circuits having multiple passive devices integrated on a semiconductor chip. By using active devices from semiconductor chips of different functions to match the passive components integrated on the semiconductor chip, immediate voltage adaptation can be achieved within a specific voltage range.
  • Embodiments of the present disclosure can provide a semiconductor chip structure with the equivalent circuit structure 1 shown in FIG. 1. A characteristic of the circuit structure 1 used in exemplary embodiments of the present disclosure is that the circuit structure contains the voltage regulator, or also called converter, 12′ constructed after parasitic elements 14′ of PC board and parasitic elements 15′ of chip package. Therefore, because voltage regulator 12′ does not need to bear the burden of parasitic elements 14′ and 15′, the voltage regulator or converter integrated with a single chip allows circuit operation under higher frequency. The voltage regulator or converter 12′ can be controlled by Pulse-Frequency-Modulation or Pulse-Width-Modulation to control duty cycle. The modulation frequency of voltage regulator or converter 12′ can be between 1K Hz and 300M Hz, and preferably between 1M Hz and 100M Hz, for duty cycle control. Also, this circuit structure design can lower manufacturing costs and simplify the routing design on the PCB because the distance between voltage regulator 12′ and corresponding electrical devices 16′ is shortened. The simplified routing design increases the speed and efficiency at which signals are delivered and solves the problem of large voltage fluctuations under high frequency usage. An example relationship between load current frequency and impedance resistance values are shown in FIG. 2.
  • Following, the preferred embodiments of the each structure in the semiconductor chip structure will first be proposed. Then, in reference to specific embodiments, application circuits will be proposed.
  • Embodiment 1
  • FIG. 3 depicts a substrate 100 made of a type of semiconductor base. This substrate can be silicon based, gallium arsenide (GaAs) based, silicon indium based (SiIn), silicon antimony based (SiSb), indium antimony based (InSb), or silicon germanium (SiGe) based, and many of the devices, such as devices 110, 112, and 114, are located in or over substrate 100. These devices 110, 112, and 114 can mainly be active devices, though passive devices can also be included. Active devices include voltage feedback devices, switch controller, or MOS devices, such as p-channel MOS devices, n-channel MOS devices, n-channel DMOS devices, p-channel DMOS devices, LDMOS, BiCMOS devices, Bipolar Junction Transistor (BJT), or CMOS.
  • As shown in FIG. 3, a thin circuit structure can be disposed or located on substrate 100. This circuit structure can include a first dielectric layer 150, multiple metal layers 140, and at least one second dielectric layer 155. The thicknesses of the first dielectric layer 150 and second dielectric layer 155 can be between 0.3 micrometers and 2.5 micrometers, for exemplary embodiments, and the materials that are used to make the first and second dielectric layers can include boron containing silicate glass, silicon-nitride, silicon-oxide, silicon-oxynitride, and carbon containing low-k dielectric materials. The thicknesses of metal layers 140 can be between 0.1 micrometers and 2 micrometers, for exemplary embodiments, and the materials used to make the metal layers can include copper, aluminum-copper alloy, tantalum, tantalum nitride, tungsten, and tungsten alloy. Devices 110, 112, 114 can be electrically connected to metal layers 140 through a metal contact 120 and metal via 130, which pass through first dielectric layer 150 and second dielectric layer 155. Metal contact 120 and via 130 can be a W-plug or Cu-plug. In addition, the metal layers 140 can be formed by various methods including damascene process, electroplating, CVD, and sputtering. For example, the damascene process, electroplating, sputtering, and CVD can be used to form copper metal layers 140, or sputtering can be used to form aluminum metal layers 140. The first dielectric layer 150 and second dielectric layer 155 can be formed by Chemical Vapor Deposition (CVD), or formed by carbon nanotube material.
  • Passivation layer 160 can be over the circuit structure comprising the first dielectric layer 150, metal layers 140, and second dielectric layer 155. This passivation layer 160 can protect devices 110, 112, 114 and the metal layers 140 described above from humidity and metal ion contamination. In other words, passivation layer 160 can prevent movable ions, such as sodium ions, moisture, transition metal ions, such as gold, silver, and copper, and other impurities from passing through and damaging devices 110, 112, 144, which could be MOS devices, n-channel DMOS devices, p-channel DMOS devices, LDMOS, BiCMOS devices, Bipolar transistors, or voltage feedback devices, and switch controller, or all of metal layers 140 that are below passivation layer 160. In addition, passivation layer 160 usually consists of silicon-oxide (such as SiO2), phosphosilicate glass (PSG), silicon-nitride (such as Si3N4) or silicon oxynitride. Passivation layer 160 typically has a thickness between 0.3 micrometers and 2 micrometers, and when it includes a silicon-nitride layer, this silicon-nitride layer usually has a thickness exceeding 0.3 micrometers and less than 2 micrometers.
  • Ten exemplary methods of manufacturing or fabricating passivation layer 160, are described below. Other suitable methods of manufacturing or fabricating passivation layer 160 may of course be utilized in accordance with the present disclosure.
  • In a first method, the passivation layer 160 can be formed by depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 μm using a CVD method and on the silicon oxide layer depositing a silicon nitride layer with thickness between 0.3 and 1.2 μm by using a CVD method.
  • In a second method, the passivation layer 160 can be formed by depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 μm using a CVD method, next depositing a silicon oxynitride layer with a thickness of between 0.05 and 0.3 μm on the silicon oxide layer using a Plasma Enhanced CVD (PECVD) method, and then depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 μm on the silicon oxynitride layer using a CVD method.
  • In a third method, the passivation layer 160 can be formed by depositing a silicon oxynitride layer with a thickness of between 0.05 and 0.3 μm using a CVD method, next depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 μm on the silicon oxynitride layer using a CVD method, and then depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 μm on the silicon oxide layer using a CVD method.
  • In a fourth method, the passivation layer 160 can be formed by depositing a first silicon oxide layer with a thickness of between 0.2 and 0.5 μm using a CVD method, next depositing a second silicon oxide layer with a thickness of between 0.5 and 1 μm on the first silicon oxide layer using a spin-coating method, next depositing a third silicon oxide layer with a thickness of between 0.2 and 0.5 μm on the second silicon oxide layer using a CVD method, and then depositing a silicon nitride layer with a thickness of 0.2 and 1.2 μm on the third silicon oxide using a CVD method.
  • In a fifth method, the passivation layer 160 can be formed by depositing a silicon oxide layer, e.g., with a thickness of between 0.5 and 2 μm, using a High Density Plasma CVD (HDP-CVD) method. A silicon nitride layer with a desired thickness, e.g., of 0.2 and 1.2 μm, can be deposited on the silicon oxide layer using a CVD method.
  • In a sixth method, the passivation layer 160 can be formed by depositing an Undoped Silicate Glass (USG) layer with a desired thickness, e.g., of between 0.2 and 3 μm. Next, an insulating layer, e.g., of tetraethyl orthosilicate (“TEOS”), phosphosilicate glass (“PSG”), or borophosphosilicate glass (“BPSG”), with a desired thickness, e.g., of between 0.5 and 3 μm, can be deposited on the USG layer. Then, a silicon nitride layer with a desired thickness, e.g., of between 0.2 and 1.2 μm, can be deposited on the insulating layer, for example, by using a CVD method.
  • In a seventh method, the passivation layer 160 can be formed by optionally depositing a first silicon oxynitride layer with a thickness of between 0.05 and 0.3 μm using a CVD method, next depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 μm on the first silicon oxynitride layer using a CVD method, next optionally depositing a second silicon oxynitride layer with a thickness of between 0.05 and 0.3 μm on the silicon oxide layer using a CVD method, next depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 μm on the second silicon oxynitride layer or on the silicon oxide using a CVD method, next optionally depositing a third silicon oxynitride layer with a thickness of between 0.05 and 0.3 μm on the silicon nitride layer using a CVD method, and then depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 μm on the third silicon oxynitride layer or on the silicon nitride layer using a CVD method.
  • In an eighth method, the passivation layer 160 can be formed by depositing a first silicon oxide layer with a thickness of between 0.2 and 1.2 μm using a CVD method, next depositing a second silicon oxide layer with a thickness of between 0.5 and 1 μm on the first silicon oxide layer using a spin-coating method, next depositing a third silicon oxide layer with a thickness of between 0.2 and 1.2 μm on the second silicon oxide layer using a CVD method, next depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 μm on the third silicon oxide layer using a CVD method, and then depositing a fourth silicon oxide layer with a thickness of between 0.2 and 1.2 μm on the silicon nitride layer using a CVD method.
  • In a ninth method, the passivation layer 160 can be formed by depositing a first silicon oxide layer with a thickness of between 0.5 and 2 μm using a HDP-CVD method, next depositing a silicon nitride layer with a thickness of between 0.2 and 1.2 μm on the first silicon oxide layer using a CVD method, and then depositing a second silicon oxide layer with a thickness of between 0.5 and 2 μm on the silicon nitride using a HDP-CVD method.
  • In a tenth method, the passivation layer 160 can be formed by depositing a first silicon nitride layer with a thickness of between 0.2 and 1.2 μm using a CVD method, next depositing a silicon oxide layer with a thickness of between 0.2 and 1.2 μm on the first silicon nitride layer using a CVD method, and then depositing a second silicon nitride layer with a thickness of between 0.2 and 1.2 μm on the silicon oxide layer using a CVD method.
  • With continued reference to FIG. 3, passivation layer 160 can include more than one passivation layer opening 165, to expose part of the metal layers 140 below. The passivation layer openings 165 can be in any desired and practical shape, e.g., the shape of a circle, square, rectangle, or polygon with more than five edges; different shapes can have different opening dimensions and characteristics. For example, a circle opening has dimensions defined by its diameter, a square opening has dimensions defined by its side length, and a polygon with more than five edges has dimensions defined by the longest diagonal.
  • The portion of the metal layers 140 exposed by the passivation layer openings 165 in the passivation layer 160 defines pads 166, 167. On pads 166, 167, there can be an optional metal cap (not shown in figure) to protect pads 166, 167 from being damaged by oxidation. This metal cap can be an aluminum-copper alloy, a gold layer, a titanium tungsten alloy layer, a tantalum layer, a tantalum nitride layer, or a nickel layer. For example, when pads 166, 167 are copper pads, there needs to be a metal cap, such as an aluminum-copper alloy, to protect the copper pad exposed by the passivation layer openings 165 from oxidation, which could damage the copper pad. Also, when the metal cap is an aluminum-copper alloy, a barrier layer is formed between the copper pad and aluminum-copper alloy. This barrier layer includes titanium, titanium tungsten alloy, titanium nitride, tantalum, tantalum nitride, chromium, or nickel. The following method is under a condition where there is no metal cap, but those familiar with such technology should be able to deduce a similar method with the addition of a metal cap.
  • With continued reference to FIG. 3, an under bump metal (“UBM”) structure 250 is disposed over passivation layer opening 165. The thickness of under bump metal structure 250 can be selected as desired, and in exemplary embodiments is between about one micrometer and 15 micrometers. This under bump metal structure 250 can be connected to external devices 310 and 320 through a solder layer 300. The solder layer 300 may include gold-tin alloy, tin-silver alloy, tin-silver-copper alloy, or other lead-free alloy. Using tin-silver alloy as an example, the tin to silver ratio can be adjusted according to needs, with the most common tin/silver ratio being 96.0˜97/3.0˜4. The thickness of the solder layer 300 can be between 30 micrometers and 350 micrometers for exemplary embodiments, though other thicknesses can of course be realized. Under bump metal structure 250 can be a TiW/Cu/Ni metal layer structure, Ti/Cu/Ni metal structure, Ti/Cu metal structure, or Ti/Cu/Ni/Au metal structure.
  • Referring to FIGS. 3A-3E, a suitable method for forming the TiW/Cu/Ni/Au under bump metal structure 250 is described. First, as depicted in FIG. 3A, a sputtering process or evaporating process can be used to form a TiW adhesion/barrier metal layer 168 with thickness between 0.05 and 0.5 micrometers on pad 166, pad 167 and passivation layer 160, then using the sputtering process to form a copper seed layer 170 (FIG. 3B) with thickness between 0.05 and 1 micrometer on TiW metal layer 168. Next, a patterned photoresist layer 172 (FIG. 3C) can be formed on seed layer 170. This patterned photoresist layer 172 can have more than one opening 172 a revealing seed layer 170. Next, using the electroplating or electroless plating process, one or more metallic layers can be deposited/formed (FIG. 3D). For example, the following can be formed: (i) a copper metal layer 174 with a desired thickness, e.g., between 3 and 30 micrometers; (ii) a nickel layer 176 with desired thickness, e.g., between 0.5 and 5 micrometers; and, (iii) a gold layer 178 with a desired thickness, e.g., between 0.05 and 1.5 micrometers, preferable between 0.05 and 0.2 micrometers, can be formed respectively in opening 172 a of patterned photoresist layer 172. Finally, photoresist layer 172 and the portions of seed layer 170 and TiW metal layer 168 that are not under gold layer 178 are removed (FIG. 3E), completing the TiW/Cu/Ni/Au under bump metal structure 250. Here, the Cu seed layer 170 removing process can be done by using wet etching solution containing H2SO4 or NH4OH, and TiW adhesion/barrier metal layer 168 removing process can be done by using wet etching solution containing 20˜40% H2O2. It may be preferred that the PH value of the etching solution for TiW removal is higher than 6 to prevent Cu corrosion during TiW removal. Of course, other suitable removal processes can be used within the scope of the present disclosure.
  • Other ways to form seed layer 170 are an evaporating method, an electroplating method, or an electroless plating method. A sputtering method may be preferred. Because seed layer 170 is important for the construction of electrical circuits thereon, the material used for seed layer 170 can vary according to material used for electrical circuits in following processes.
  • For example, if the metal layer 174 made of copper material is formed on seed layer 170 by electroplating, then copper is also the optimal material to use for seed layer 170. Similarly, if the metal layer 174 is made of gold material and formed on seed layer 170 by electroplating then gold is the optimal material to use for seed layer 170. Likewise, if the metal layer 174 is made of palladium material and formed on seed layer 170 by electroplating, then palladium is also the optimal material to use for seed layer 170. If the metal layer 174 is made of platinum material and formed on seed layer 170 by electroplating, then platinum is also the optimal material to use for seed layer 170. If the metal layer 174 made of rhodium material and formed on seed layer 170 by electroplating, then rhodium is also the optimal material to use for seed layer 170. Similarly, if the metal layer 174 is made of ruthenium material and formed on seed layer 170 by electroplating, then ruthenium is also the optimal material to use for seed layer 170. If the metal layer 174 is made of rhenium material and formed on seed layer 170 by electroplating, then rhenium is also the optimal material to use for seed layer 170. If the metal layer 174 is made of silver material and formed on seed layer 170 by electroplating, then silver is also the optimal material to use for seed layer 170.
  • The structure of under bump metal structure 250 can vary depending on the method used to form solder layer 300 (FIG. 3). For example, if solder layer 300 is formed on under bump metal structure 250 by an electroplating method, it may be preferred for the under bump metal structure 250 to be a TiW/Cu/Ni alloy structure or Ti/Cu/Ni alloy structure. The solder structure 300 can be electroplated on the nickel layer, the TiW, or Ti metal layer, formed by a sputtering method on pads 166, 167 and passivation layer 160, and Cu/Ni can be deposited by electroplating. In between the TiW or Ti metal layer and copper layer, there can be a copper seed layer deposited by sputtering.
  • In another example, if the solder layer 300 is provided by external devices 310 and 320 or solder printing, then the under bump metal structure 250 may preferably be a TiW/Cu/Ni/Au or Ti/Cu/Ni/Au structure.
  • Through solder layer 300, the under bump metal structure 250 on passivation layer opening 165 can be electrically connected to external devices 310 and 320 (labeled as 310 in figure). External devices 310 and 320 are also electrically connected to the metal layer 140 below passivation layer 160, therefore external devices 310 and 320 are also electrically connected to devices 110, 112, and 114.
  • External devices 310 and 320 can be passive devices, e.g., inductors, capacitors, resistors, or integrated passive devices. In exemplary embodiments of the present disclosure, external devices 310 and 320 include a capacitor and an inductor, respectively. For example, external device 310 may be a capacitor, while external device 320 may be an inductor, or external device 310 may be an integrated passive device, while external device 320 may be an inductor. The dimensions of external devices 310 and 320 may be chosen from industrial standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201, wherein the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210, dimension 0603, and dimension 0402 deduced by the same standard. In general, external devices 310 and 320 can have a length between 0.2 mm and 5 mm and a width between 0.1 mm and 4 mm, for exemplary embodiments. External devices 310 and 320 can be directly constructed on under bump metal structure 250 through the connection of solder layer 300. Also, external devices 310 and 320 can be mounted either before or after a dice sawing procedure is performed on substrate 100.
  • Finally, the semiconductor chip, after dice sawing procedures as disclosed in Embodiment 1, can be electrically connected to external circuits or power supplies, e.g., through copper wires or gold wires made by wire-bonding or through solder by flip chip techniques. For example, a copper wire or a gold wire can be connected to pad 167 through wire-bonding techniques, where the pad 167 is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • Embodiment 2
  • Referring to FIG. 4, a chip structure according to a second embodiment (“Embodiment 2”) of the present disclosure is similar to that of the above-described first embodiment (“Embodiment 1”), and therefore an explanation of some of the manufacturing processes and properties will not be repeated. A difference between Embodiment 2 and Embodiment 1 lies in an under bump metal structure 260 and a bonding metal layer 400 c that are constructed on or over a pad 166 b. The bonding metal layer 400 c can be used to connect electrically to external circuits through a copper wire or gold wire formed by wire-bonding (not shown in figure).
  • The structure of Embodiment 2 can be manufactured by suitable methods, including the following methods:
  • Manufacturing Method 1 of Embodiment 2:
  • Referring to FIG. 4A, integrated circuit 20 represents all structures below passivation layer 160. Also included in integrated circuit 20 are substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layers 140, second dielectric layer 155, metal contact 120, and via 130 (shown in FIG. 4). In circuit 20 multiple passivation layer openings 165 reveal multiple pads 166 a and 166 b.
  • Referring to FIG. 4B, an adhesion/barrier layer 22 is formed on passivation layer 160 and pad 166 a and 166 b by using, e.g., sputtering. The thickness of adhesion/barrier layer 22 is selected as desired. In exemplary embodiments, the thickness can be between about 0.1 micrometers and about 1 micrometer, with an optimal thickness between 0.3 micrometers and 0.8 micrometers. The adhesion/barrier can be selected from or composed of the following materials, Ti, TiW, TiN, Ta, TaN, Cr, and Mo. Ti and TiW are two preferred materials for adhesion/barrier.
  • Referring to FIG. 4C, a seed layer 24 with a desired thickness, e.g., between about 0.05 micrometers and 1 micrometer (and an optimal thickness between 0.1 micrometers and 0.7 micrometers), is then formed on adhesion/barrier layer 22. Similar to seed layer 170 described above, the material used for seed layer 24 can vary according to the material of metal layers formed later. The material of seed layer can be, for example, Cu, Au or Ag. Au is a preferred seed layer material in this embodiment.
  • Referring to FIG. 4D, photoresist layer 26 is formed on seed layer 24, and through spin coating, exposure and development, photoresist layer 26 is patterned, forming multiple photoresist layer openings 26 a in photoresist layer 26, which reveal portions of seed layer 24 that are over pad 166 b.
  • Referring to FIG. 4E, bonding metal layer 400 c is formed by an electroplating, electroless plating, sputtering or CVD method on seed layer 24, which is in photoresist layer opening 26 a. The bonding metal layer 400 c consists of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure. The thickness of bonding metal layer 400 c is between 1 micrometers and 100 micrometers, with optimal thickness between 1.5 micrometers and 15 micrometers. The bonding metal layer 400 c may be composed with combinations of the multiple metal layer structure which comprise Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt. In this embodiment, bonding metal layer 400 c is preferred to be a single layer made of gold.
  • Referring to FIG. 4F, a removal process can occur for patterned photoresist 26 and portions of seed layer 24 that are not below metal layer 400 c. As an example, if seed layer 24 is made of gold, seed layer 24 may be removed by using solution containing I2 and KI.
  • Referring to FIG. 4G, a seed layer 28 with a suitable thickness, e.g., between 0.05 micrometers and 1 micrometer (and an optimal thickness between 0.1 micrometers and 0.7 micrometers), can be formed on adhesive/barrier layer 22 and metal layer 400 c. In this embodiment, the material of the seed layer 28 is preferably copper (Cu). Similar to seed layer 170 described above, the material used for seed layer 28 will vary according to the material of metal layers formed later.
  • Referring to FIG. 4H, a photoresist layer 30 can be formed on seed layer 28, and through spin coating, exposure and development, photoresist layer 30 is patterned, forming multiple photoresist layer openings 30 a in photoresist layer 30, which reveal portions of seed layer 28 that are over pads 166 a.
  • Referring to FIG. 4I, a metal layer 32 is formed by an electroplating method on seed layer 28, which is in photoresist layer openings 30 a. The metal layer 32 can be made of copper, and can have a desired thickness, e.g., between about 1 micrometer and about 100 micrometers, with preferred thicknesses being between about 1.5 micrometers and about 15 micrometers.
  • Referring to FIG. 4J, a metal layer 34 can be formed by an electroplating method on metal layer 32, which is in photoresist layer openings 30 a. The metal layer 34 can be made of nickel, and can have a desired thickness, e.g., between about 0.1 micrometers and about 20 micrometers, with preferred thicknesses being between 1 micrometer and 5 micrometers.
  • Referring to FIG. 4K, a metal layer 300 can be formed by an electroplating method on metal layer 34, which is in photoresist layer openings 30 a. The metal layer 300 can consist of material such as tin, Sn/Ag alloy, Sn/In alloy, Sn/Ag/Cu alloy, and any other lead free soldering material, and has a desired thickness, e.g., between about 5 micrometers and about 300 micrometers, with preferred thicknesses being between 20 micrometers and 150 micrometers.
  • FIG. 4L depicts a removal process of patterned photoresist layer 30 and the portions of seed layer 28 and adhesive/barrier layer 22 that are not below metal layer 300. To remove seed layer 28 made of copper, NH3 + or SO4 2+ can be used to etch the copper. And to remove adhesive/barrier layer 22, dry etching or wet etching can be used. Dry etching involves using reactive ion etching or Argon sputter etching. On the other hand, when using wet etching, if adhesive/barrier layer 22 is made of Ti/W alloy, hydrogen peroxide can be used to remove the layer, and if adhesion/barrier layer 22 is made of Ti, HF containing solution can be used to remove the layer. Meanwhile, the multiple metal layers, such as metal layer 34, metal layer 32, seed layer 28, and adhesive/barrier layer 22, below metal layer 300 are the under bump metal structure 250 shown in FIG. 4 and the seed layer 28 and adhesion/barrier layer 24 below metal layer 400 c are the under bump metal structure 260 show in FIG. 4 respectively. In the manufacturing of this embodiment, under bump metal structure 250 can be a TiW/Cu/Ni structure, and under bump metal structure 260 can be a TiW/Au seed layer.
  • Referring to FIG. 4M, solder layer 300 collates into a hemisphere shape through the process of reflow in an environment containing oxygen less than 20 ppm.
  • Referring to FIG. 4N, external device 310 and external device 320 are mounted separately on solder layer 300. In this embodiment, external devices 310 and 320 can be passive devices, which can include inductors, capacitors, resistors, and/or integrated passive devices. In exemplary embodiments of the present disclosure, external devices 310 and 320 are two different passive devices. For example, external device 310 may be a capacitor, while external device 320 may be an inductor, or external device 310 may be an integrated passive device, while external device 320 may be an inductor. External devices 310 and 320 each can have multiple contact points (not shown in figure). On the surface of these multiple contact points, there are metals suited for mounting on metal layer 300. For example, the surface of contact points may have a soldering material layer such as tin containing layer or a solder wetting layer such as gold layer.
  • The dimensions of external devices 310 and 320 may be chosen from industrial standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201. For example, the dimension 0201 can stands for 0.02 inches by 0.01 inches, and dimension 1210, dimension 0603, and dimension 0402 can be deduced with the same standard. In general, external devices 310 and 320 can have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm, for exemplary embodiments.
  • The following steps can include a dicing procedure, where substrate 100 is first sawed into multiple chips. Next, a wire 37 can be formed by wire-bonding on metal layer 400 c, which is on pad 166 b, and the wire 37 is used to connect to external circuits or power supplies. The wire 37 can be formed of copper or gold. For example, a copper or gold wire can be connected to bonding metal layer 400 c through wire-bonding techniques, where the bonding metal layer 400 c is a copper pad, aluminum pad, aluminum cap or nickel cap.
  • Also, external devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100.
  • Manufacturing Method 2 of Embodiment 2:
  • Manufacturing method 2 differs from manufacturing method 1 in that solder layer 300 is provided by external devices 310 and 320 or external addition during mounting process of device 310 and 320. In other words, before mounting with external devices 310 and 320, the structure does not have a solder layer 300 on the under bump metal structure 250. The following is a detailed description of the manufacturing process.
  • Continuing from FIG. 4B and referring to also FIG. 40, a seed layer 38 is formed on adhesive/barrier layer 22 with a desired thickness, e.g., between about 0.05 micrometers and about 1 micrometers (and a preferred optimal thickness between 0.1 micrometers and 0.7 micrometers). In this embodiment, seed layer 38 is made of Cu. Similar to seed layer 170 described above, the material used for seed layer 38 will vary according to the material of metal layers formed later.
  • Referring to FIG. 4P, photoresist layer 40 is formed on seed layer 38, and through spin coating, exposure and development, photoresist layer 40 is patterned, forming multiple photoresist layer openings 40 a in photoresist layer 40, which separately reveal portions of seed layer 24 that are over pad 166 b and pad 166 a.
  • Referring to FIG. 4Q, metal layer 42 is formed by an electroplating method on seed layer 38, which is in photoresist layer openings 40 a. The metal layer 42 consists of materials such as gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure. The thickness of metal layer 42 can be between about 1 micrometers and about 100 micrometers, with an optimal preferred thickness between 1.5 micrometers and 15 micrometers. In this embodiment, metal layer 42 can be made of copper.
  • Referring to FIG. 4R, a metal layer 44 is formed by an electroplating method on metal layer 42, which is in photoresist layer openings 40 a. The metal layer 44 can be made of nickel, and have a desired thickness, e.g., between about 0.5 micrometers and about 100 micrometers, with an optimal preferred thickness between 1 micrometer and 5 micrometers.
  • Referring to FIG. 4S, a metal layer 46 is formed by an electroplating, electroless-plating sputtering or CVD method on metal layer 44, which is in photoresist layer openings 40 a. The metal layer 46 can consist of materials such as aluminum, gold, silver, palladium, rhodium, ruthenium, or rhenium, and have a desired thickness, e.g., between about 0.03 micrometers and about 2 micrometers, with an optimal preferred thickness between 0.05 micrometer and 0.5 micrometers. In this embodiment, the material of metal layer 46 can be gold (Au).
  • Referring to FIG. 4T, a removal process can be used to remove the patterned photoresist layer 40 and the portions of seed layer 44 and adhesive/barrier layer 22 that are not below metal layer 46. To remove seed layer 24 made of copper, a NH3 + or SO4 2+ containing solution can be used to etch the copper. To remove adhesive/barrier layer 22, dry etching or wet etching can be used. Dry etching involves using reactive ion etching or Argon sputter etching. On the other hand, when using wet etching, if adhesive/barrier layer 22 is made of Ti/W alloy, hydrogen peroxide can be used to remove the layer, and if adhesion/barrier layer 22 is made of Ti, HF containing solution can be used to remove the layer.
  • Referring to FIG. 4U, external device 310 and external device 320 can be separately connected on/to metal layer 46. The external devices 310 and 320 can contain a solder layer 300. Or, a solder layer 300 can be formed on metal layer 46 by screen printing method. Through this solder layer 300, external devices 310 and 320 are mounted to metal layer 46.
  • In this embodiment, external devices 310 and 320 may be passive devices, e.g., inductors, capacitors, resistor, and/or integrated passive devices. In exemplary embodiments of the present disclosure, external devices 310 and 320 are two different passive devices. For example, external device 310 may be a capacitor, while external device 320 may be an inductor, or external device 310 may be an integrated passive device, while external device 320 may be an inductor. External devices 310 and 320 each have multiple contact points (not shown in figure). On the surface of these multiple contact points, there are metals suited for mounting on metal layer 300. For example, the surface of contact points may have a soldering material layer or a solder wetting layer such as gold layer.
  • The dimensions of external devices 310 and 320 may be chosen from industrial standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201, where the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210, dimension 0603, and dimension 0402 deduced with the same standard. In general, external devices 310 and 320 have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm.
  • A dicing procedure can follow, in which substrate 100 is sawed into multiple chips. Then, a wire 47 can be conducted by wire-bonding on metal layer 46, which is on pad 166 b, and the wire 47 can be used to connect to outside circuits or power supplies. The wire 47 can be formed of copper or gold. For example, a copper or gold wire can be connected to bonding metal layer 400 c through wire-bonding techniques, where the bonding metal layer 400 c is a copper pad, aluminum pad, aluminum cap or nickel cap. External devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100.
  • Manufacturing Method 3 of Embodiment 2:
  • FIGS. 4AA-4AM depict a third manufacturing method of Embodiment 2. FIG. 4AA is a cross-sectional view cut along the line 2-2 in FIG. 4AB. Integrated circuit 20 represents all structures below passivation layer 160. Also included in integrated circuit 20 is substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layers 140, second dielectric layer 155, metal contact 120, and via 130 (shown in FIG. 4), wherein multiple passivation layer openings 165 a and openings 165 b in passivation layer 160 reveal multiple pads 166 a, pads 166 b and 166 ab. Multiple metal pads 166 a and 166 b are preferably designed in rectangular form.
  • Referring to FIG. 4AC, an adhesion/barrier layer 22 can be formed on passivation layer 160, pad 166 a and 166 b and 166 b by using sputtering method. The thickness of adhesion/barrier layer 22 can be selected as desired, e.g., between 0.1 micrometers and 1 micrometer, with an optimal preferred thickness being between 0.3 micrometers and 0.8 micrometers. The adhesion/barrier can be selected from or composed of the following materials, Ti, TiW, TiN, Ta, TaN, Cr, and Mo. Ti and/or TiW are preferred materials for the adhesion/barrier.
  • Referring to FIG. 4AD, a seed layer 38 with a desired thickness, e.g., between about 0.05 micrometers and about 1 micrometers (with an optimal preferred thickness being between 0.1 micrometers and 0.7 micrometers), can then be formed on adhesion/barrier layer 22. Similar to seed layer 170 described above, the material used for seed layer 38 can vary according to the material of metal layers formed later. The material of seed layer 38 can be Cu, Au or Ag. Cu is a preferred seed layer material in this embodiment.
  • Referring to FIG. 4AE, photoresist layer 40 can be formed on seed layer 38, and through spin coating, exposure and development, photoresist layer 40 is patterned, forming multiple photoresist layer openings 40 a in photoresist layer 40, which separately reveal portions of seed layer 38 that are over pads 166 a and pad 166 b.
  • Referring to FIG. 4AF, metal layer 42 can be formed by an electroplating method on seed layer 38, which is in photoresist layer openings 40 a. The metal layer 42 can consist of materials such as gold, copper, silver, palladium, rhodium, ruthenium, or rhenium. The thickness of metal layer 42 can be a suitable thickness, e.g., between about 1 micrometers and about 100 micrometers, with optimal preferred thickness being between 1.5 micrometers and 15 micrometers. In this embodiment, metal layer 42 is preferably a single layer of copper.
  • Referring to FIG. 4AG, metal layer 44 can be formed by an electroplating method on metal layer 42, which is in photoresist layer openings 40 a. The metal layer 44 can consist of nickel. The thickness of metal layer 44 can be selected as desired, e.g., between about 0.1 micrometers and about 10 micrometers, with optimal preferred thickness being between 0.5 micrometers and 5 micrometers.
  • Referring to FIG. 4AH, metal layer 46 can be formed by an electroplating, electroless plating, sputtering or CVD method on metal layer 44, which is in photoresist layer opening 40 a. The metal layer 46 can consist of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, or rhenium. The thickness of metal layer 46 can be selected as desired, e.g., between about 0.03 micrometers and about 5 micrometers, with an optimal preferred being thickness between 0.05 micrometers and 1.5 micrometers. In this embodiment, metal layer 46 is preferably a single layer of gold.
  • Referring to FIG. 4AI, a removal process can be applied to remove the patterned photoresist layer 40 and the portions of seed layer 38 and adhesive/barrier layer 22 that are not below metal layer 46. To remove seed layer 38 made of copper, NH3 + or SO4 2+ containing solution can be used to etch the copper. To remove adhesive/barrier layer 22, dry etching or wet etching can be used. Dry etching involves using reactive ion etching or Argon sputter etching. On the other hand, when using wet etching, if adhesive/barrier layer 22 is made of Ti/W alloy, hydrogen peroxide can be used to remove the layer, and if adhesion/barrier layer 22 is made of Ti, HF containing solution can be used to remove the layer.
  • Referring to FIG. 4AJ, external devices 310 can be connected on/to the metal layer 46, which is over the pads 166 a. The external devices 310 can have a solder layer 300. Alternatively, a solder layer 300 can be formed on metal layer 46 by screen printing. Through this solder layer 300, external devices 310 can be mounted on metal layer 46.
  • Referring to FIGS. 4AK-4AM, FIG. 4AL is a cross-sectional view cut along the line 2-2′ in FIG. 4AK, and FIG. 4AM is a cross-sectional view cut along the line 2-2 in FIG. 4AK. External devices 320 can be connected on the metal layer 46, which is over the pads 166 ab. The external devices 320 are also over the external device 310. The external devices 320 can have a solder layer 301. Alternatively, a solder layer 301 can be formed on metal layer 46 by screen printing. Through this solder layer 301, external devices 320 can be mounted on metal layer 46.
  • Referring to FIG. 4AM, a dicing process can be performed to singulate each chip, where substrate 100 is sawed into multiple chips. Next, a wire 47 can be formed by wire-bonding on metal layer 46, which is on pad 166 b, and the wire 47 can be used to connect to outside circuits or power supplies. The wire 47 can be formed of copper or gold. For example, a copper or gold wire can be connected to bonding metal layer 400 c (FIG. 4) through wire-bonding techniques, where the bonding metal layer 400 c is a copper pad, aluminum pad, aluminum cap or nickel cap. External devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100.
  • Embodiment 3
  • Referring to FIG. 5, a third embodiment (“Embodiment 3”) is shown which is similar to Embodiment 2, with a difference being the material and thickness of connecting metal layer 400. In Embodiment 3, solder layer 400 is constructed on pad 166 a and 166 b. The following is a description of the formation of the structure of Embodiment 3.
  • Manufacturing Method of Embodiment 3:
  • Embodiment 3 can continue from FIG. 4R of manufacturing method 2 of Embodiment 2. Referring to FIG. 5A, a solder layer 400 is formed on metal layer 44 in photoresist layer openings 40 a by an electroplating method. The thickness of solder layer 400 can be selected as desired, e.g., between about 30 micrometers and about 350 micrometers. Preferred materials for solder layer 400 include tin/silver, tin/copper/silver, and tin/lead alloy.
  • Referring to FIG. 5B, a removal process can be applied to remove the patterned photoresist layer 40 and the portions of seed layer 38 and adhesive/barrier layer 22 that are not below solder layer 400. To remove seed layer 38 made of copper, NH3 + or SO4 2+ containing solution can be used to etch the copper.
  • Referring to FIG. 5C, a reflow process, similar to the one previously described for FIG. 4M, can be used so that solder layer 400 will reach melting point and aggregate into a hemispherical shape, as shown.
  • Referring to FIG. 5D, external device 310 and external device 320 are separately mounted to solder layer 400 over pads 166 a. In this embodiment, external devices 310 and 320 are passive devices, which include inductors, capacitors, and integrated passive devices. In the present disclosure, external devices 310 and 320 are two different passive devices. For example, external device 310 may be a capacitor, while external device 320 may be an inductor, or external device 310 may be an integrated passive device, while external device 320 may be an inductor.
  • The dimensions of external devices 310 and 320 may be chosen from industrial standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201, where the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210, dimension 0603, and dimension 0402 deduced by the same standard. In general, external devices 310 and 320 have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm.
  • Embodiment 4
  • Referring to FIG. 6, in the semiconductor chip structure revealed by this embodiment, a first polymer layer 200 on passivation layer 160 can be optionally formed. The first polymer layer 200 can have a desired thickness, e.g., a thickness between about 3 micrometers and about 25 micrometers. The polymer layer can be made of one or more suitable materials, such as polyimide (PI), benzocyclobutene (BCB), parylene, epoxy resins, elastomers, and/or porous dielectric material. The following is a description of the formation of the structure of Embodiment 4.
  • Manufacturing Method of Embodiment 4:
  • Referring to FIG. 6A, integrated circuit 20 is used to represent various structures below passivation layer 160. Integrated circuit 20 includes substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layers 140, second dielectric layer 155, metal contact 120, and metal via 130 (shown in FIG. 6), where passivation layer 160 has multiple openings 165 that reveal multiple pads 166.
  • Referring to FIG. 6B, a photosensitive polymer layer 200 with a desired thickness, e.g., between about 3 micrometers and about 25 micrometers, can be formed on the passivation layer 160. Through spin coating, exposure and development, and O2 plasma ash or etching, polymer layer 200 is patterned, forming many openings 200 a in the polymer layer 200. These openings 200 a reveal the pads 166. The polymer layer 200 is then heated, e.g., to a temperature between about 150 and about 390 degrees C. to cure polymer layer 200 so that the polymer layer 200 will harden. Exemplary materials for polymer layer 200 can be chosen from among the following: polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. Alternatively, the polymer layer 200 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. or preferably between 150 and 190 degrees C.
  • In exemplary embodiments, the polymer layer 200 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a desired thickness, e.g., between about 6 and about 50 micrometers on the passivation layer 160 and on the contact pads 166. The spin-on coated polyimide layer can then be baked, and then exposed. Exposure of the baked polyimide layer can be performed in exemplary embodiments by using a 1× stepper or 1× contact aligner with at least two of the following lines from a mercury vapor lamp: G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked polyimide layer can then be illuminated with desired wavelength, e.g., with G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polyimide layer can be developed to form multiple openings exposing the contact pads 166. The developed polyimide layer can then be heated or cured, e.g., at a temperature between 130 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. The cured polyimide layer can have a thickness about between 3 and about 25 micrometers in exemplary embodiments. The residual polymeric material or other contaminants can then be removed from the contact pads 166, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 200 can be formed on the passivation layer 160, and the openings 200 a formed in the polymer layer 200 expose the contact pads 166.
  • For example, the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • In other embodiments, the polymer layer 200 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between about 3 and about 25 micrometers on the passivation layer 160 and on the contact pads 166. The spin-on coated polybenzoxazole layer can then be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1× stepper or a 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. Subsequent illumination of the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polybenzoxazole layer can then be developed to form multiple openings exposing the contact pads 166, The developed polybenzoxazole layer can then be heated or cured, e.g., at a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between about 5 and about 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient. The cured polybenzoxazole layer preferably has a thickness of between about 3 and about 25 μm. The residual polymeric material or other contaminants can be removed from the contact pads 166, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 200 can be formed on the passivation layer 160, and the openings 200 a can be formed in the polymer layer 200 exposing the contact pads 166.
  • Referring to FIG. 6C, an adhesion/barrier layer 48 can be formed on the polymer layer 200 and on the pads 166 through a sputtering method. The thickness of the adhesion/barrier layer 48 is preferably between about 0.1 micrometer and about 1 micrometer, with an optimal thickness between 0.2 micrometers and 0.5 micrometers. The material of the adhesion/barrier layer 48 can be Ti, TiW, TiN, Ta, TaN or composite of the above metals.
  • Referring to FIG. 6D, a seed layer 50 is shown, which can have a suitable thickness, e.g., between 0.05 about micrometers and about 1 micrometer (with a preferred thickness being between 0.08 micrometers and 0.5 micrometers). See layer 50 is formed on the adhesion/barrier layer 48. The material of the seed layer 50 in this embodiment is preferably gold (Au), but as in the description of the seed layer 170 above, the material of the seed layer 50 can vary depending on the material of the metal layer formed later on.
  • Referring to FIG. 6E, a photoresist layer 52 is formed on the seed layer 50, and through spin coating, exposure and development a patterned photoresist layer 52 is formed, with multiple photoresist openings 52 a on the photoresist layer 52 that reveal the seed layer 50 on the pads 166.
  • Referring to FIG. 6F, metal layer 220 is formed on seed layer 50 in photoresist layer openings 52 a by an electroplating, electroless plating, sputtering or CVD method. The material of metal layer 220 includes aluminum gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure. The thickness of metal layer 220 can be between about 2 micrometers and about 25 micrometers, with an optimal preferred thickness between 3 micrometers and 10 micrometers. The structure of metal layer 220, when formed as a multiple metal layer structure, can include combinations such as Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt. In this embodiment metal layer 220 is preferably a single gold layer.
  • Referring to FIG. 6G, a removal process can be applied to remove patterned photoresist layer 52 and portions of seed layer 50 and adhesive/barrier layer 48 that are not below metal layer 220. If seed layer 50 is made of gold, seed layer 50 can be removed by using I2 plus KI solution. On the other hand, hydrogen peroxide (H2O2) can be used to remove adhesive/barrier layer 48 if the material of the adhesion/barrier layer 48 is TiW. The portions of seed layer 50 and adhesive/barrier layer 48 under metal layer 220 correspond to label 210 in FIG. 6.
  • Referring to FIG. 6H, a photosensitive polymer layer 230 with a desired thickness can be formed, e.g., between about 3 micrometers and about 25 micrometers. Through spin coating, exposure, development, and O2 plasma ash or etching, multiple openings 240 a can be formed in the polymer layer 230, which expose the metal layer 220. Next, the polymer layer 230 can be heated and cured. A suitable curing process can proceed at a temperature between about 150 degrees Celsius and about 380 degrees Celsius. The material of the polymer layer 230 can be chosen from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. Alternatively, the polymer layer 230 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C., and preferably between 150 and 190 degrees C.
  • The polymer layer 230 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the polymer layer 200 and on the metal layer 220. The spin-on coated polyimide layer can then be baked and exposed. Exposing the baked polyimide layer can include using a 1× stepper or 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked polyimide layer can then be illuminated. Illuminating the baked polyimide layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination of the baked polyimide layer. The exposed polyimide layer can then be developed to form multiple openings exposing the metal layer 220. The developed polyimide layer can then be heated or cured, e.g., at a temperature between about 130 and about 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. The cured polyimide layer can have a thickness between about 3 and about 25 micrometers in exemplary embodiments. The residual polymeric material or other contaminants can then be removed from the exposed metal layer 220, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen.
  • The polymer layer 230 can be formed on the polymer layer 200 and on the metal layer 220, and the openings 240 a formed in the polymer layer 230 can expose the metal layer 220. For example, the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • The polymer layer 230 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between 3 and 25 micrometers on the polymer layer 200 and on the metal layer 220. The spin-on coated polybenzoxazole layer can then be baked, and subsequently exposed. Exposing the baked polybenzoxazole layer can include using a 1× stepper or a 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked layer can then be illuminated. Illuminating the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polybenzoxazole layer can then be developed to form multiple openings exposing the metal layer 220. Then curing or heating of the developed polybenzoxazole layer can be performed, e.g., at a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between about 200 and about 400° C., and preferably between 250 and 350° C., for a time between about 5 and about 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient. The cured polybenzoxazole layer can have a thickness of between 3 and 2 μm, in exemplary embodiment. The residual polymeric material or other contaminants can be removed from the exposed metal layer 220 by a suitable process. For example, with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 230 can be formed on the polymer layer 200 and on the metal layer 220, and the openings 240 a formed in the polymer layer 230 expose the metal layer 220.
  • Still referring to FIG. 6H, metal layer 220 revealed by openings 240 a can form multiple pads 220 a and one wire bonding pad 220 b. Pads 220 a can be used to connect to external devices 310 and external device 320, and wire binding pad 220 b can be connected to external circuits or power supplies through wires formed by the wire bounding method. In this embodiment, external devices 310 and 320 may be passive devices, which include, inductors, capacitors, and integrated passive devices. In the present disclosure, external devices 310 and 320 are two different passive devices. For example, external device 310 may be a capacitor, while external device 320 may be an inductor, or external device 310 may be an integrated passive device, while external device 320 may be an inductor. The dimensions of external devices 310 and 320 may be chosen from industrial standard dimension 1210, dimension 0603, dimension 0402, or dimension 0201, wherein the dimension 0201 stands for 0.02 inches by 0.01 inches, and dimension 1210, dimension 0603, and dimension 0402 deduced by the same standard. In general, external devices 310 and 320 can have a length between 0.2 mm and 5 mm, a width between 0.1 mm and 4 mm, and a height between 0.01 mm and 2 mm.
  • Referring to FIG. 6I, external device 310 and external device 320 can be separately connected to pads 220 a. External device 310 and external device 320 can include a solder layer 400, with a thickness between 30 micrometers and 350 micrometers, and may be made of materials such as Sn/Ag, Sn/Cu/Ag, Sn/Au alloy, or other related materials. The solder layer 400 may be provided by screen printing process instead of included in external devices. External device 310 and external device 320 can be connected to pads 220 a through solder layer 400 by using surface mount technology.
  • The next step can include a dicing procedure, where substrate 100 is sawed into multiple chips. Then a wire 56 is formed by wire bonding on wire bonding pad 220 b, and the wire 56 is used to connect wire bonding pad 220 b to external circuits or power supplies. The wire 56 can be formed of copper or gold. For example, a copper or gold wire can be connected to wire bonding pad 220 b through wire-bonding techniques, where the wire bonding pad 220 b is a copper pad, aluminum pad, aluminum cap or nickel cap. Also, external devices 310 and 320 can be mounted after dicing procedures are performed on substrate 100 by using surface mount technology.
  • Embodiment 5
  • Referring to FIG. 7A, the pad metal 166 of the circuit structure in the above mentioned four embodiments can be made of aluminum. In a fifth embodiment of the present disclosure (“Embodiment 5”), the pad metal 166 can be made of copper. When the pad metal 166 is made of copper, a metal cap layer 170 is preferably included to protect pad 166 revealed by passivation layer 160 openings, so that pad 166 will not be damaged by oxidation and can sustain later on processes such as wire bonding and flip-chip. The metal cap layer 170 can be an aluminum-copper layer, a gold layer, a titanium (Ti) layer, a titanium tungsten alloy layer, a tantalum (Ta) layer, a tantalum nitride (TaN) layer, or a nickel (Ni) layer. When the metal cap is an aluminum-copper layer, a barrier layer (not shown in figure) can be formed between the copper pad 166 and metal cap layer 170. This barrier layer can be titanium, titanium tungsten alloy, titanium nitride, tantalum, tantalum nitride, chromium, or nickel in exemplary embodiments.
  • The manufacturing of under bump metal structure and mounting external devices in FIG. 7A can be the same as that described for Embodiment 4.
  • Embodiment 6
  • Referring to FIG. 7B, a sixth embodiment (“Embodiment 6”) of the present disclosure is shown. A difference between Embodiment 6 and the first to fifth embodiments is that external devices are integrated into a single external device 330. For example, external device 330 can be an integrated passive device of a capacitor and an inductor. Except for external device 330, the manufacturing process and materials are all identical to those of the first to fifth embodiments. Therefore, the manufacturing process and materials of identical devices will not be repeated.
  • All the semiconductor chip structures described in the above six embodiments can be packaged in the Ball Grid Array (BGA) as shown in FIGS. 8-11. FIGS. 8-11 reveal the packaging structure of a semiconductor chip package structure with only one semiconductor device.
  • FIG. 8 depicts a packaging structure useful for containing or packaging chip structures of the present disclosure, e.g., Embodiment 1 shown in FIG. 3, Embodiment 2 shown in FIG. 4, Embodiment 4 shown in FIG. 6, and/or Embodiment 5 shown in FIG. 7A. The packaging structure of FIG. 8 includes integrated circuit 20 (100) electrically connected to a BGA substrate 500 through wire 510. As also shown in the drawing, the above mentioned chip devices can be sealed with a molding compound 520. BGA substrate 500 shown has multiple solder balls 530 and is electrically connected to outside circuits through these solder balls 530.
  • FIG. 9 depicts another embodiment of a packaging structure, which is useful for holding or packaging a chip package according to Embodiment 3 shown in FIG. 5. The integrated circuit 20 (100) is electrically connected to BGA substrate 500 through solder layer 400 a. Then, the above mentioned devices are sealed with a molding compound 520, and the BGA substrate 500 is electrically connected to outside circuits through solder balls 530. The molding compound 520 can be a polymer such as epoxy resin or polyimide compound.
  • In FIG. 10 and FIG. 11, the external device 310 and 320 in FIGS. 8 and 9 are replaced by an integrated passive device 330 (such as in embodiment 6). In FIG. 10, the integrated circuit 20 (100) is electrically connected to the BGA substrate 500 through wire 510, and in FIG. 11, it is electrically connected to the BGA substrate 500 through solder layer 400 a.
  • Aside from above mentioned BGA packaging structure, the present disclosure can accommodate or conform to common packaging formats such as the thin small outline package (“TSOP”), small outline J-lead (“SOJ”), quad flat package (“QFP”), thin quad flat package (“TQFP”), or other common lead frame packaging form. As shown in FIG. 12A-12F and FIG. 13A-13F, an integrated circuit 20 (100) can be constructed on lead frame 600, which can be made of copper or copper alloy and have a thickness between about 100 micrometers and about 2000 micrometers.
  • FIG. 12A-12C depict a packaging structure suitable for packaging chip structures according to Embodiment 1 of FIG. 3, Embodiment 2 of FIG. 4, Embodiment 4 of FIG. 5, and Embodiment 5 of FIG. 6A. As shown in FIGS. 12A-12C, integrated circuit 20 (100) is electrically connected to lead frame 600 through wire 610. The above mentioned devices are then sealed with a molding compound 620, but exposing the leads of lead frame 600. These leads are then connected to an outside circuit.
  • In FIG. 12D-12F, the external devices 310 and 320 in FIG. 12A-12C are replaced by an integrated device 330 (as in Embodiment 6).
  • In FIGS. 13A-13C another packaging structure of Embodiment 3 of FIG. 5 is shown. Integrated circuit 20 (100) is electrically connected to lead frame 600 through solder layer 400 b, and the above-mentioned devices are then sealed with molding compound 620, but exposing the leads of lead frame 600. These leads are then connected to other outside circuits. The molding compound 620 is a polymer such as epoxy resin or polyimide compound.
  • In FIGS. 13D-13F, the external devices 310 and 320 in FIGS. 14A-14C are replaced by an integrated device 330 (as in Embodiment 6).
  • The description up until this point has been of semiconductor chip structures. Following is the description and explanation of application circuits corresponding to the semiconductor chip structures. The application circuits include an internal circuit, an external circuit, and a metal connection which are all integrated on a single semiconductor chip.
  • In FIG. 14, a simplified equivalent circuit is shown. Voltage feedback device 1112, and switch circuit including switch controller 1114 a and switch MOS 1114 b, 1114 e are shown in FIG. 14. Inductor 1320 and capacitor 1310 are also shown in FIG. 14, where inductor 1320 and capacitor 1310 are connected and voltage feedback device 1112 is electrically connected between inductor 1320 and capacitor 1310. This voltage feedback device 1112 can feedback the voltage signal between inductor 1320 and capacitor 1310.
  • In the circuit revealed by FIG. 14, a power supply input 1311 uses wire-bonded leads or solder layers on contact pads of the semiconductor chip to input power to MOS 1114 b, which is below the passivation layer of the semiconductor chip. Feedback device 1112 then takes the voltage signal passing between inductor 1320 and capacitor 1310, and the voltage signal is transmitted back to switch controller 1114 a. Switch controller 1114 a then uses the signal to decide the on and off timing of the two MOS 1114 b and 1114 e located on the semiconductor chip, which allows switch controller 1114 a to regulate the duty cycle of MOS 1114 b and 1114 e and therefore to regulate the voltage at output 1313. In the present disclosure, inductor 1320, capacitor 1310, switch controller 1114 a, and voltage feedback device 1112 form the voltage regulator or converter. Therefore, according to different working voltage ranges of semiconductor chips, the voltage regulator integrated with the semiconductor chip can use the described mechanism to regulate voltages immediately, using the shortest transfer path to transfer power supply to the semiconductor chip, allowing the voltage level of the semiconductor chip's power supply to be quickly regulated to a specific voltage range. MOS 1114 b can be replaced by DMOS, LDMOS or Bipolar transistor. MOS 1114 e can be replaced by DMOS, LDMOS or bipolar transistor also. The voltage feedback device 1112 may feature dynamic voltage scaling function. The switch controller 1114 a may comprise a Pulse-Frequency-Modulator or a Pulse-Width-Modulator to control duty cycle, wherein the modulation frequency of the switch controller 1114 a is between 1K Hz and 300M Hz, and preferably between 1M Hz and 100M Hz, for duty cycle control.
  • Also, according to the electrical circuit structure shown in FIG. 14 and the semiconductor chip structure disclosed by the present disclosure, since the passive components in the present disclosure are all integrated over semiconductor substrates with active devices, therefore, multiple electronic devices could easily be connected to each other.
  • FIG. 15 shows an equivalent circuit of multiple passive devices and a semiconductor chip connected together, wherein all switch MOS 1114 f, 1114 h, 1114 j, 1114 g, 1114 i, 1114 k and inductor 1320 a, 1320 b, and 1320 c connect to a capacitor 1310, voltage feedback device 1112, and a switch controller 1114 a. Therefore, when input pad 1110 inputs a power supply, voltage feedback device 1112 takes a voltage signal between inductors 1320 a, 1320 b, 1320 c and capacitor 1310 and sends a voltage feedback signal to switch controller 1114 a. Switch controller 1114 a then decides when MOS 1114 f, 1114 g, 1114 h, 1114 i, 1114 j, 1114 k will be switched on or off separately. The switch controller 1114 a controls the duty cycles and on-off phases of switch MOS 1114 f, 1114 g, 1114 h, 1114 i, 1114 j, 1114 k to fine-tune the voltage level at output 1313. When switch controller 1114 a controls MOS 1114 f, 1114 g, 1114 h, 1114 i, 1114 j, 1114 k, at least two different on-off phases are generated.
  • As shown in FIG. 16, a result of output of FIG. 15's circuit when each switch MOS set with different switching phase, the voltage ripple of output is minimized by different on-off phases of switching MOS. Therefore, embodiments of the present disclosure provides a semiconductor chip with a more stable power voltage. All of MOS 1114 f, 1114 h, 1114 j, 1114 g, 1114 i, 1114 k can be replaced by DMOS, LDMOS or Bipolar transistors.
  • Embodiment 7
  • FIGS. 17A-17L demonstrate a manufacturing process of a on-chip regulator or converter with inductor and capacitor, wherein the inductor is made by using post-passivation embossing process and the capacitor is attached by using surface mount technology.
  • Referring to FIG. 17A, integrated circuit 20 represents all structures below passivation layer 160. Also included in integrated circuit 20 is substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layers 140, second dielectric layer 155, metal contact 120, and metal via 130 (such as shown in FIG. 7A, for example), wherein multiple passivation layer openings 165 a in passivation layer 160 reveal multiple pads 166 a, 166 b, and 166 c.
  • Referring to FIG. 17B, an adhesion/barrier layer 401 is formed by sputtering on passivation layer 160 and contact pads 166 a, 166 b, and 166 c. The thickness of the adhesion/barrier layer 401 can be between about 0.1 micrometers and about 1 micrometer, with a preferred optimal thickness being between 0.3 micrometers and 0.8 micrometers. The material of adhesion/barrier 401 is preferably TiW or Ti or Ti/TiW.
  • Referring to FIG. 17C, a seed layer 402 is formed with a desired thickness, e.g., between about 0.05 micrometers and about 1 micrometers (with a preferred optimal thickness being between 0.08 micrometers and 0.7 micrometers). The seed layer 402 can be formed on adhesion/barrier layer 401 by sputtering. In this embodiment, the seed layer 402 is preferably made of gold. As described above, however, the material of seed layer 402 can vary according to the material of metal layers formed afterwards.
  • Referring to FIG. 17D, a photoresist layer 404 is formed on seed layer 402, and through spin coating, exposure and development, photoresist layer 404 is patterned, forming multiple photoresist layer openings 404 a in photoresist layer 404, which separately reveal portions of seed layer 402 that are over pad 166 a, 166 b, and 166 c.
  • Referring to FIG. 17E, bonding metal layer 406 is formed by an electroplating, electroless plating, sputtering or CVD method on seed layer 402, which is in photoresist layer openings 404 a. The bonding metal layer 406 consists of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure. The thickness of bonding metal layer 406 is selected as desired, e.g., between about 1 micrometers and about 100 micrometers, with an optimal preferred thickness being between 1.5 micrometers and 15 micrometers. The combinations of the multiple metal layer structure can include Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt. In this embodiment, bonding metal layer 406 is preferably a single layer made of gold.
  • Referring to FIG. 17F, a removal process can be applied to remove the patterned photoresist layer 404 and portions of seed layer 402 and adhesive/barrier layer 401 that are not below metal layer 406. Portions of seed layer 402 that are made of gold can be removed by using solvents containing KI plus I2 solution, while adhesive/barrier layer 401 can be removed by using solvents containing hydrogen peroxide (H2O2) if the material of layer 401 is TiW.
  • Referring to FIG. 17G, after removing patterned photoresist layer 404 and portions of seed layer 402 and adhesive/barrier layer 401 that are not under metal layer 406, the bonding metal layer 406 at least forms one inductor device 408, multiple wire-bonding pads 410, and multiple contact pads 412 on passivation layer 160. The wire-bonding pads 410 are formed on pad 166 a, while the contact pads 412 are formed on pad 166 c, and the inductor device 408 is formed on passivation layer 160 and pads 166 b. FIG. 17F is a cross section view of FIG. 17G across horizontal line 2-2. Multiple inductor devices 408 can also be formed on or over passivation layer 160, as shown in FIG. 17H, but in the drawing of FIG. 17F, only one inductor device 408 is shown.
  • Referring to FIGS. 17I and 17J, a polymer layer 414 can be formed on multiple wire-bonding pads 410, on multiple contact pads 412, on the passivation layer 160 and on the inductor 408 by a process including a spin-on coating process, a lamination process, a screen-printing process or a spraying process, and multiple openings in the polymer layer 414 are over the pads 410 and 412 and expose the pads 410 and 412. The polymer layer 414 can have a thickness as desired, e.g., between about 3 and about 25 micrometers, and preferably between 5 and 15 micrometers, and the material of the polymer layer 414 may include benzocyclobutane (BCB), polyimide (PI), polybenzoxazole (PBO) or epoxy resin.
  • Referring to FIG. 17J, through spin coating, exposure and development, etching and O2 plasma ash, polymer layer 414 can be formed and patterned with multiple openings 414 a that reveal multiple wire-bonding pads 410, multiple contact pads, 412, and cover inductor device 408. Polymer layer 414 is then cured under a temperature between 150 and 380 degrees Celsius. The material of polymer layer 414 can be chosen from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. If polymer layer 414 is made of polyimide, it is preferably an ester-type polyimide. The polymer layer 414 is preferably photosensitive, so that lithography can be used to pattern the polymer layer 414. Polymer layer 414 can have a desired thickness, e.g., between about 5 micrometers and about 50 micrometers, with an optimal preferred thickness between 10 micrometers and 20 micrometers. Alternatively, the polymer layer 414 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. or preferably between 150 and 190 degrees C.
  • For some applications, the polymer layer 414 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the wire-bonding pads 410, on the contact pads 412, on the passivation layer 160 and on the inductor 408. The spin-on coated polyimide layer can then be backed, then exposed. Exposing the baked polyimide layer can include using a 1× stepper or 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked layer can then be illuminated. Illuminating the baked polyimide layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polyimide layer can then be developed to form multiple openings exposing the pads 410 and 412. The polyimide layer can then be cured or heated. Curing or heating the developed polyimide layer can occur at a temperature between about 130 and about 400° C. for a time between about 20 and about 150 minutes in a nitrogen ambient or in an oxygen-free ambient. The cured polyimide layer can have a thickness between about 3 and about 25 micrometers, for exemplary embodiments. Residual polymeric material or other contaminants can be removed from the pads 410 and 412, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen.
  • The polymer layer 414 can be formed on the passivation layer 160 and on the inductor 408, and the openings 414 a formed in the polymer layer 414 expose the pads 410 and 412. For example, the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • For other applications, the polymer layer 414 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between 3 and 25 micrometers on the wire-bonding pads 410, on the contact pads 412, on the passivation layer 160 and on the inductor 408. The spin-on coated polybenzoxazole layer can then be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1× stepper or a 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked layer can then be illuminated. Illuminating the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polybenzoxazole layer can then be developed to form multiple openings exposing the pads 410 and 412. The developed polybenzoxazole layer can then be heated or cured. For example, heating or curing at a temperature between about 150 and about 250° C. can take place, and preferably the temperature used is between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between 5 and 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient. The cured polybenzoxazole layer can have a thickness of between 3 and 25 μm, in exemplary embodiments. Residual polymeric material or other contaminants can be removed from the pads 410 and 412, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Consequently, the polymer layer 414 can be formed on the passivation layer 160 and on the inductor 408, and the openings 414 a formed in the polymer layer 414 expose the pads 410 and 412.
  • Referring to FIG. 17K and FIG. 17L, dicing procedures can be used to cut substrate 100, passivation layer 160, and polymer layer 414 into multiple semiconductor chips 600. The multiple wire-bonding pads 410 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to wire bonding pad 410 through wire-bonding techniques, where the wire bonding pad 410 is a copper pad, aluminum pad, aluminum cap or nickel cap. Contact pad 412 can then be connected to a capacitor device 418 with a solder layer 420, through surface mount technique (SMT), wherein the capacitor device 418 is connected to inductor device 408 through metal layers 140 in integrated circuit 20. Of course the dicing procedures can be performed after capacitor mounting.
  • Manufacturing Method and Structure 1 of Embodiment 8:
  • FIG. 18A-18M depict a manufacturing process of another on-chip regulator or converter with inductor and capacitor, in accordance with an eighth embodiment (“Embodiment 8”) of the present disclosure. The inductor can be made by using a post-passivation embossing process and the capacitor can be attached by using surface mount technology.
  • Referring to FIG. 18A, integrated circuit 20 represents all structures below passivation layer 160. Also included in integrated circuit 20 are substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layers 140, second dielectric layer 155, metal contact 120, and via 130 (such as shown in FIG. 7A, for example). Multiple passivation layer openings 165 a in passivation layer 160 reveal multiple pads 166 a, 166 b, and 166 c.
  • Referring to FIG. 18B, a polymer layer 421 can be formed on the passivation layer 160 and the pads 166 a, 166 b and 166 c. Through spin coating, exposure and development, etching and O2 plasma ash, polymer layer 421 can be formed and patterned with multiple openings 421 a that reveal multiple pads 166 a, 166 b, and 166 c. Polymer layer 421 can then be cured under a temperature, e.g., of between about 150 and about 380 degrees Celsius. The material of polymer layer 421 can be chosen from polyimide (PI), benzocyclobutene (“BCB”), polybenzoxazole (“PBO”), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. If polymer layer 421 is made of polyimide, it is preferably ester-type polyimide. The polymer layer 421 is preferably photosensitive, which allows lithography to be used to pattern the polymer layer 421. Polymer layer 421 can have a desired thickness, e.g., between about 5 micrometers and about 50 micrometers, with an optimal preferred thickness being between 10 micrometers and 25 micrometers. Alternatively, the polymer layer 421 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. and preferably between 150 and 190 degrees C.
  • For some applications, the polymer layer 421 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the passivation layer 160 and on the pads 166 a, 166 b and 166 c. The spin-on coated polyimide layer can be baked and then exposed. Exposing the baked polyimide layer can include using a 1× stepper or 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked polyimide layer can be illuminated. Illuminating the baked polyimide layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polyimide layer can then be developed to form multiple openings exposing the pads 166 a, 166 b and 166 c. Curing or heating can then take place. Curing or heating the developed polyimide layer can include using a temperature between about 130 and about 400° C. for a time between about 20 and about 150 minutes in a nitrogen ambient or in an oxygen-free ambient. In exemplary embodiments, the cured polyimide layer has a thickness between 3 and 25 micrometers. The residual polymeric material or other contaminants can be removed from the pads 166 a, 166 b and 166 c, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. Accordingly, the polymer layer 421 can be formed on the passivation layer 160, and the openings 421 a formed in the polymer layer 421 expose the pads 166 a, 166 b and 166 c.
  • For an example of a curing process for Embodiment 8, the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • For other applications, the polymer layer 421 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between about 3 and about 25 micrometers on the passivation layer 160 and on the pads 166 a, 166 b and 166 c. The spin-on coated polybenzoxazole layer can then be baked. Baking the spin-on coated polybenzoxazole layer can then be exposed. Exposing the baked polybenzoxazole layer can include using a 1× stepper or a 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked layer can then be illuminated. Illuminating the baked polybenzoxazole layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination, e.g., from a mercury lamp. The exposed polybenzoxazole layer can then be developed to form multiple openings exposing the pads 166 a, 166 b and 166 c. Curing can then take place. Curing or heating the developed polybenzoxazole layer can include heating to a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between 5 and 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient. The cured polybenzoxazole layer preferably has a thickness between about 3 and about 25 μm. The residual polymeric material or other contaminants can then be removed from the pads 166 a, 166 b and 166 c, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. By this process, the polymer layer 421 can be formed on the passivation layer 160, and the openings 421 a formed in the polymer layer 421 expose the pads 166 a, 166 b and 166 c.
  • Referring to FIG. 18C, an adhesion/barrier layer 422 can be formed by sputtering on the polymer layer 421 and on the pads 166 a, 166 b, and 166 c. The adhesion/barrier layer 422 can have a thickness between 0.1 micrometers and 1 micrometer, with an optimal, preferred thickness being between 0.3 micrometers and 0.8 micrometers. The material of the adhesion/barrier layer 422 can be titanium, a titanium-tungsten alloy, titanium nitride, chromium, tantalum, tantalum nitride or a composite of the above-mentioned materials. The material of the adhesion/barrier 422 is preferably TiW or Ti or Ti/TiW.
  • Referring to FIG. 18D, a seed layer 424, e.g., with a thickness between 0.05 micrometers and 1 micrometer (with a preferred thickness being between 0.08 micrometers and 0.7 micrometers), is formed next on the adhesion/barrier layer 422 by sputtering. In this embodiment, the seed layer 424 is preferably made of gold. As has been described above, however, the material of the seed layer 424 can vary (or be selected) according to the material of metal layers formed afterwards.
  • Referring to FIG. 18E, photoresist layer 426 can be formed on seed layer 424, and through spin coating, exposure and development, photoresist layer 426 is patterned, forming multiple photoresist layer openings 426 a in photoresist layer 426, which separately reveal portions of seed layer 424 that are over pad 166 a, 166 b, and 166 c.
  • Referring to FIG. 18F, bonding metal layer 428 can be formed by an electroplating, electroless plating, sputtering or CVD method on seed layer 424, which is in photoresist layer openings 426 a. The bonding metal layer 428 can consist of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, and/or nickel, and may have a single metal layer structure or multiple metal layer structure. The thickness of bonding metal layer 428 can be, for example, between about 1 micrometer and about 100 micrometers, with optimal, preferred thickness being between about 1.5 micrometers and about 15 micrometers. Layer 428 may be made of combinations of multiple metal layers forming a multi-layered structure of Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and Cu/Ni/Pt, etc. In this embodiment, bonding metal layer 428 is preferably a single layer of gold.
  • Referring to FIG. 18G, a removal process can be applied to remove patterned photoresist layer 426 and portions of seed layer 424 and adhesive/barrier layer 422 that are not below metal layer 428. Seed layer 424, when made of gold, can be removed by using solvents containing KI plus I2 solution, while adhesive/barrier layer 422 can be removed by using solvents containing hydrogen peroxide (H2O2) if the material of layer 422 includes TiW.
  • Referring to FIG. 18H, after removing patterned photoresist layer 426 and portions of seed layer 424 and adhesive/barrier layer 422 that are not under metal layer 428, the bonding metal layer 428 can form one or more inductor devices 430 (only one is shown), multiple wire-bonding pads 432, and multiple contact pads 434 on polymer layer 421. The wire-bonding pads 432 are formed on pad 166 a, while the contact pads 434 are formed on pad 166 c, and the inductor device 430 is formed on or over passivation layer 160 and pads 166 b. FIG. 18G is a cross section view of FIG. 18H in the direction of cutting plane indicated by line 2-2. Multiple inductor devices 430 can also be formed on polymer 421, as shown in FIG. 18I.
  • Referring to FIG. 18J, a polymer layer 436 can be formed by using spin coating on the inductor device 430, multiple wire-bonding pads 432, multiple contact pads 434, and the polymer layer 421.
  • Referring to FIG. 18K, through exposure and development, etching, and O2 plasma ash, polymer layer 436 can form multiple openings 436 a that reveal multiple wire-bonding pads 432, multiple contact pads 434, and conceal inductor device 430. Polymer layer 436 can then be cured under a temperature between 150 and 380 degrees Celsius. The material of polymer layer 436 can be chosen, e.g., from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. If polymer layer 436 is made of polyimide, it is preferably ester-type polyimide. The polymer layer 436 is preferably photosensitive, which can allow lithography to be used to pattern the polymer layer 436. Polymer layer 436 can have a thickness between about 5 micrometers and about 50 micrometers, in exemplary embodiments. An optimal thickness is between 10 micrometers and 20 micrometers. The polymer layer 436 may be a fluorine-containing polymer with a curing temperature between about 130 and about 200 degrees C. or preferably between 150 and 190 degrees C.
  • For some applications, the polymer layer 436 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the metal layer 428, on the inductor 430 and on the polymer layer 421. The coated polyimide layer can then be baked. The spin-on coated polyimide layer can then be exposed. Exposing the baked polyimide layer can include using a 1× stepper or 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The polyimide layer can then be illuminated. Illuminating the baked polyimide layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination, e.g., from a mercury lamp source. The baked polyimide layer can then be developed and then exposed to form multiple openings exposing the pads 432 and 434. Curing can then take place. Curing or heating the developed polyimide layer can be performed at a temperature, e.g., between about 130 and about 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient, The cured polyimide layer can have a thickness between about 3 and about 25 micrometers, in exemplary embodiments. The residual polymeric material or other contaminants can be removed from the pads 432 and 434, e.g., with an O2 plasma or a plasma containing fluorine of below about 200 PPM and oxygen. Accordingly, the polymer layer 436 can be formed on the metal layer 428, on the inductor 430 and on the polymer layer 421, and the openings 436 a formed in the polymer layer 436 expose the pads 432 and 434.
  • For an example of a suitable curing process, the developed polyimide layer can be cured or heated at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • For other applications, the polymer layer 436 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between 3 and 25 micrometers on the metal layer 428, on the inductor 430 and on the polymer layer 421. Then the spin-on coated polybenzoxazole layer can be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1× stepper or a 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked layer polybenzoxazole layer can be illuminated. Illuminating the baked polybenzoxazole layer can include using G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polybenzoxazole layer can be developed to form multiple openings exposing the pads 432 and 434. A curing step/process can then be applied to the developed polybenzoxazole. Curing or heating the developed polybenzoxazole layer can include using a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between 5 and 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient. The cured polybenzoxazole layer can have a thickness of between about 3 and about 25 μm, for exemplary embodiments. The residual polymeric material or other contaminants can then be removed from the pads 432 and 434, e.g., with an O2 plasma or a plasma containing fluorine of below 200 PPM and oxygen. By such a process, the polymer layer 436 can be formed on the metal layer 428, on the inductor 430 and on the polymer layer 421, and the openings 436 a formed in the polymer layer 436 expose the pads 432 and 434.
  • Referring to FIG. 18L-18M, a dicing procedure can be used to cut substrate 100, passivation layer 160, polymer layer 421, and polymer layer 436 into multiple semiconductor chips 600. The multiple wire-bonding pads 432 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to wire-bonding pad 432 through wire-bonding techniques, where the wire-bonding pad 432 is a copper pad, aluminum pad, aluminum cap or nickel cap. Contact pad 434 can then be connected to a capacitor device 418 with a solder layer 420, through surface mount technique (SMT), wherein the capacitor device 418 is connected to inductor device 430 through metal layers 140 in integrated circuit 20. Of course the dicing procedures may be performed after capacitor mounting.
  • Manufacturing Method and Structure 2 of Embodiment 8:
  • With continued reference to FIG. 18K, and also referring to FIGS. 18N-18O, the inductor 430 and the pads 166 b can be disposed between the contact pads 434 and the pads 166 c.
  • Referring to FIG. 18P and FIG. 18Q, dicing procedures can be used to cut substrate 100, passivation layer 160, polymer layer 421, and polymer layer 436 into multiple semiconductor chips 600. The multiple wire-bonding pads 432 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to wire-bonding pad 432 through wire-bonding techniques, where the wire-bonding pad 432 is a copper pad, aluminum pad, aluminum cap or nickel cap. Contact pad 434 can then be connected to a capacitor device 418 with a solder layer 420, through surface mount technique (SMT), wherein the capacitor device 418 is connected to inductor device 430 through metal layer 428 or metal layers 140 in integrated circuit 20. Of course the dicing procedures may be performed after capacitor mounting.
  • Embodiment 9
  • Referring to FIGS. 19A-19B, a ninth embodiment (“Embodiment 9”) is shown, which is similar to Embodiment 8, with a chief difference being the location of wire-bonding pad 432 and pad 166 a. In Embodiment 8, wire-bonding pad 432 is shown directly above pad 166 a, but in this embodiment (“Embodiment 9”), wire-bonding pad 432 is not directly above pad 166 a. Therefore, the location of wire-bonding pad 432 can be adjusted according to requirement and not limited to the area directly above pad 166 a.
  • Embodiment 10
  • Referring to FIGS. 20A-20B, a tenth embodiment (“Embodiment 10”) is shown. This embodiment is similar to Embodiment 8, with the difference being a connecting pad 438 of inductor devices revealed by multiple openings 436 a in polymer layer 436. Connecting pad 438 can be connected to external circuits or power sources using a wire 416 made by a wire-bonding process.
  • Embodiment 11
  • Referring to FIG. 21A-21K, an eleventh embodiment (“Embodiment 11”) is depicted. In the figures, integrated circuit 20 represents all structures below passivation layer 160. Also included in integrated circuit 20 are substrate 100, devices 110, 112, 114, first dielectric layer 150, metal layers 140, second dielectric layer 155, metal contact 120, and metal via 130 (such as shown in FIG. 7A, for example), wherein multiple passivation layer openings 165 a in passivation layer 160 reveal multiple pads 166 a, 166 b, and 166 c (pad 166 a is not shown).
  • Referring to FIG. 21B, an adhesion/barrier layer 401 is formed by sputtering on passivation layer 160 and contact pads 166 a, 166 b, and 166 c. The thickness of the adhesion/barrier layer 401 is between 0.1 micrometers and 1 micrometer, with an optimal thickness between 0.3 micrometers and 0.8 micrometers. The material of adhesion/barrier 401 is preferred to be a TiW or Ti or Ti/TiW.
  • Referring to FIG. 21C, a seed layer 402 with a thickness between about 0.05 micrometers and about 1 micrometers (with an optimal, preferred thickness of between 0.08 micrometers and 0.7 micrometers) can be formed next on adhesion/barrier layer 401 by sputtering. In this embodiment, the seed layer 402 is preferably made of gold, however, the material of seed layer 402 can vary according to the material of metal layers formed afterwards.
  • Referring to FIG. 21D, photoresist layer 404 can be formed on seed layer 402, through spin coating, exposure and development, photoresist layer 404 is patterned, forming multiple photoresist layer openings 404 a in photoresist layer 404, which separately reveal portions of seed layer 402 that are over pad 166 a, 166 b, and 166 c.
  • Referring to FIG. 21E, bonding metal layer 406 can be formed, e.g., by an electroplating, electroless plating, sputtering or CVD method, on seed layer 402, which is in photoresist layer openings 404 a. The bonding metal layer 406 can consist of materials such as aluminum, gold, copper, silver, palladium, rhodium, ruthenium, rhenium, or nickel, and may have a single metal layer structure or multiple metal layer structure. The thickness of bonding metal layer 406 is preferably between about 1 micrometer and about 100 micrometers, with optimal, preferred thickness of between 1.5 micrometers and 15 micrometers. Layer 406 may be combinations of multiple metal layers forming a multi-layered structure including, for example, Cu/Ni/Au, Cu/Au, Cu/Ni/Pd, and/or Cu/Ni/Pt. In this embodiment, bonding metal layer 406 is preferably a single layer made of gold.
  • Referring to FIG. 21F, a removal process/step can be applied to remove patterned photoresist layer 404 and portions of seed layer 402 and adhesive/barrier layer 401 that are not below metal layer 406. Seed layer 402 portions that are made of gold can be removed by using solvents containing I2, while adhesive/barrier layer 401 can be removed by using solvents containing hydrogen peroxide (H2O2) if the material of layer 401 is TiW. After removing patterned photoresist layer 404 and portions of seed layer 402 and adhesion/barrier layer 401 that are not under bonding metal layer 406, the bonding metal layer 406 can form multiple wire-bonding pads 440 and multiple contact pads 442. Wire-bonding pad 440 and a contact pad 442 can be connected through bonding metal layer 406.
  • Referring to FIG. 21G, a polymer layer 444 can be formed, e.g., by using spin coating, on multiple wire-bonding pads 440, multiple contact pads 442, and passivation layer 160.
  • Referring to FIG. 21H, through exposure and development, and O2 plasma ash, polymer layer 444 can be patterned with multiple openings 444 a that reveal multiple wire-bonding pads 440 and multiple contact pads 442. The polymer layer 444 can then be cured under a temperature between, for example, about 150 and about 380 degrees Celsius. The material of polymer layer 444 can be chosen from polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO), parylene, epoxy-based material, such as epoxy resins or photoepoxy SU-8 provided by Sotec Microsystems of Swiss Renens, or elastomers, such as silicone, or AL-2000 provided by Asahi glass Co. If polymer layer 444 is made of polyimide, it preferably an ester-type polyimide. The polymer layer 444 is preferably photosensitive to then allow lithography to be used to pattern the polymer layer 444 (then an etching process will be unnecessary). Polymer layer 444 has a thickness between 5 micrometers and 50 micrometers, in exemplary embodiment, with an optimal, preferred thickness being between 10 micrometers and 25 micrometers. The polymer layer 444 may be a fluorine-containing polymer with a curing temperature between 130 and 200 degrees C. or between 150 and 190 degrees C.
  • For some applications, the polymer layer 444 can be formed by spin-on coating a negative-type photosensitive polyimide layer having a thickness between 6 and 50 micrometers on the passivation layer 160 and on the metal layer 406. The polyimide layer can then be baked and then exposed. Exposing the baked polyimide layer can include using a 1× stepper or 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The layer can then be illuminated. Illuminating the baked polyimide layer can include utilizing, e.g., from a mercury lamp, G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination. The exposed polyimide layer can then be developed to form multiple openings exposing the pads 440 and 442. A curing process can then be performed. Curing or heating the developed polyimide layer can occur at a temperature between about 130 and about 400° C. for a time between about 20 and about 150 minutes in a nitrogen ambient or in an oxygen-free ambient. In exemplary embodiments, the cured polyimide layer can have a thickness between 3 and 25 micrometers. The residual polymeric material or other contaminants can then be removed from the pads 440 and 442, e.g., with an O2 plasma or a plasma containing fluorine of below about 200 PPM and oxygen. By such a process, the polymer layer 444 can be formed on the passivation layer 160 and on the metal layer 406, and the openings 444 a formed in the polymer layer 444 expose the pads 440 and 442.
  • Examples of suitable curing processes can include curing or heating the developed polyimide layer at a temperature between 180 and 250° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 250 and 290° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 290 and 400° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 200 and 390° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient. Alternatively, the developed polyimide layer can be cured or heated at a temperature between 130 and 220° C. for a time between 20 and 150 minutes in a nitrogen ambient or in an oxygen-free ambient.
  • In other applications, the polymer layer 444 can be formed by spin-on coating a positive-type photosensitive polybenzoxazole layer having a thickness of between about 3 and about 25 micrometers on the passivation layer 160 and on the metal layer 406. The spin-on coated polybenzoxazole layer can then be baked and exposed. Exposing the baked polybenzoxazole layer can include using a 1× stepper or a 1× contact aligner with at least two of G-line having a wavelength ranging from 434 to 438 nm, H-line having a wavelength ranging from 403 to 407 nm, and I-line having a wavelength ranging from 363 to 367 nm. The baked layer can be illuminated. Illuminating the baked polybenzoxazole layer can include G-line and H-line, G-line and I-line, H-line and I-line, or G-line, H-line and I-line illumination, e.g., using a mercury lamp source. Other radiation sources can of course be used for this and other embodiments of the present disclosure. The exposed polybenzoxazole layer can then be developed to form multiple openings exposing the pads 440 and 442. A curing process can then be applied. Curing or heating the developed polybenzoxazole layer can be performed at a temperature between about 150 and about 250° C., and preferably between 180 and 250° C., or between 200 and 400° C., and preferably between 250 and 350° C., for a time between about 5 and about 180 minutes, and preferably between 30 and 120 minutes, in a nitrogen ambient or in an oxygen-free ambient. In exemplary embodiments, the cured polybenzoxazole layer can have a thickness of between about 3 and about 25 μm. The residual polymeric material or other contaminants can then be removed from the pads 440 and 442, e.g., with an O2 plasma or a plasma containing fluorine of below about 200 PPM and oxygen. By such a process, the polymer layer 444 can be formed on the passivation layer 160 and on the metal layer 406, and the openings 444 a formed in the polymer layer 444 expose the pads 440 and 442.
  • Referring to FIGS. 21I-21J, dicing procedures can be used to cut substrate 100, passivation layer 160, and polymer layer 444 into multiple semiconductor chips 600. The multiple wire-bonding pads 440 on semiconductor chips 600 can be connected to external circuits or power sources through a wire 416 formed by a wire-bonding process. The wire 416 can be formed of copper or gold. For example, a copper or gold wire can be connected to wire-bonding pad 440 through wire-bonding techniques, where the wire-bonding pad 440 is a copper pad, aluminum pad, aluminum cap or nickel cap. Contact pad 440 can then be connected to a capacitor device 446 with a solder layer 420, through surface mount technique (SMT), wherein the capacitor device 446 is connected to inductor device 448 through metal layers 140 in integrated circuit 20. FIG. 21J depicts a cross section view of FIG. 21K along the cutting plane indicated by line 2-2. Of course the dicing procedures may be performed after capacitor mounting.
  • Devices and structures according to Embodiment 10 and Embodiment 11 can be used in devices that step-up voltage as shown in circuit diagrams of FIG. 22 and FIG. 23. In FIG. 22, power source input 2240 is connected to inductor 2320, inductor 2320 is connected to capacitor 2310 through transistor 2114 d, voltage feedback device 2112 is connected to power output 2110, and switch controller 2114 a is connected to voltage feedback device 2112 and a switch transistor 2114 b. In operation, when power enters through power input 2240, switch controller 2114 a receives the voltage signal of voltage feedback device 2112 and controls the on and off timing of switch transistor 2114 b, pumping up the voltage level of power source output 2110. Inductor 2320 together with capacitor 2310, voltage feedback device 2112, switch transistor 2114 b and transistor 2114 d form an on-chip voltage regulator or converter with the previous described manufacture processes. MOS device 2114 b can be replaced by DMOS, LDMOS or Bipolar transistor. MOS device 2114 d can be replaced by DMOS, LDMOS or Bipolar transistor also. The voltage feedback device 2112 can provide a dynamic voltage scaling function.
  • The difference between FIG. 23 and FIG. 22 is that the circuit diagram of FIG. 23 is made of multiple inductors 2320, capacitor 2310, switch transistor 2114 g, switch transistor 2114 i, transistor 2114 h and transistor 2114 f. Switch controller 2114 a is used to receive the voltage signal of voltage feedback device 2112 and control the duty cycle and phase of switch transistor 2114 g, and switch transistor 2114 i and therefore pumping up the voltage level of power output 2110. In comparison to the circuit diagram of FIG. 22, the circuit diagram of FIG. 23 can more accurately and efficiently to regulate the output voltage. Transistor 2114 g can be replaced by DMOS, LDMOS or Bipolar transistor. Transistor 2114 i can be replaced by DMOS, LDMOS or Bipolar transistor. Transistor 2114 f can be replaced by DMOS, LDMOS or Bipolar transistor. Transistor 2114 h can be replaced by DMOS, LDMOS or Bipolar transistor.
  • Referring now to FIGS. 24-25, a N-type DMOS device according to an embodiment of the present disclosure is shown. FIG. 24 shows a cross section view of an N-type DMOS device and FIG. 25 shows a top view of an N-type DMOS device.
  • The elements shown in FIGS. 24-25 are described as follows:
  • 3110: N-well or lightly doped N-type semiconductor region;
  • 3115: lightly doped P-type semiconductor region;
  • 3120: field isolation region, shallow trench isolation filled with oxide or LOCOS isolation;
  • 3125: source of DMOS, heavily doped P-type semiconductor region;
  • 3130: drain of DMOS, heavily doped N-type semiconductor region;
  • 3135: source of DMOS, heavily doped N-type semiconductor region;
  • 3140: source of DMOS, lightly doped N-type semiconductor region;
  • 3145: metal silicide on source of DMOS, comprise Ni-silicide, Co-silicide or Ti-silicide;
  • 3150: gate oxide of DMOS, comprise;
  • 3155: gate spacer, comprise silicon oxide, nitrogen doped silicon oxide or silicon nitride;
  • 3160: metal silicide on drain of DMOS, comprise Ni-silicide, Co-silicide or Ti-silicide;
  • 3165: metal contact points of DMOS; and
  • 3170: gate of DMOS, comprise silicon, Ni-silicide, Co-silicide, Ti-silicide, W-silicide, Mo-silicide, TiN, Ta, TaN, Al, AlN, W, WN or Ti.
  • FIGS. 26A-26B depict side views of system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 27A-27B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 28A-28B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 29A-29B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 30A-30B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 31A-31B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 32A-32B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure.
  • FIGS. 33A-33B depict system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device, in accordance with an exemplary embodiment of the present disclosure. The elements shown in FIGS. 26A to 33B include:
  • 3000: substrate of package or module, can be made of a suitable material such as BT, FR4, glass, silicon, ceramic, Cu wiring, Ni/Au pad or polyimide;
  • 3210 a: power management chip combines with on-chip passive device, comprise functions of voltage regulation, voltage convert, dynamic voltage scaling, battery management or charging. The on-chip passive device comprises inductor, capacitor or resistor. The chip 3210 a can be used for a wire-bonding process;
  • 3210 b: power management chip combines with on chip passive device, comprise functions of voltage regulation, voltage convert, dynamic voltage scaling, battery management or charging. The on chip passive device comprises inductor, capacitor or resistor. The chip 3210 b can be used for a flip-chip process;
  • 3230: bonding wire formed by a wire-bonding process, wherein the wire may be Au wire, Cu wire, or Al wire;
  • 3235: encapsulation material, such as molding compound, epoxy or polyimide;
  • 3240: IC chip, such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip;
  • 3245: IC chip, such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip;
  • 3250: adhesive material, such as silver epoxy or polyimide;
  • 3255: BGA solder ball, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy;
  • 3310: substrate of power management chip package, comprising a lead frame, BT, FR4, glass, silicon, ceramic, Cu wiring, a Ni/Au pad or polyimide;
  • 3320: metal connect comprising a Cu layer, Ni layer, Au layer or solder layer, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy;
  • 3330: IC chip, such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip;
  • 3335: encapsulation material, such as molding compound, epoxy or polyimide;
  • 3340: IC chip, such as logic chip, DRAM chip, SRAM chip, FLASH chip, or analog chip;
  • 3350: under-fill material comprising epoxy or polyimide; and
  • 3360: metal bump comprising an electroplated copper layer with a thickness between 10 and 100 micrometers, a gold layer having an electroplated gold layer with a thickness between 5 and 30 micrometers, or a solder layer, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy, having a thickness between 10 and 350 micrometers. The metal bump may comprise an adhesion layer, such as titanium, titanium nitride or a titanium-tungsten alloy, on an overlying chip, a copper seed layer on the adhesion layer, an electroplated copper layer with a thickness between 10 and 100 micrometers on the copper seed layer, an electroplated or electroless plated nickel layer on the electroplated copper layer and a solder layer, such as tin-lead alloy, tin-silver alloy, tin-silver-copper alloy, tin-bismuth alloy, or tin-indium alloy, having a thickness between 10 and 100 micrometers on the electroplated or electroless plated nickel layer, wherein the solder layer is bonded to an underlying substrate.
  • FIG. 34 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip capacitor 1310, on-chip inductor 1320, on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with two N-type switching DMOS devices 3114 b and 3114 e, in accordance with an exemplary embodiment of the present disclosure.
  • As mentioned, FIG. 34 shows a step-down DC to DC switching voltage regulator or convertor including on-chip capacitor 1310, on-chip inductor 1320, on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with two N-type switching DMOS devices 3114 b and 3114 e. The elements shown in FIG. 34 having a same reference number as that shown in FIG. 14 can be referred to in the discussion above regarding FIG. 14. The arrangement of the on-chip capacitor 1310 and the on-chip inductor 1320 formed over a passivation layer 160 can be referred to in the discussion above as described in all embodiments, such as the arrangement of surface mounted capacitor 310 and inductor 320 shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B, the arrangement of the built-in inductor 418 or 430 and surface-mounted capacitor 418 shown in FIGS. 17F-17L, 18M, 18Q, 19A, 20A, and the arrangement of the surface mounted capacitor 446 and inductor 448 shown in FIG. 21J. The output pad 1313, corresponding to the pad 440 in FIG. 21H, can be connected to the inductor 1320, corresponding to the inductor 448 in FIG. 21J, and capacitor 1310, corresponding to the inductor 446 in FIG. 21J, through a metal trace (provided by the left segment of metal layers 401, 402 and 406 shown in FIGS. 21H and 21J) over a passivation layer 160. Also, the output pad 1313 can be connected to the inductor 1320 and capacitor 1310 through a metal trace made of electroplated copper or sputtered aluminum under a passivation layer 160. The arrangement of on-chip input capacitor 32 u for input power can be referred to as the arrangement of the on-chip capacitor 1310, such as the arrangement of surface mounted capacitor 310 shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B integrating the inductor 1320 and the capacitors 1310 and 32 u, the arrangement of the surface-mounted capacitor 418 shown in FIGS. 17F-17L, 18M, 18Q, 19A, 20A, and the arrangement of the surface mounted capacitor 446 shown in FIG. 21J.
  • FIG. 35 depicts a step-down DC to DC switching voltage regulator or convertor including on-chip capacitor 1310, on-chip inductor 1320, on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with a P-type switching DMOS device 3115 b and a N-type switching DMOS device 3115 e, in accordance with an exemplary embodiment of the present disclosure.
  • As mentioned, the step-down DC to DC switching voltage regulator or convertor in FIG. 35 can include on-chip capacitor 1310, on-chip inductor 1320, on-chip input capacitor 32 u for input power, switch controller or circuit 1114 a with a P-type switching DMOS device 3115 b and a N-type switching DMOS device 3115 e. The elements shown in FIG. 35 having the same reference characters as shown in FIG. 14 can be referred to in the discussion above regarding FIG. 14. The arrangement of the on-chip capacitor 1310 and the on-chip inductor 1320 formed over a passivation layer 160 can be referred to as described above described for various embodiments, such as the arrangement of surface mounted capacitor 310 and inductor 320 shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B, the arrangement of the built-in inductor 418 or 430 and surface-mounted capacitor 418 shown in FIGS. 17F-17L, 18M, 18Q, 19A, 20A, and the arrangement of the surface mounted capacitor 446 and inductor 448 shown in FIG. 21J. The output pad 1313 shown can correspond to pad 440 in FIG. 21H, and can be connected to the inductor 1320, corresponding to inductor 448 in FIG. 21J, and capacitor 1310, corresponding to the inductor 446 in FIG. 21J, through a metal trace (provided by the left segment of metal layers 401, 402 and 406 shown in FIGS. 21H and 21J) over a passivation layer 160. Also the output pad 1313 can be connected to the capacitor 1310 and the inductor 1320 through a metal trace made of electroplated copper or sputtered aluminum under a passivation layer 160. The arrangement of on-chip input capacitor 32 u for input power is similar to (and can be referenced as) the arrangement of the on-chip capacitor 1310, such as the arrangement of surface mounted capacitor 310 shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B, integrating the inductor 1320 and the capacitors 1310 and 32 u, the arrangement of the surface-mounted capacitor 418 shown in FIGS. 17F-17L, 18M, 18Q, 19A, 20A, and the arrangement of the surface mounted capacitor 446 shown in FIG. 21J.
  • FIG. 36 depicts a step-up DC to DC switching voltage regulator or convertor including on-chip capacitor 2310, on-chip inductor 2320, on-chip input capacitor 32 u for input power, switch controller or circuit 2114 a with two N-type switching DMOS devices 3116 b and 3116 e, in accordance with an exemplary embodiment of the present disclosure.
  • As mentioned, the step-up DC to DC switching voltage regulator or convertor shown in FIG. 36 includes on-chip capacitor 2310, on-chip inductor 2320, on-chip input capacitor 32 u for input power, switch controller or circuit 2114 a with two N-type switching DMOS devices 3116 b and 3116 e. The elements shown in FIG. 36 are similar to and are shown having the same reference characters as those shown in FIG. 22. The arrangement of the on-chip capacitor 2310 and the on-chip inductor 2320 formed over a passivation layer 160 can be referred to as above described for other embodiments, such as the arrangement of surface mounted capacitor 310 and inductor 320 shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B, the arrangement of the built-in inductor 418 or 430 and surface-mounted capacitor 418 shown in FIGS. 17F-17L, 18M, 18Q, 19A, 20A, and the arrangement of the surface mounted capacitor 446 and inductor 448 shown in FIG. 21J. The output pad 2110, corresponding to the pad 440 in FIG. 21H, can be connected to the capacitor 2310, corresponding to the inductor 446 in FIG. 21J, through a metal trace (provided by the left segment of metal layers 401, 402 and 406 shown in FIGS. 21H and 21J) over a passivation layer 160. Also the output pad 2110 can be connected to the capacitor 2310 through a metal trace made of electroplated copper or sputtered aluminum under a passivation layer 160. The arrangement of on-chip input capacitor 32 u for input power can be referred to as the arrangement of the on-chip capacitor 1310, such as the arrangement of surface mounted capacitor 310 shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B, integrating the inductor 2320 and the capacitors 2310 and 32 u, the arrangement of the surface-mounted capacitor 418 shown in FIGS. 17F-17L, 18M, 18Q, 19A, 20A, and the arrangement of the surface mounted capacitor 446 shown in FIG. 21J. The N- type DMOS devices 3114 b, 3114 e, 3115 e, 3116 b and 3116 e shown in FIGS. 34-36 are similar to the DMOS device of FIGS. 24-25.
  • FIG. 37 shows a cross-sectional view of a part of a step-down switching voltage regulator or converter shown in FIG. 34. FIG. 38 shows a cross-sectional view of a part of a step-up switching voltage regulator or converter shown in FIG. 36. The elements shown in FIGS. 37 and 38 are shown having the same reference numbers as those shown in FIGS. 24, 25, 34 and 36. Additional elements shown in FIGS. 37 and 38 are described as follows: 3180: opening in passivation layer, wherein the opening may have a width between 0.1 and 20 micrometers, between 0.5 and 30 micrometers or between 0.1 and 200 micrometers.
  • An operational amplifier 32 g, 32 j, 32 k and 32 o can be implemented or realized by the circuit of operational amplifier shown in FIG. 40, as discussed below with respect to FIG. 40.
  • The elements shown in FIG. 39 are described as follows:
  • 33 a, 33 b, 33 c, 33 f and 33 g: PMOS devices;
  • 33 h, 33 i and 33 j: NMOS devices;
  • 33 d: resister; and
  • 33 e: gate to silicon capacitor.
  • FIG. 40 shows the function blocks of converter realizing the circuit diagram of FIG. 34. FIG. 41 shows the function blocks of converter realizing the circuit diagram of FIG. 35. The element shown in FIGS. 40 and 41 are shown having the same reference numbers as those shown in FIGS. 24, 25, 34, 35, and 37.
  • The elements shown in FIGS. 40 and 41 are described as follows:
  • 1114 a: MOSFET driver, Anti shoot through converter control Logic;
  • 1310: Decoupling capacitor for output power. The capacitance of the capacitor may be between 1 μF and 100 μF, between 0.1 pF and 50 mH or between 1 pF and 1 mF;
  • 1311: Pad for input voltage for the power stage;
  • 1313: Pad for output voltage node;
  • 1320: Switch inductor. The inductance of the inductor can be between 0.1 nH and 10 mH, between 100 nH and 10 mH or between 1 nH and 100 nH;
  • 3114 b: N-type DMOS device;
  • 3114 e: N-type DMOS device;
  • 3115 b: P-type DMOS device;
  • 3115 e: N-type DMOS device;
  • 31 c: Pad for power supply for control circuitry;
  • FB: Feedback voltage from output;
  • 31 e: Pad for chip enable;
  • 31 f: Pad for power good indication;
  • 31 g: Pad for output voltage tracking input. This signal applied to this pin is used as reference voltage overriding the internal reference voltage when it is below the internal 0.6V reference;
  • 31 h: Pad for ground for circuits;
  • 31 i: Pad for fixing frequency PWM (pulse-width-modulation) operation or to synchronize the device to external clock signal. With this pin=high, the device forced into 1.5 MHz fixed frequency PWM operation. With this pin=low, the device;
  • 31 j: Pad for ground for converter;
  • 31 q: on-chip capacitor, wherein the arrangement of on-chip input capacitor 31 q can be referred to as the arrangement of the on-chip capacitor 1310, such as the arrangement of surface mounted capacitor 310 shown in FIGS. 3, 4, 4N, 4U, 4AJ, 4AK, 4AL, 4AM, 5, 5D, 6, 6I, and 7A, the arrangement of surface-mounted integrated passive device 330 shown in FIG. 7B integrating the inductor 1320 and the capacitors 1310, 32 u and 31 q, the arrangement of the surface-mounted capacitor 418 shown in FIGS. 17F-17L, 18M, 18Q, 19A, 20A, and the arrangement of the surface mounted capacitor 446 shown in FIG. 21J;
  • 31 r: resister for feedback voltage;
  • 31 s: resistor for feedback voltage;
  • 32 a: NMOS;
  • 32 b: phase-locked loop circuit;
  • 32 c: sawtooth wave circuit;
  • 32 d: Vout generator;
  • 32 e: High side current sense;
  • 32 f: Summing comparator;
  • 32 g: Error amplifier;
  • 32 h: Loop compensation;
  • 32 i: Analog softstart;
  • 32 j: Pulse modulator comprise Pulse-width modulation comparator, Pulse frequency modulation circuits;
  • 32 k: Pulse-frequency/Pulse-width modulation transition circuit;
  • 32 m: Low side current sense;
  • 32 n: Bandgap undervoltage lockout and thermal shutdown;
  • 32 o: Output voltage tracking;
  • 32 p: NMOS device;
  • 32 s: These elements enclosed by the dot lines 32 s are formed in a chip;
  • 32 t: These elements enclosed by the dot lines 32 t are formed under a passivation layer 160 (previously shown) of the chip. These elements outside the dot lines 32 t are formed over the passivation layer 160 (previously shown) of the chip and includes a part of on-chip switching regulator or converter with on-chip output filter comprising on-chip inductor 1320 and on-chip capacitor 1310;
  • 32 u: On-chip input capacitor for input power. The capacitance may be between 1 nF and 100 μF;
  • 32 v: switching circuit; and
  • 32 w: Output filter.
  • The inductor 1320 can be connected to the capacitors 1310 and 31 q and to the resistor 31 s through a Cu wiring layer formed on or over a passivation layer 160, wherein the Cu wiring layer may contain electroplated copper having a thickness between 3 and 30 micrometers or between 2 and 50 micrometers.
  • Exemplary Embodiments; Application Circuits and Chips
  • The above-described circuits, e.g., those shown and described for FIGS. 14, 15, 22, 23, 34-36 and 39-41 and the above-mentioned structures shown in FIGS. 3, 4, 4N, 4U, 4AK, 4AL, 4AM, 5, 6, 6I, 7A, 7B, 17L, 18M, 18Q, 19A, 19B, 20A, 20B, 21J, and 21K can be implemented in or realized by a power management IC chip 3210 a for a wire-bonding process or by a power management IC chip 3210 b for a flip-chip bonding process, as shown in FIGS. 26A, 26B, 27A, 27B, 28A, 28B, 29A, 29B, 30A, 30B, 31A, 31B, 32A, 32B, 33A and 33B (which show system-in packages or modules including a power management IC chip 3210 a or 3210 b with on-chip passive device).
  • For example, the whole structure shown in FIG. 3 comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 32A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a metal pad exposed by an opening 165 in the passivation layer 160 shown in FIG. 3.
  • For another example, the whole structure shown in FIG. 4 or 4N comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a bonding metal layer 400 c over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIGS. 4 or 4N, where the wirebonded wire 3230 can be referred to as the wirebonded wire 37 shown in FIG. 4N.
  • Another example is that the whole structure shown in FIG. 4U or 4AM comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a metal layer 46 over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIG. 4U or 4AM, where the wirebonded wire 3230 can be referred to as the wirebonded wire 47 shown in FIG. 4U or 4AM.
  • Additionally, the whole structure shown in FIG. 6, 6I, or 7A comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a metal layer 220 exposed by an opening 240 in a polymer layer 230 shown in FIG. 6, 6I, or 7A, where the wirebonded wire 3230 can be referred to as the wirebonded wire 56 shown in FIG. 6I.
  • Moreover, the whole structure shown in FIG. 7B comprising an integrated passive device 330 of a capacitor and an inductor over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a metal layer 220 exposed by an opening in a polymer layer 230, where the wirebonded wire 3230 can be referred to as the wirebonded wire 56 shown in FIG. 7B.
  • In addition, the whole structure shown in FIG. 17L comprising an on-chip inductor 408 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a metal pad 410 exposed by an opening in a polymer layer 414 shown in FIG. 17L, in which the wirebonded wire 3230 can be referred to as the wirebonded wire 416.
  • As another example, the whole structure shown in FIG. 18M comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18M, where the wirebonded wire 3230 can be referred to as the wirebonded wire 416 shown in FIG. 18M.
  • Additionally, the whole structure shown in FIG. 18Q comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18Q, where the wirebonded wire 3230 can be referred to as the wirebonded wire 416 shown in FIG. 18Q.
  • For another example, the whole structure shown in FIG. 19A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a wirebonding pad 432 exposed by an opening in a polymer layer 436 shown in FIG. 19A, where the wirebonded wire 3230 can be referred to as the wirebonded wire 416 shown in FIG. 19A.
  • Moreover, the whole structure shown in FIG. 20A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a bonding metal layer 428 exposed by openings in a polymer layer 436 shown in FIG. 20A, where the wirebonded wires 3230 can be referred to as the wirebonded wires 416 shown in FIG. 20A.
  • Additionally, the whole structure shown in FIG. 21J comprising an on-chip inductor 448 and an on-chip capacitor 446 over a passivation layer 160 can be used for the chip 3210 a shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A, and the wirebonded wire 3230 shown in FIGS. 26A, 27A, 28A, 29A, 30A, 31A, 32A and/or 33A can be bonded to a bonding pad 440 exposed by an opening in a polymer layer 444 shown in FIG. 21J, where the wirebonded wires 3230 can be referred to as the wirebonded wires 416 shown in FIG. 21J.
  • As another example, the whole structure shown in FIG. 3 comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a metal pad exposed by an opening 165 in the passivation layer 160 shown in FIG. 3 to bond the chip 3210 b to the substrate 3310 or 3000.
  • Also, the whole structure shown in FIG. 4 or 4N comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a bonding metal layer 400 c over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIG. 4 or 4N to bond the chip 3210 b to the substrate 3310 or 3000.
  • For another example, the whole structure shown in FIG. 4U or 4AM comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a metal layer 46 over a pad 166 b exposed by an opening in the passivation layer 160 shown in FIG. 4U or 4AM to bond the chip 3210 b to the substrate 3310 or 3000.
  • In addition, the whole structure shown in FIG. 5 or 5D comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a metal pad 166 b exposed by an opening in a passivation layer 160, where the metal bump 3360 can be referred to as the metal bump including the elements 400 and 260 shown in FIG. 5 or 5D.
  • For another example, the whole structure shown in FIG. 6, 6I, or 7A comprising an on-chip capacitor 310 and an on-chip inductor 320 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 32B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 32B can be formed on a metal layer 220 exposed by an opening 240 in a polymer layer 230 shown in FIG. 6, 6I, or 7A to bond the chip 3210 b to the substrate 3310 or 3000.
  • Moreover, the whole structure shown in FIG. 7B comprising an integrated passive device 330 of a capacitor and an inductor over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a metal layer 220 exposed by an opening in a polymer layer 230 to bond the chip 3210 b to the substrate 3310 or 3000.
  • Additionally, the whole structure shown in FIG. 17L comprising an on-chip inductor 408 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a metal pad 410 exposed by an opening in a polymer layer 414 shown in FIG. 17L to bond the chip 3210 b to the substrate 3310 or 3000.
  • In yet another example, the whole structure shown in FIG. 18M comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18M to bond the chip 3210 b to the substrate 3310 or 3000.
  • Another example include that the whole structure shown in FIG. 18Q comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a bonding metal layer 428 exposed by an opening in a polymer layer 436 shown in FIG. 18Q to bond the chip 3210 b to the substrate 3310 or 3000.
  • Moreover, the whole structure shown in FIG. 19A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a pad 432 exposed by an opening in a polymer layer 436 shown in FIG. 19A to bond the chip 3210 b to the substrate 3310 or 3000.
  • In yet another example, the whole structure shown in FIG. 20A comprising an on-chip inductor 430 and an on-chip capacitor 418 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a bonding metal layer 428 exposed by openings in a polymer layer 436 shown in FIG. 20A to bond the chip 3210 b to the substrate 3310 or 3000.
  • Finally, the whole structure shown in FIG. 21J comprising an on-chip inductor 448 and an on-chip capacitor 446 over a passivation layer 160 can be used for the chip 3210 b shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B, and the metal bump 3360 shown in FIGS. 26B, 27B, 28B, 29B, 30B, 31B, 32B and/or 33B can be formed on a bonding pad 440 exposed by an opening in a polymer layer 444 shown in FIG. 21J to bond the chip 3210 b to the substrate 3310 or 3000.
  • Accordingly, from the description above, it can be appreciated that embodiments and aspects of the present disclosure provide for semiconductor chip and application circuits, in which passive and active devices are integrated with the semiconductor chip, so that the signal path between the two types of devices has minimal distance, therefore enabling fast and effective voltage regulation and also decreasing circuit routing area on the PCB. The reaction/response time of each device is decreased, increasing the performance of electronic device without increasing cost.
  • The components, steps, features, objects, benefits and advantages that have been discussed are merely illustrative. None of them, nor the discussions relating to them, are intended to limit the scope of protection in any way. Numerous other embodiments are also contemplated. These include embodiments that have fewer, additional, and/or different components, steps, features, benefits and advantages. These also include embodiments in which the components and/or steps are arranged and/or ordered differently.
  • In reading the present disclosure, one skilled in the art will appreciate that embodiments of the present disclosure can be implemented in hardware, software, firmware, or any combinations of such, and over one or more networks. Moreover, embodiments of the present disclosure can be included in or carried by various signals, e.g., as transmitted over a wireless RF or IR communications link or downloaded from the Internet.
  • Unless otherwise stated, all measurements, values, ratings, positions, magnitudes, sizes, and other specifications that are set forth in this specification, including in the claims that follow, are approximate, not exact. They are intended to have a reasonable range that is consistent with the functions to which they relate and with what is customary in the art to which they pertain.
  • The phrase “means for” when used in a claim is intended to and should be interpreted to embrace the corresponding structures and materials that have been described and their equivalents. Similarly, the phrase “step for” when used in a claim embraces the corresponding acts that have been described and their equivalents. The absence of these phrases means that the claim is not intended to and should not be interpreted to be limited to any of the corresponding structures, materials, or acts or to their equivalents.
  • While this disclosure has been described in terms of the above specific embodiment(s), those skilled in the art will recognize that the disclosure can be practiced with modifications within the spirit and scope of the appended claims, i.e., that changes can be made in form and detail, without departing from the spirit and scope of the disclosure. For example, while preferred radiation sources have been described as using certain lines produced by a mercury lamp, other suitable sources for the desired wavelengths of radiation can of course be used within the scope of the present disclosure. Accordingly all such changes come within the purview of the present disclosure and the disclosure encompasses the subject matter of the claims which follow.

Claims (24)

1. A chip package comprising:
a substrate:
a first chip over the substrate;
a second chip over the substrate; and
a voltage regulator device over the substrate, wherein the voltage regulator device is configured and arranged to accommodate different voltage needs of the first chip and second chip.
2. The chip package of claim 1, wherein the voltage regulator device comprises a semiconductor chip, wherein the semiconductor chip includes:
a silicon substrate;
multiple active devices in or over the silicon substrate, wherein the active devices comprise a switch controller and a voltage feedback device, wherein the switch controller and the voltage feedback device comprise a plurality of MOS devices;
a first dielectric layer over the silicon substrate;
a metallization structure over the first dielectric layer, wherein the metallization structure is connected to the active devices, and wherein the metallization structure comprises a first metal layer and a second metal layer over the first metal layer;
a second dielectric layer between the first and second metal layers;
a passivation layer over the metallization structure and over the first and second dielectric layers, an opening in the passivation layer exposing a pad and a contact pad of the metallization structure; and
an inductor component and a capacitor component connected to the pads through a first solder layer, wherein the inductor component, the capacitor component, the switch controller and the voltage feedback device form the voltage regulator.
3. The chip package of claim 2, wherein the passivation layer comprises a silicon nitride layer having a thickness of more than 0.3 micrometers.
4. The chip package of claim 2, further comprising an under bump metal structure between the pad and the inductor component and the capacitor component, wherein the first solder layer is over the under bump metal structure.
5. The chip package of claim 4, wherein the under bump metal structure comprises a nickel layer.
6. The chip package of claim 4, wherein the under bump metal structure comprises a copper layer.
7. The chip package of claim 1, wherein the second chip is over the first chip.
8. The chip package of claim 1, wherein the substrate comprises a Ball Grid Array (BGA) substrate.
9. A chip package comprising:
a substrate:
a first chip over the substrate;
a second chip over the substrate; and
a voltage converter device over the substrate, wherein the voltage regulator device is configured and arranged to accommodate different voltage needs of the first chip and second chip.
10. The chip package of claim 9, wherein the voltage converter device comprises a semiconductor chip, wherein the semiconductor chip includes:
a silicon substrate;
multiple active devices in or over the silicon substrate, wherein the active devices comprise a switch controller and a voltage feedback device, wherein the switch controller and the voltage feedback device comprise a plurality of MOS devices;
a first dielectric layer over the silicon substrate;
a metallization structure over the first dielectric layer, wherein the metallization structure is connected to the active devices, and wherein the metallization structure comprises a first metal layer and a second metal layer over the first metal layer;
a second dielectric layer between the first and second metal layers;
a passivation layer over the metallization structure and over the first and second dielectric layers, an opening in the passivation layer exposing a pad and a contact pad of the metallization structure; and
an inductor component and a capacitor component connected to the pads through a first solder layer, wherein the inductor component, the capacitor component, the switch controller and the voltage feedback device form an on-chip voltage converter.
11. The chip package of claim 10, wherein the passivation layer comprises a silicon nitride layer having a thickness of more than 0.3 micrometers.
12. The chip package of claim 10, further comprising an under bump metal structure between the pad and the inductor component and the capacitor component, wherein the first solder layer is over the under bump metal structure.
13. The chip package of claim 12, wherein the under bump metal structure comprises a nickel layer.
14. The chip package of claim 12, wherein the under bump metal structure comprises a copper layer.
15. The chip package of claim 9, wherein the second chip is over the first chip.
16. The chip package of claim 9, wherein the substrate comprises a ball grid array (BGA) substrate.
17. A chip package comprising:
a substrate:
a first chip over the substrate;
a second chip over the substrate; and
a power management device over the substrate, wherein the power management device is configured and arranged to accommodate different voltage needs of the first chip and the second chip.
18. The chip package of claim 17, wherein the power management device comprises a semiconductor chip, wherein the semiconductor chip includes:
a silicon substrate;
multiple active devices in or over the silicon substrate, wherein the active devices comprise a switch controller and a voltage feedback device, wherein the switch controller and the voltage feedback device comprise a plurality of MOS devices;
a first dielectric layer over the silicon substrate;
a metallization structure over the first dielectric layer, wherein the metallization structure is connected to the active devices, and wherein the metallization structure comprises a first metal layer and a second metal layer over the first metal layer;
a second dielectric layer between the first and second metal layers;
a passivation layer over the metallization structure and over the first and second dielectric layers, an opening in the passivation layer exposing a pad and a contact pad of the metallization structure; and
an inductor component and a capacitor component connected to the pads through a first solder layer.
19. The chip package of claim 18, wherein the passivation layer comprises a silicon nitride layer having a thickness of more than 0.3 micrometers.
20. The chip package of claim 18, further comprising an under bump metal structure between the pad and the inductor component and the capacitor component, wherein the first solder layer is over the under bump metal structure.
21. The chip package of claim 20, wherein the under bump metal structure comprises a nickel layer.
22. The chip package of claim 20, wherein the under bump metal structure comprises a copper layer.
23. The chip package of claim 17, wherein the second chip is over the first chip.
24. The chip package of claim 17, wherein the substrate comprises a Ball Grid Array (BGA) substrate.
US12/645,361 2008-12-26 2009-12-22 Chip packages with power management integrated circuits and related techniques Abandoned US20100165585A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/645,361 US20100165585A1 (en) 2008-12-26 2009-12-22 Chip packages with power management integrated circuits and related techniques
TW99105855A TW201041479A (en) 2008-12-26 2009-12-25 Chip packages with power management integrated circuits and related techniques
TW98145193A TW201112386A (en) 2008-12-26 2009-12-25 Chip packages with power management integrated circuits and related techniques
US14/034,440 US8809951B2 (en) 2008-12-26 2013-09-23 Chip packages having dual DMOS devices with power management integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14089508P 2008-12-26 2008-12-26
US12/645,361 US20100165585A1 (en) 2008-12-26 2009-12-22 Chip packages with power management integrated circuits and related techniques

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/034,440 Division US8809951B2 (en) 2008-12-26 2013-09-23 Chip packages having dual DMOS devices with power management integrated circuits

Publications (1)

Publication Number Publication Date
US20100165585A1 true US20100165585A1 (en) 2010-07-01

Family

ID=42284696

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/645,361 Abandoned US20100165585A1 (en) 2008-12-26 2009-12-22 Chip packages with power management integrated circuits and related techniques
US14/034,440 Expired - Fee Related US8809951B2 (en) 2008-12-26 2013-09-23 Chip packages having dual DMOS devices with power management integrated circuits

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/034,440 Expired - Fee Related US8809951B2 (en) 2008-12-26 2013-09-23 Chip packages having dual DMOS devices with power management integrated circuits

Country Status (7)

Country Link
US (2) US20100165585A1 (en)
EP (1) EP2380415B1 (en)
JP (1) JP5335931B2 (en)
KR (1) KR101332228B1 (en)
CN (1) CN102265716B (en)
TW (2) TW201112386A (en)
WO (1) WO2010075447A1 (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110169562A1 (en) * 2010-01-08 2011-07-14 Mindspeed Technologies, Inc. System on chip power management through package configuration
US20110188218A1 (en) * 2010-02-02 2011-08-04 Hsing Michael R Layout schemes and apparatus for multi-phase power switch-mode voltage regulator
US20110304014A1 (en) * 2010-06-10 2011-12-15 Stmicroelectronics (Tours) Sas Passive integrated circuit
CN102568969A (en) * 2010-10-14 2012-07-11 阿维科斯公司 Low current fuse
US20130049190A1 (en) * 2011-08-30 2013-02-28 Roden R. Topacio Methods of fabricating semiconductor chip solder structures
US20130143069A1 (en) * 2011-09-21 2013-06-06 Jx Nippon Mining & Metals Corporation Laminated Structure And Method For Producing The Same
US8689023B2 (en) 2011-10-17 2014-04-01 Freescale Semiconductor, Inc. Digital logic controller for regulating voltage of a system on chip
US8809951B2 (en) 2008-12-26 2014-08-19 Megit Acquisition Corp. Chip packages having dual DMOS devices with power management integrated circuits
US8900986B2 (en) * 2010-10-22 2014-12-02 Shanghai Institute Of Microsystem And Information Technology, Chinese Academy Of Sciences Method to realize flux free indium bumping
WO2014209693A1 (en) * 2013-06-26 2014-12-31 Intel Corporation Power management in multi-die assemblies
US20150108642A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Structure to prevent solder extrusion
US20150155249A1 (en) * 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Solder Joint Structure for Ball Grid Array in Wafer Level Package
US9139900B2 (en) 2011-03-01 2015-09-22 JX Nippon Mining Metals Corporation Indium target and manufacturing method thereof
US20150303139A1 (en) * 2014-04-16 2015-10-22 Siliconware Precision Industries Co., Ltd. Substrate having electrical interconnection structures and fabrication method thereof
US9209113B2 (en) * 2008-09-19 2015-12-08 Renesas Electronics Corporation Semiconductor device for battery power voltage control
US9383759B2 (en) 2014-10-07 2016-07-05 Freescale Semiconductor, Inc. Voltage monitoring system
US9385084B2 (en) * 2014-11-14 2016-07-05 Wistron Neweb Corp. Metal pattern structure having positioning layer
US9490108B2 (en) 2010-09-01 2016-11-08 Jx Nippon Mining & Metals Corporation Indium target and method for manufacturing same
US9761421B2 (en) 2012-08-22 2017-09-12 Jx Nippon Mining & Metals Corporation Indium cylindrical sputtering target and manufacturing method thereof
US9758860B2 (en) 2012-01-05 2017-09-12 Jx Nippon Mining & Metals Corporation Indium sputtering target and method for manufacturing same
US20180019197A1 (en) * 2016-07-12 2018-01-18 Sri Ranga Sai BOYAPATI Package with passivated interconnects
US9875980B2 (en) 2014-05-23 2018-01-23 Amkor Technology, Inc. Copper pillar sidewall protection
US9922807B2 (en) 2013-07-08 2018-03-20 Jx Nippon Mining & Metals Corporation Sputtering target and method for production thereof
US20180138262A1 (en) * 2016-11-14 2018-05-17 Advanced Semiconductor Engineering, Inc. Semiconductor device packages
EP2764612B1 (en) * 2011-10-07 2020-04-01 Raytheon Company Distributed power conditioning with dc-dc converters implemented in heterogeneous integrated circuit
US10643965B2 (en) * 2016-05-25 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of forming a joint assembly
US20220052008A1 (en) * 2019-11-07 2022-02-17 Changxin Memory Technologies, Inc. Semiconductor Device, Method Making It And Packaging Structure
DE102011122855B4 (en) 2010-09-22 2022-06-02 Infineon Technologies Ag Method and device for measuring current gradients
US11605959B2 (en) 2020-02-18 2023-03-14 Itm Semiconductor Co., Ltd. Battery control system-in-package and method of fabricating the same
US11671010B2 (en) * 2020-02-07 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Power delivery for multi-chip-package using in-package voltage regulator

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5578797B2 (en) * 2009-03-13 2014-08-27 ルネサスエレクトロニクス株式会社 Semiconductor device
TWI523195B (en) * 2011-01-28 2016-02-21 精材科技股份有限公司 Package structure of a power module and the method of fabrication thereof
KR101251528B1 (en) 2011-09-29 2013-04-05 현대자동차주식회사 Structure for protecting serge of engine
US20130146345A1 (en) * 2011-12-12 2013-06-13 Kazuki KAJIHARA Printed wiring board and method for manufacturing the same
WO2014061984A1 (en) * 2012-10-17 2014-04-24 숭실대학교산학협력단 Display portion included in mobile terminal capable of receiving wireless power and method for manufacturing wireless power receiving portion
US9595526B2 (en) 2013-08-09 2017-03-14 Apple Inc. Multi-die fine grain integrated voltage regulation
TWI591707B (en) * 2014-06-05 2017-07-11 東琳精密股份有限公司 Packaging structure for thin die and method for manufacturing the same
TWI655727B (en) * 2014-06-17 2019-04-01 恆勁科技股份有限公司 Package substrate and flip-chip package circuit including the same
US10468381B2 (en) 2014-09-29 2019-11-05 Apple Inc. Wafer level integration of passive devices
US10297572B2 (en) * 2014-10-06 2019-05-21 Mc10, Inc. Discrete flexible interconnects for modules of integrated circuits
JP6330788B2 (en) * 2015-11-18 2018-05-30 株式会社村田製作所 Electronic devices
WO2017111861A1 (en) * 2015-12-26 2017-06-29 Intel Corporation Integrated passive devices on chip
ITUB20160027A1 (en) * 2016-02-01 2017-08-01 St Microelectronics Srl PROCEDURE FOR PRODUCING SEMICONDUCTOR AND CORRESPONDING DEVICES
US10164614B2 (en) 2016-03-31 2018-12-25 Analog Devices Global Unlimited Company Tank circuit and frequency hopping for isolators
WO2017175157A1 (en) * 2016-04-06 2017-10-12 Karamba Security Secure controller operation and malware prevention
KR200481227Y1 (en) 2016-06-13 2016-09-05 곽준혁 can pressing apparatus
IT201700087318A1 (en) 2017-07-28 2019-01-28 St Microelectronics Srl INTEGRATED ELECTRONIC DEVICE WITH REDISTRIBUTION AND HIGH RESISTANCE TO MECHANICAL STRESS AND ITS PREPARATION METHOD
IT201700087174A1 (en) 2017-07-28 2019-01-28 St Microelectronics Srl SEMICONDUCTOR AND CORRESPONDING DEVICE MANUFACTURING METHOD OF SEMICONDUCTOR DEVICES
IT201700087201A1 (en) 2017-07-28 2019-01-28 St Microelectronics Srl SEMICONDUCTOR AND CORRESPONDING DEVICE MANUFACTURING METHOD OF SEMICONDUCTOR DEVICES
CN107506554B (en) * 2017-08-30 2020-11-20 苏州浪潮智能科技有限公司 Printed circuit board applied to storage system and wiring method and device thereof
CN110364477B (en) * 2018-03-26 2021-11-23 中芯国际集成电路制造(上海)有限公司 Chip structure and forming method thereof
US20190304899A1 (en) * 2018-04-03 2019-10-03 Mediatek Singapore Pte. Ltd. Methods and systems for supply noise suppression in systems-on-chip
US11469194B2 (en) 2018-08-08 2022-10-11 Stmicroelectronics S.R.L. Method of manufacturing a redistribution layer, redistribution layer and integrated circuit including the redistribution layer
CN110580076A (en) * 2019-09-24 2019-12-17 华南理工大学 voltage reduction circuit for ultra-high pressure mercury lamp and control method
KR20210101497A (en) * 2020-02-10 2021-08-19 삼성전자주식회사 Electronic apparatus for reducing noise of image sensor and method for controlling thereof
US11538751B2 (en) 2020-09-03 2022-12-27 Globalfoundries Singapore Pte. Ltd. Inductor capacitor filter in far back end of line and integration schemes

Citations (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5055907A (en) * 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US5095357A (en) * 1989-08-18 1992-03-10 Mitsubishi Denki Kabushiki Kaisha Inductive structures for semiconductor integrated circuits
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
US5108950A (en) * 1987-11-18 1992-04-28 Casio Computer Co., Ltd. Method for forming a bump electrode for a semiconductor device
US5212403A (en) * 1990-09-10 1993-05-18 Hitachi, Ltd. Integrated circuit device having an ic chip mounted on the wiring substrate and having suitable mutual connections between internal circuits
US5227012A (en) * 1990-04-24 1993-07-13 Hightec Mg Ag Method of manufacturing multi-layer thin film circuits containing integrated thin film resistors
US5346858A (en) * 1992-07-16 1994-09-13 Texas Instruments Incorporated Semiconductor non-corrosive metal overcoat
US5370766A (en) * 1993-08-16 1994-12-06 California Micro Devices Methods for fabrication of thin film inductors, inductor networks and integration with other passive and active devices
US5372967A (en) * 1992-01-27 1994-12-13 Motorola, Inc. Method for fabricating a vertical trench inductor
US5384274A (en) * 1992-04-06 1995-01-24 Nippon Precision Circuits Inc. Method of making a combined semiconductor device and inductor
US5416356A (en) * 1993-09-03 1995-05-16 Motorola, Inc. Integrated circuit having passive circuit elements
US5465879A (en) * 1994-01-27 1995-11-14 Asymptotic Technologies, Inc. Disposable nozzle assembly for high speed viscous material droplet dispenser
US5478773A (en) * 1994-04-28 1995-12-26 Motorola, Inc. Method of making an electronic device having an integrated inductor
US5501006A (en) * 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5576680A (en) * 1994-03-01 1996-11-19 Amer-Soi Structure and fabrication process of inductors on semiconductor chip
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US5656849A (en) * 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
US5686764A (en) * 1996-03-20 1997-11-11 Lsi Logic Corporation Flip chip package with reduced number of package layers
US5789303A (en) * 1994-11-28 1998-08-04 Northern Telecom Limited Method of adding on chip capacitors to an integrated circuit
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US5883422A (en) * 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US5929508A (en) * 1998-05-21 1999-07-27 Harris Corp Defect gettering by induced stress
US6025261A (en) * 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
US6031445A (en) * 1997-11-28 2000-02-29 Stmicroelectronics S.A. Transformer for integrated circuits
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6040226A (en) * 1997-05-27 2000-03-21 General Electric Company Method for fabricating a thin film inductor
US6051489A (en) * 1997-05-13 2000-04-18 Chipscale, Inc. Electronic component package with posts on the active side of the substrate
US6133079A (en) * 1999-07-22 2000-10-17 Chartered Semiconductor Manufacturing Ltd. Method for reducing substrate capacitive coupling of a thin film inductor by reverse P/N junctions
US6146958A (en) * 1996-10-02 2000-11-14 National Semiconductor Corporation Methods for making VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US6184574B1 (en) * 1997-06-06 2001-02-06 Micron Technology, Inc. Multi-capacitance lead frame decoupling device
US6184143B1 (en) * 1997-09-08 2001-02-06 Hitachi, Ltd. Semiconductor integrated circuit device and fabrication process thereof
US6191468B1 (en) * 1999-02-03 2001-02-20 Micron Technology, Inc. Inductor with magnetic material layers
US6221727B1 (en) * 1999-08-30 2001-04-24 Chartered Semiconductor Manufacturing Ltd. Method to trap air at the silicon substrate for improving the quality factor of RF inductors in CMOS technology
US6236101B1 (en) * 1997-11-05 2001-05-22 Texas Instruments Incorporated Metallization outside protective overcoat for improved capacitors and inductors
US6255714B1 (en) * 1999-06-22 2001-07-03 Agere Systems Guardian Corporation Integrated circuit having a micromagnetic device including a ferromagnetic core and method of manufacture therefor
US6267290B1 (en) * 1997-02-26 2001-07-31 International Business Machines Corporation Control of size and heat affected zone for fine pitch wire bonding
US6272736B1 (en) * 1998-11-13 2001-08-14 United Microelectronics Corp. Method for forming a thin-film resistor
US20010019168A1 (en) * 1998-07-09 2001-09-06 Josef Willer Semiconductor component with passivation
US6288447B1 (en) * 1999-01-22 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including a plurality of interconnection layers
US6287931B1 (en) * 1998-12-04 2001-09-11 Winbond Electronics Corp. Method of fabricating on-chip inductor
US6291980B1 (en) * 1999-10-13 2001-09-18 Quantum Corporation High-resolution measurement of phase shifts in high frequency phase modulators
US20010028098A1 (en) * 1998-08-07 2001-10-11 Ping Liou Method and structure of manufacturing a high-q inductor with an air trench
US20010035746A1 (en) * 2000-02-04 2001-11-01 Volterra Semiconductor, Delaware Corporation Transistor pattern for voltage regulator
US20020008301A1 (en) * 1998-07-13 2002-01-24 Ping Liou Monolithic high-q inductance device and process for fabricating the same
US20020017730A1 (en) * 2000-08-11 2002-02-14 Integrated Electronics & Packaging Semiconductor device
US20020050626A1 (en) * 2000-07-19 2002-05-02 Norihiro Onuma Semiconductor device and manufacturing method therefor
US6383916B1 (en) * 1998-12-21 2002-05-07 M. S. Lin Top layers of metal for high performance IC's
US6420773B1 (en) * 2000-10-04 2002-07-16 Winbond Electronics Corp. Multi-level spiral inductor structure having high inductance (L) and high quality factor (Q)
US6429764B1 (en) * 1999-05-18 2002-08-06 Memscap & Planhead-Silmag Phs Microcomponents of the microinductor or microtransformer type and process for fabricating such microcomponents
US6440750B1 (en) * 1997-06-10 2002-08-27 Agere Systems Guardian Corporation Method of making integrated circuit having a micromagnetic device
US6441715B1 (en) * 1999-02-17 2002-08-27 Texas Instruments Incorporated Method of fabricating a miniaturized integrated circuit inductor and transformer fabrication
US6451681B1 (en) * 1999-10-04 2002-09-17 Motorola, Inc. Method of forming copper interconnection utilizing aluminum capping film
US6456183B1 (en) * 1999-02-26 2002-09-24 Memscap And Planhead-Silmag Phs Inductor for integrated circuit
US6455885B1 (en) * 1998-12-21 2002-09-24 Megic Corporation Inductor structure for high performance system-on-chip using post passivation process
US6459135B1 (en) * 1999-03-23 2002-10-01 Memscap S.A. Monolithic integrated circuit incorporating an inductive component and process for fabricating such an integrated circuit
US6461895B1 (en) * 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
US6478773B1 (en) * 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
US6504227B1 (en) * 1999-06-30 2003-01-07 Kabushiki Kaisha Toshiba Passive semiconductor device mounted as daughter chip on active semiconductor device
US6515369B1 (en) * 2001-10-03 2003-02-04 Megic Corporation High performance system-on-chip using post passivation process
US6518165B1 (en) * 1998-07-28 2003-02-11 Korea Advanced Institute Of Science And Technology Method for manufacturing a semiconductor device having a metal layer floating over a substrate
US6544880B1 (en) * 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
US6545354B1 (en) * 1999-02-15 2003-04-08 Casio Computer Co., Ltd. Semiconductor device having a barrier layer
US20030076209A1 (en) * 2001-09-10 2003-04-24 Taiwan Semiconductor Manufacturing Company Novel structure to reduce the degradation of the Q value of an inductor caused by via resistance
US6559409B1 (en) * 1994-12-09 2003-05-06 Sgs-Thomson Microelectronics S.A. Method for marking integrated circuits with a laser
US6566731B2 (en) * 1999-02-26 2003-05-20 Micron Technology, Inc. Open pattern inductor
US20030102551A1 (en) * 2000-07-13 2003-06-05 Hidekazu Kikuchi Semiconductor device and method for manufacturing
US20030119299A1 (en) * 2000-08-25 2003-06-26 Tongbi Jiang Methods of ball grid array
US20030124835A1 (en) * 2001-12-31 2003-07-03 Mou-Shiung Lin Integrated chip package structure using silicon substrate and method of manufacturing the same
US6638844B1 (en) * 2002-07-29 2003-10-28 Chartered Semiconductor Manufacturing Ltd. Method of reducing substrate coupling/noise for radio frequency CMOS (RFCMOS) components in semiconductor technology by backside trench and fill
US6703697B2 (en) * 2001-12-07 2004-03-09 Intel Corporation Electronic package design with improved power delivery performance
US6716693B1 (en) * 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
US6734563B2 (en) * 2000-10-18 2004-05-11 Megic Corporation Post passivation interconnection schemes on top of the IC chips
US6756664B2 (en) * 2002-11-22 2004-06-29 Via Technologies, Inc. Noise eliminating system on chip and method of making same
US6759275B1 (en) * 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US20040159894A1 (en) * 2003-02-11 2004-08-19 Fabrice Blisson Circuit with an integrated voltage regulator and its manufacturing process
US6800534B2 (en) * 2002-12-09 2004-10-05 Taiwan Semiconductor Manufacturing Company Method of forming embedded MIM capacitor and zigzag inductor scheme
US20050017355A1 (en) * 2003-05-27 2005-01-27 Chien-Kang Chou Water level processing method and structure to manufacture two kinds of bumps, gold and solder, on one wafer
US6870252B2 (en) * 2003-06-18 2005-03-22 Sun Microsystems, Inc. Chip packaging and connection for reduced EMI
US6903459B2 (en) * 2001-05-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. High frequency semiconductor device
US6921980B2 (en) * 2001-02-16 2005-07-26 Sharp Kabushiki Kaisha Integrated semiconductor circuit including electronic component connected between different component connection portions
US20050258496A1 (en) * 2002-12-17 2005-11-24 Hideaki Tsuchiko Integrated circuit including a high voltage bipolar device and low voltage devices
US7012339B2 (en) * 2002-11-28 2006-03-14 Oki Electric Industry Co., Ltd. Semiconductor chip with passive element in a wiring region of the chip
US20060170527A1 (en) * 2005-02-02 2006-08-03 Henning Braunisch Integrated transformer structure and method of fabrication
US20070069347A1 (en) * 2005-09-23 2007-03-29 Mou-Shiung Lin Semiconductor chip and process for forming the same
US20070279882A1 (en) * 2006-06-06 2007-12-06 Samtec, Inc. Power distribution system for integrated circuits
US20080042280A1 (en) * 2006-06-28 2008-02-21 Megica Corporation Semiconductor chip structure
US20080054457A1 (en) * 2006-09-06 2008-03-06 Megica Corporation Semiconductor chip and method for fabricating the same
US20080081458A1 (en) * 2006-09-29 2008-04-03 Megica Corporation Integrated circuit chips with fine-line metal and over-passivation metal
US20080128874A1 (en) * 2006-12-05 2008-06-05 Nec Electronics Corporation Semiconductor device
US20080150623A1 (en) * 2006-12-26 2008-06-26 Megica Corporation Voltage Regulator Integrated with Semiconductor Chip
US20080251940A1 (en) * 2007-04-12 2008-10-16 Megica Corporation Chip package
US20080266922A1 (en) * 2004-11-08 2008-10-30 Asim Mumtaz Integrated Circuits and Power Supplies
US20090152695A1 (en) * 2006-01-06 2009-06-18 Hvvi Semiconductors, Inc. Semiconductor component and method of manufacture
US20090166835A1 (en) * 2007-12-28 2009-07-02 Joungin Yang Integrated circuit package system with interposer
US20090267213A1 (en) * 2001-03-05 2009-10-29 Megica Corporation Structure and manufacturing method of a chip scale package with low fabrication cost, fine pitch and high reliability solder bump

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4199774A (en) * 1978-09-18 1980-04-22 The Board Of Trustees Of The Leland Stanford Junior University Monolithic semiconductor switching device
JP3057757B2 (en) * 1990-11-29 2000-07-04 日産自動車株式会社 Transistor
JPH0828396B2 (en) * 1992-01-31 1996-03-21 株式会社東芝 Semiconductor device
US5471027A (en) * 1994-07-22 1995-11-28 International Business Machines Corporation Method for forming chip carrier with a single protective encapsulant
US5841197A (en) * 1994-11-18 1998-11-24 Adamic, Jr.; Fred W. Inverted dielectric isolation process
US5757620A (en) * 1994-12-05 1998-05-26 International Business Machines Corporation Apparatus for cooling of chips using blind holes with customized depth
SG59997A1 (en) * 1995-06-07 1999-02-22 Ibm Apparatus and process for improved die adhesion to organic chip carries
JP2904086B2 (en) 1995-12-27 1999-06-14 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5982038A (en) * 1997-05-01 1999-11-09 International Business Machines Corporation Cast metal seal for semiconductor substrates
EP0893821A1 (en) * 1997-07-21 1999-01-27 STMicroelectronics S.r.l. Process for the manufacturing of a DMOS-technology transistor providing for a single thermal process for the formation of source and body regions
US5966290A (en) * 1997-09-03 1999-10-12 Internatioinal Business Machines Corporation Electronic packages and a method to improve thermal performance of electronic packages
US6008102A (en) 1998-04-09 1999-12-28 Motorola, Inc. Method of forming a three-dimensional integrated inductor
JP2000022085A (en) 1998-06-29 2000-01-21 Toshiba Corp Semiconductor device and manufacture thereof
US6101371A (en) 1998-09-12 2000-08-08 Lucent Technologies, Inc. Article comprising an inductor
DE69936175T2 (en) 1998-11-04 2008-01-24 Lucent Technologies Inc. Inductance or low-loss trace in an integrated circuit
JP3287346B2 (en) 1999-11-29 2002-06-04 カシオ計算機株式会社 Semiconductor device
KR100377130B1 (en) * 2000-11-22 2003-03-19 페어차일드코리아반도체 주식회사 Semiconductor device and fabricating method thereof
JP2002233140A (en) * 2001-01-30 2002-08-16 Fuji Electric Co Ltd Microminiature power converter
US6576506B2 (en) * 2001-06-29 2003-06-10 Agere Systems Inc. Electrostatic discharge protection in double diffused MOS transistors
CN100391315C (en) * 2001-10-29 2008-05-28 鸿海精密工业股份有限公司 Power supply unit and used current converter
JP2004194377A (en) * 2002-12-09 2004-07-08 Nec Tokin Corp Dc power unit and its manufacturing method
JP4658481B2 (en) * 2004-01-16 2011-03-23 ルネサスエレクトロニクス株式会社 Semiconductor device
JP4387865B2 (en) * 2004-05-14 2009-12-24 パナソニック株式会社 Semiconductor device
US7470955B2 (en) * 2005-04-15 2008-12-30 Delphi Technologies, Inc. Technique for improving negative potential immunity of an integrated circuit
DE102005047104B3 (en) * 2005-09-30 2007-05-31 Infineon Technologies Ag Semiconductor device with interconnected cell strips
US7265523B2 (en) 2005-10-24 2007-09-04 Aivaka Control loop for switching power converters
US7525151B2 (en) * 2006-01-05 2009-04-28 International Rectifier Corporation Vertical DMOS device in integrated circuit
US7671411B2 (en) * 2006-03-02 2010-03-02 Volterra Semiconductor Corporation Lateral double-diffused MOSFET transistor with a lightly doped source
US7999364B2 (en) * 2006-08-24 2011-08-16 Monolithic Power Systems, Inc. Method and flip chip structure for power devices
KR101332228B1 (en) 2008-12-26 2013-11-25 메키트 에퀴지션 코포레이션 Chip packages with power management integrated circuits and related techniques

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5108950A (en) * 1987-11-18 1992-04-28 Casio Computer Co., Ltd. Method for forming a bump electrode for a semiconductor device
US5055907A (en) * 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
US5095357A (en) * 1989-08-18 1992-03-10 Mitsubishi Denki Kabushiki Kaisha Inductive structures for semiconductor integrated circuits
US5227012A (en) * 1990-04-24 1993-07-13 Hightec Mg Ag Method of manufacturing multi-layer thin film circuits containing integrated thin film resistors
US5212403A (en) * 1990-09-10 1993-05-18 Hitachi, Ltd. Integrated circuit device having an ic chip mounted on the wiring substrate and having suitable mutual connections between internal circuits
US5372967A (en) * 1992-01-27 1994-12-13 Motorola, Inc. Method for fabricating a vertical trench inductor
US5384274A (en) * 1992-04-06 1995-01-24 Nippon Precision Circuits Inc. Method of making a combined semiconductor device and inductor
US5346858A (en) * 1992-07-16 1994-09-13 Texas Instruments Incorporated Semiconductor non-corrosive metal overcoat
US5370766A (en) * 1993-08-16 1994-12-06 California Micro Devices Methods for fabrication of thin film inductors, inductor networks and integration with other passive and active devices
US5416356A (en) * 1993-09-03 1995-05-16 Motorola, Inc. Integrated circuit having passive circuit elements
US5501006A (en) * 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5465879A (en) * 1994-01-27 1995-11-14 Asymptotic Technologies, Inc. Disposable nozzle assembly for high speed viscous material droplet dispenser
US5576680A (en) * 1994-03-01 1996-11-19 Amer-Soi Structure and fabrication process of inductors on semiconductor chip
US5478773A (en) * 1994-04-28 1995-12-26 Motorola, Inc. Method of making an electronic device having an integrated inductor
US5789303A (en) * 1994-11-28 1998-08-04 Northern Telecom Limited Method of adding on chip capacitors to an integrated circuit
US6559409B1 (en) * 1994-12-09 2003-05-06 Sgs-Thomson Microelectronics S.A. Method for marking integrated circuits with a laser
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US5656849A (en) * 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
US5686764A (en) * 1996-03-20 1997-11-11 Lsi Logic Corporation Flip chip package with reduced number of package layers
US5883422A (en) * 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US6146958A (en) * 1996-10-02 2000-11-14 National Semiconductor Corporation Methods for making VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US6267290B1 (en) * 1997-02-26 2001-07-31 International Business Machines Corporation Control of size and heat affected zone for fine pitch wire bonding
US6051489A (en) * 1997-05-13 2000-04-18 Chipscale, Inc. Electronic component package with posts on the active side of the substrate
US6040226A (en) * 1997-05-27 2000-03-21 General Electric Company Method for fabricating a thin film inductor
US6504236B2 (en) * 1997-06-06 2003-01-07 Micron Technology, Inc. Semiconductor die assembly having leadframe decoupling characters and method
US6184574B1 (en) * 1997-06-06 2001-02-06 Micron Technology, Inc. Multi-capacitance lead frame decoupling device
US6440750B1 (en) * 1997-06-10 2002-08-27 Agere Systems Guardian Corporation Method of making integrated circuit having a micromagnetic device
US6184143B1 (en) * 1997-09-08 2001-02-06 Hitachi, Ltd. Semiconductor integrated circuit device and fabrication process thereof
US6030877A (en) * 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6236101B1 (en) * 1997-11-05 2001-05-22 Texas Instruments Incorporated Metallization outside protective overcoat for improved capacitors and inductors
US6031445A (en) * 1997-11-28 2000-02-29 Stmicroelectronics S.A. Transformer for integrated circuits
US6025261A (en) * 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
US5929508A (en) * 1998-05-21 1999-07-27 Harris Corp Defect gettering by induced stress
US20010019168A1 (en) * 1998-07-09 2001-09-06 Josef Willer Semiconductor component with passivation
US20020008301A1 (en) * 1998-07-13 2002-01-24 Ping Liou Monolithic high-q inductance device and process for fabricating the same
US6518165B1 (en) * 1998-07-28 2003-02-11 Korea Advanced Institute Of Science And Technology Method for manufacturing a semiconductor device having a metal layer floating over a substrate
US20010028098A1 (en) * 1998-08-07 2001-10-11 Ping Liou Method and structure of manufacturing a high-q inductor with an air trench
US6272736B1 (en) * 1998-11-13 2001-08-14 United Microelectronics Corp. Method for forming a thin-film resistor
US6287931B1 (en) * 1998-12-04 2001-09-11 Winbond Electronics Corp. Method of fabricating on-chip inductor
US6478773B1 (en) * 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
US6455885B1 (en) * 1998-12-21 2002-09-24 Megic Corporation Inductor structure for high performance system-on-chip using post passivation process
US6383916B1 (en) * 1998-12-21 2002-05-07 M. S. Lin Top layers of metal for high performance IC's
US6461895B1 (en) * 1999-01-05 2002-10-08 Intel Corporation Process for making active interposer for high performance packaging applications
US6288447B1 (en) * 1999-01-22 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including a plurality of interconnection layers
US6191468B1 (en) * 1999-02-03 2001-02-20 Micron Technology, Inc. Inductor with magnetic material layers
US6545354B1 (en) * 1999-02-15 2003-04-08 Casio Computer Co., Ltd. Semiconductor device having a barrier layer
US6441715B1 (en) * 1999-02-17 2002-08-27 Texas Instruments Incorporated Method of fabricating a miniaturized integrated circuit inductor and transformer fabrication
US6456183B1 (en) * 1999-02-26 2002-09-24 Memscap And Planhead-Silmag Phs Inductor for integrated circuit
US6566731B2 (en) * 1999-02-26 2003-05-20 Micron Technology, Inc. Open pattern inductor
US6459135B1 (en) * 1999-03-23 2002-10-01 Memscap S.A. Monolithic integrated circuit incorporating an inductive component and process for fabricating such an integrated circuit
US6429764B1 (en) * 1999-05-18 2002-08-06 Memscap & Planhead-Silmag Phs Microcomponents of the microinductor or microtransformer type and process for fabricating such microcomponents
US6544880B1 (en) * 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
US6255714B1 (en) * 1999-06-22 2001-07-03 Agere Systems Guardian Corporation Integrated circuit having a micromagnetic device including a ferromagnetic core and method of manufacture therefor
US6504227B1 (en) * 1999-06-30 2003-01-07 Kabushiki Kaisha Toshiba Passive semiconductor device mounted as daughter chip on active semiconductor device
US6133079A (en) * 1999-07-22 2000-10-17 Chartered Semiconductor Manufacturing Ltd. Method for reducing substrate capacitive coupling of a thin film inductor by reverse P/N junctions
US6221727B1 (en) * 1999-08-30 2001-04-24 Chartered Semiconductor Manufacturing Ltd. Method to trap air at the silicon substrate for improving the quality factor of RF inductors in CMOS technology
US6451681B1 (en) * 1999-10-04 2002-09-17 Motorola, Inc. Method of forming copper interconnection utilizing aluminum capping film
US6291980B1 (en) * 1999-10-13 2001-09-18 Quantum Corporation High-resolution measurement of phase shifts in high frequency phase modulators
US6462522B2 (en) * 2000-02-04 2002-10-08 Volterra Semiconductor Corporation Transistor pattern for voltage regulator
US20010035746A1 (en) * 2000-02-04 2001-11-01 Volterra Semiconductor, Delaware Corporation Transistor pattern for voltage regulator
US20030102551A1 (en) * 2000-07-13 2003-06-05 Hidekazu Kikuchi Semiconductor device and method for manufacturing
US20020050626A1 (en) * 2000-07-19 2002-05-02 Norihiro Onuma Semiconductor device and manufacturing method therefor
US20020017730A1 (en) * 2000-08-11 2002-02-14 Integrated Electronics & Packaging Semiconductor device
US20030119299A1 (en) * 2000-08-25 2003-06-26 Tongbi Jiang Methods of ball grid array
US6420773B1 (en) * 2000-10-04 2002-07-16 Winbond Electronics Corp. Multi-level spiral inductor structure having high inductance (L) and high quality factor (Q)
US6734563B2 (en) * 2000-10-18 2004-05-11 Megic Corporation Post passivation interconnection schemes on top of the IC chips
US6921980B2 (en) * 2001-02-16 2005-07-26 Sharp Kabushiki Kaisha Integrated semiconductor circuit including electronic component connected between different component connection portions
US20090267213A1 (en) * 2001-03-05 2009-10-29 Megica Corporation Structure and manufacturing method of a chip scale package with low fabrication cost, fine pitch and high reliability solder bump
US6903459B2 (en) * 2001-05-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. High frequency semiconductor device
US6759275B1 (en) * 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US20030076209A1 (en) * 2001-09-10 2003-04-24 Taiwan Semiconductor Manufacturing Company Novel structure to reduce the degradation of the Q value of an inductor caused by via resistance
US6636139B2 (en) * 2001-09-10 2003-10-21 Taiwan Semiconductor Manufacturing Company Structure to reduce the degradation of the Q value of an inductor caused by via resistance
US6515369B1 (en) * 2001-10-03 2003-02-04 Megic Corporation High performance system-on-chip using post passivation process
US6703697B2 (en) * 2001-12-07 2004-03-09 Intel Corporation Electronic package design with improved power delivery performance
US6746898B2 (en) * 2001-12-31 2004-06-08 Megic Corporation Integrated chip package structure using silicon substrate and method of manufacturing the same
US20030124835A1 (en) * 2001-12-31 2003-07-03 Mou-Shiung Lin Integrated chip package structure using silicon substrate and method of manufacturing the same
US6638844B1 (en) * 2002-07-29 2003-10-28 Chartered Semiconductor Manufacturing Ltd. Method of reducing substrate coupling/noise for radio frequency CMOS (RFCMOS) components in semiconductor technology by backside trench and fill
US6756664B2 (en) * 2002-11-22 2004-06-29 Via Technologies, Inc. Noise eliminating system on chip and method of making same
US7012339B2 (en) * 2002-11-28 2006-03-14 Oki Electric Industry Co., Ltd. Semiconductor chip with passive element in a wiring region of the chip
US6800534B2 (en) * 2002-12-09 2004-10-05 Taiwan Semiconductor Manufacturing Company Method of forming embedded MIM capacitor and zigzag inductor scheme
US20050258496A1 (en) * 2002-12-17 2005-11-24 Hideaki Tsuchiko Integrated circuit including a high voltage bipolar device and low voltage devices
US20040159894A1 (en) * 2003-02-11 2004-08-19 Fabrice Blisson Circuit with an integrated voltage regulator and its manufacturing process
US6716693B1 (en) * 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
US20050017355A1 (en) * 2003-05-27 2005-01-27 Chien-Kang Chou Water level processing method and structure to manufacture two kinds of bumps, gold and solder, on one wafer
US6870252B2 (en) * 2003-06-18 2005-03-22 Sun Microsystems, Inc. Chip packaging and connection for reduced EMI
US20080266922A1 (en) * 2004-11-08 2008-10-30 Asim Mumtaz Integrated Circuits and Power Supplies
US20060170527A1 (en) * 2005-02-02 2006-08-03 Henning Braunisch Integrated transformer structure and method of fabrication
US20070069347A1 (en) * 2005-09-23 2007-03-29 Mou-Shiung Lin Semiconductor chip and process for forming the same
US20090152695A1 (en) * 2006-01-06 2009-06-18 Hvvi Semiconductors, Inc. Semiconductor component and method of manufacture
US20070279882A1 (en) * 2006-06-06 2007-12-06 Samtec, Inc. Power distribution system for integrated circuits
US20080042280A1 (en) * 2006-06-28 2008-02-21 Megica Corporation Semiconductor chip structure
US20080054457A1 (en) * 2006-09-06 2008-03-06 Megica Corporation Semiconductor chip and method for fabricating the same
US20080081458A1 (en) * 2006-09-29 2008-04-03 Megica Corporation Integrated circuit chips with fine-line metal and over-passivation metal
US20080128874A1 (en) * 2006-12-05 2008-06-05 Nec Electronics Corporation Semiconductor device
US20080150623A1 (en) * 2006-12-26 2008-06-26 Megica Corporation Voltage Regulator Integrated with Semiconductor Chip
US20080251940A1 (en) * 2007-04-12 2008-10-16 Megica Corporation Chip package
US20090166835A1 (en) * 2007-12-28 2009-07-02 Joungin Yang Integrated circuit package system with interposer

Cited By (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160035706A1 (en) * 2008-09-19 2016-02-04 Renesas Electronics Corporation Semiconductor device for battery power voltage control
US9209113B2 (en) * 2008-09-19 2015-12-08 Renesas Electronics Corporation Semiconductor device for battery power voltage control
US8809951B2 (en) 2008-12-26 2014-08-19 Megit Acquisition Corp. Chip packages having dual DMOS devices with power management integrated circuits
US20110169562A1 (en) * 2010-01-08 2011-07-14 Mindspeed Technologies, Inc. System on chip power management through package configuration
US8717093B2 (en) * 2010-01-08 2014-05-06 Mindspeed Technologies, Inc. System on chip power management through package configuration
US20110188218A1 (en) * 2010-02-02 2011-08-04 Hsing Michael R Layout schemes and apparatus for multi-phase power switch-mode voltage regulator
US8400778B2 (en) * 2010-02-02 2013-03-19 Monolithic Power Systems, Inc. Layout schemes and apparatus for multi-phase power switch-mode voltage regulator
US9117693B2 (en) * 2010-06-10 2015-08-25 Stmicroelectronics (Tours) Sas Passive integrated circuit
US20110304014A1 (en) * 2010-06-10 2011-12-15 Stmicroelectronics (Tours) Sas Passive integrated circuit
US9490108B2 (en) 2010-09-01 2016-11-08 Jx Nippon Mining & Metals Corporation Indium target and method for manufacturing same
DE102011122855B4 (en) 2010-09-22 2022-06-02 Infineon Technologies Ag Method and device for measuring current gradients
CN102568969A (en) * 2010-10-14 2012-07-11 阿维科斯公司 Low current fuse
US9847203B2 (en) 2010-10-14 2017-12-19 Avx Corporation Low current fuse
US8900986B2 (en) * 2010-10-22 2014-12-02 Shanghai Institute Of Microsystem And Information Technology, Chinese Academy Of Sciences Method to realize flux free indium bumping
US9139900B2 (en) 2011-03-01 2015-09-22 JX Nippon Mining Metals Corporation Indium target and manufacturing method thereof
US20130049190A1 (en) * 2011-08-30 2013-02-28 Roden R. Topacio Methods of fabricating semiconductor chip solder structures
US9142520B2 (en) * 2011-08-30 2015-09-22 Ati Technologies Ulc Methods of fabricating semiconductor chip solder structures
US20150340334A1 (en) * 2011-08-30 2015-11-26 Roden R. Topacio Methods of fabricating semiconductor chip solder structures
US9318457B2 (en) * 2011-08-30 2016-04-19 Ati Technologies Ulc Methods of fabricating semiconductor chip solder structures
US20130143069A1 (en) * 2011-09-21 2013-06-06 Jx Nippon Mining & Metals Corporation Laminated Structure And Method For Producing The Same
US9023487B2 (en) * 2011-09-21 2015-05-05 Jx Nippon Mining & Metals Corporation Laminated structure and method for producing the same
EP2764612B1 (en) * 2011-10-07 2020-04-01 Raytheon Company Distributed power conditioning with dc-dc converters implemented in heterogeneous integrated circuit
US8689023B2 (en) 2011-10-17 2014-04-01 Freescale Semiconductor, Inc. Digital logic controller for regulating voltage of a system on chip
US9758860B2 (en) 2012-01-05 2017-09-12 Jx Nippon Mining & Metals Corporation Indium sputtering target and method for manufacturing same
US9761421B2 (en) 2012-08-22 2017-09-12 Jx Nippon Mining & Metals Corporation Indium cylindrical sputtering target and manufacturing method thereof
WO2014209693A1 (en) * 2013-06-26 2014-12-31 Intel Corporation Power management in multi-die assemblies
US10079489B2 (en) 2013-06-26 2018-09-18 Intel Corporation Power management in multi-die assemblies
US9391453B2 (en) 2013-06-26 2016-07-12 Intel Corporation Power management in multi-die assemblies
US9922807B2 (en) 2013-07-08 2018-03-20 Jx Nippon Mining & Metals Corporation Sputtering target and method for production thereof
US20150108642A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Structure to prevent solder extrusion
US9613921B2 (en) * 2013-10-18 2017-04-04 Globalfoundries Inc. Structure to prevent solder extrusion
US9449934B2 (en) * 2013-12-04 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Solder joint structure for ball grid array in wafer level package
US9761551B2 (en) 2013-12-04 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Solder joint structure for ball grid array in wafer level package
KR101667855B1 (en) 2013-12-04 2016-10-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of manufacturing semiconductor device pepackage
US20150155249A1 (en) * 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Solder Joint Structure for Ball Grid Array in Wafer Level Package
KR20150065159A (en) * 2013-12-04 2015-06-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Solder joint structure for ball grid array in wafer level package
US9903024B2 (en) * 2014-04-16 2018-02-27 Siliconware Precision Industries Co., Ltd. Substrate having electrical interconnection structures and fabrication method thereof
US10774427B2 (en) 2014-04-16 2020-09-15 Siliconware Precision Industries Co., Ltd. Fabrication method of substrate having electrical interconnection structures
US11913121B2 (en) 2014-04-16 2024-02-27 Siliconware Precision Industries Co., Ltd. Fabrication method of substrate having electrical interconnection structures
US20150303139A1 (en) * 2014-04-16 2015-10-22 Siliconware Precision Industries Co., Ltd. Substrate having electrical interconnection structures and fabrication method thereof
US9875980B2 (en) 2014-05-23 2018-01-23 Amkor Technology, Inc. Copper pillar sidewall protection
US9383759B2 (en) 2014-10-07 2016-07-05 Freescale Semiconductor, Inc. Voltage monitoring system
US9385084B2 (en) * 2014-11-14 2016-07-05 Wistron Neweb Corp. Metal pattern structure having positioning layer
US11355468B2 (en) 2016-05-25 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of forming a joint assembly
US10643965B2 (en) * 2016-05-25 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of forming a joint assembly
EP3485510A4 (en) * 2016-07-12 2020-04-15 INTEL Corporation Package with passivated interconnects
US10043740B2 (en) * 2016-07-12 2018-08-07 Intel Coporation Package with passivated interconnects
US20180019197A1 (en) * 2016-07-12 2018-01-18 Sri Ranga Sai BOYAPATI Package with passivated interconnects
US10833144B2 (en) * 2016-11-14 2020-11-10 Advanced Semiconductor Engineering, Inc. Semiconductor device packages including an inductor and a capacitor
US11637172B2 (en) 2016-11-14 2023-04-25 Advanced Semiconductor Engineering, Inc. Semiconductor device packages including an inductor and a capacitor
US20180138262A1 (en) * 2016-11-14 2018-05-17 Advanced Semiconductor Engineering, Inc. Semiconductor device packages
US20220052008A1 (en) * 2019-11-07 2022-02-17 Changxin Memory Technologies, Inc. Semiconductor Device, Method Making It And Packaging Structure
US11671010B2 (en) * 2020-02-07 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Power delivery for multi-chip-package using in-package voltage regulator
US11605959B2 (en) 2020-02-18 2023-03-14 Itm Semiconductor Co., Ltd. Battery control system-in-package and method of fabricating the same

Also Published As

Publication number Publication date
KR101332228B1 (en) 2013-11-25
TW201112386A (en) 2011-04-01
US8809951B2 (en) 2014-08-19
CN102265716A (en) 2011-11-30
EP2380415B1 (en) 2019-07-31
US20140021522A1 (en) 2014-01-23
TW201041479A (en) 2010-11-16
CN102265716B (en) 2015-04-01
JP5335931B2 (en) 2013-11-06
EP2380415A4 (en) 2012-11-21
KR20110099338A (en) 2011-09-07
WO2010075447A1 (en) 2010-07-01
JP2012514338A (en) 2012-06-21
EP2380415A1 (en) 2011-10-26

Similar Documents

Publication Publication Date Title
US8809951B2 (en) Chip packages having dual DMOS devices with power management integrated circuits
US8749021B2 (en) Voltage regulator integrated with semiconductor chip
CN102163561B (en) Semiconducter device and use same vehicle form the method for TMV and TSV in WLCSP
US7928553B2 (en) Power electronic device
US9105532B2 (en) Semiconductor device and method of forming interconnect structure over seed layer on contact pad of semiconductor die without undercutting seed layer beneath interconnect structure
US8546189B2 (en) Semiconductor device and method of forming a wafer level package with top and bottom solder bump interconnection
US8912650B2 (en) Semiconductor device and method of forming protective coating over interconnect structure to inhibit surface oxidation
TWI567866B (en) Semiconductor device and method of forming an interconnect structure with tsv using encapsulant for structural support
US9117812B2 (en) Semiconductor device and method of forming non-linear interconnect layer with extended length for joint reliability
TWI515810B (en) Semiconductor device and method of forming no-flow underfill material around vertical interconnect structure
US8722457B2 (en) System and apparatus for wafer level integration of components
US8436449B2 (en) Chip package and method for fabricating the same
US20100244263A1 (en) Chip packages
US8680676B1 (en) Semiconductor package with under bump metallization routing
US20100232131A1 (en) Flmp buck converter with a molded capacitor
US9735122B2 (en) Flip chip package structure and fabrication process thereof
JP2012256885A (en) Semiconductor device and method for forming power mosfet having silicide layer of interconnection structure and low profile bump
US8674500B2 (en) Semiconductor device and method of self-confinement of conductive bump material during reflow without solder mask
US20080251859A1 (en) Semiconductor Module

Legal Events

Date Code Title Description
AS Assignment

Owner name: MEGICA CORPORATION,TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, MOU-SHIUNG;LEE, JIN-YUAN;SIGNING DATES FROM 20091216 TO 20091218;REEL/FRAME:023692/0090

AS Assignment

Owner name: MEGIT ACQUISITION CORP., CALIFORNIA

Free format text: MERGER;ASSIGNOR:MEGICA CORPORATION;REEL/FRAME:031283/0198

Effective date: 20130611

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: QUALCOMM INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MEGIT ACQUISITION CORP.;REEL/FRAME:033303/0124

Effective date: 20140709