US20100170442A1 - Remote plasma apparatus for processing substrate with two types of gases - Google Patents
Remote plasma apparatus for processing substrate with two types of gases Download PDFInfo
- Publication number
- US20100170442A1 US20100170442A1 US12/726,187 US72618710A US2010170442A1 US 20100170442 A1 US20100170442 A1 US 20100170442A1 US 72618710 A US72618710 A US 72618710A US 2010170442 A1 US2010170442 A1 US 2010170442A1
- Authority
- US
- United States
- Prior art keywords
- gas
- holes
- processing region
- plate
- plasma generation
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
Definitions
- This invention relates to substrate processing and, more particularly, to a plasma chemical vapor deposition (plasma CVD) by using a reaction between a gas and radicals obtained from another gas.
- plasma CVD plasma chemical vapor deposition
- a film or a layer is one of the primary steps in the fabrication of modern semiconductor devices and, such a film or a layer can be deposited by a CVD process, for example, a thermal CVD process or a plasma CVD process (plasma-enhanced CVD process), Especially, a remote plasma CVD process is an improved one of plasma CVD processes and can form a desired thin film on a substrate or a wafer with suppression of damage arising from plasma.
- a CVD process for example, a thermal CVD process or a plasma CVD process (plasma-enhanced CVD process)
- plasma-enhanced CVD process plasma-enhanced CVD process
- a remote plasma CVD process is an improved one of plasma CVD processes and can form a desired thin film on a substrate or a wafer with suppression of damage arising from plasma.
- a remote plasma CVD process two types of gases are used.
- One type of gases is a plasma material gas that is decomposed, and/or energized, and changed into plasma including radicals and excited species
- another type of gases is a deposition material gas that reacts with the radicals and excited species in a gas phase reaction.
- the former is oxygen (O 2 ) gas while the latter is monosilane or silane (SiH 4 ) gas.
- oxygen gas is at first energized and changed into plasma within a plasma generation region.
- the plasma includes excited species and radicals which are excited oxygen atoms, excited oxygen molecules, oxygen atoms, oxygen molecules, and ozone molecules.
- the radicals and excited species included in the plasma are supplied into a substrate processing region that is separated or isolated from the plasma generation region.
- monosilane gas is also supplied into the substrate processing region, where a gas phase reaction between the oxygen gas and the monosilane gas occurs.
- the gas phase reaction produces precursors which are for silicon dioxide (SiO 2 ) and are for example SiH x , SiH x O y , SiO y , and so on.
- the precursors are adhered to a substrate or a wafer arranged within the substrate processing region and are subjected to oxidation, thermal dissociation and so forth, so that the silicon dioxide film are formed on the substrate or the wafer.
- Silicon nitride (Si 3 N 4 ) film and an amorphous silicon (a-Si) film can be formed in the way similar to the above-mentioned remote plasma CVD process.
- a deposition material gas such as silane gas
- silane gas of the deposition material gas the backward flow of the deposition material gas results in excess formation of hydrogen atoms (H) and/or hydrogen molecules (H 2 ), so that the silicon dioxide film formed on the substrate or wafer includes a great deal of H or OH.
- H8-45858 Japanese Patent Laid-Open No. H8-45858
- a remote plasma apparatus comprises a body, an energy source, a plate, and a substrate supporter.
- the body defines a cavity comprising a plasma generation region and a processing region and has first and second gas inlets.
- the first gas inlet communicates with the plasma generation region to introduce a first gas into the plasma generation region directly or indirectly, while the second gas inlet communicates with the processing region to supply a second gas into the processing region directly or indirectly.
- the energy source is arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals.
- the energy source may be a radio frequency (RF) supplier or a microwave power supplier.
- the plate is arranged between the plasma generation region and the processing region and is formed with a plurality of perforated holes through which the radicals pass.
- the plate is designed such that aperture ratio of the perforated holes to the plate is not greater than five percent.
- Each perforated hole may have a diameter not larger than three millimeter.
- the substrate supporter is arranged within the processing region and is adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second gas inlet.
- the plate may be arranged with no gap left between the plate and the inner side wall.
- the remote plasma apparatus can be used in a film forming process where an oxygen-containing gas is supplied as the first gas into the plasma generation region through the first gas inlet, while a silicon-containing gas is supplied as the second gas into the processing region.
- the oxygen-containing gas is oxygen (O 2 ) gas
- the silicon-containing gas is monosilane or silane (SiH 4 ) gas.
- the remote plasma apparatus can suppress the backward flow of the deposition material gas into the plasma generation region. Therefore, the excess formation of hydrogen atoms (H) and/or hydrogen molecules (H 2 ) is also suppressed, namely, the high quality silicon dioxide film can be obtained.
- FIG. 1 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with one embodiment of the present invention
- FIG. 2 is a plain view of the plate arranged within the vacuum chamber of the remote plasma CVD apparatus depicted in FIG. 1 ;
- FIG. 3 is a graph schematically showing undesirable distribution of the radicals and the excited species which are included, in plasma;
- FIG. 4 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention.
- FIG. 5 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention.
- FIG. 6 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention.
- FIG. 7 is a bottom plain view of the plate depicted in FIG. 6 ;
- FIG. 8 is a partially enlarged, cross-sectional view of the plate depicted in FIG. 6 ;
- FIG. 9 is an illustrative cross-sectional view of the plate depicted in FIG. 6 , which shows a flow of a deposition material gas and radicals and excited species;
- FIG. 10 is an illustrative cross-sectional view of a modification of the plate depicted in FIG. 6 ;
- FIG. 11 is a bottom plain view of a first partition included in the modification depicted in FIG. 10 ;
- FIG. 12 is a bottom plain view of a second partition included in the modification depicted in FIG. 11 ;
- FIG. 13 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention.
- FIG. 14 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention.
- FIG. 15 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention.
- FIG. 16 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention.
- a remote plasma apparatus is a remote plasma CVD apparatus where oxygen gas (O 2 ) of a plasma material gas and silane (SiH 4 ) gas of a deposition material gas are used to deposit a silicon dioxide film on a substrate or a wafer.
- oxygen gas (O 2 ) of a plasma material gas and silane (SiH 4 ) gas of a deposition material gas are used to deposit a silicon dioxide film on a substrate or a wafer.
- the plasma material gas is decomposed and changed into plasma including radicals and excited species, while the deposition material gas reacts with the radicals and excited species in the gas phase reaction.
- the plasma material gas may be another oxygen-containing gas, such as a nitrous oxide gas or a nitric oxide gas
- the deposition material gas may be another silicon-containing gas, such as a disilane gas or a higher silane gas, or a liquid silicon material, such as a TEOS (tetraethoxysilane).
- the plasma material gas and the deposition material gas may be other kinds of gases which can be selected by a skilled person.
- the illustrated remote plasma CVD apparatus comprises a vacuum chamber 10 having a chamber wall 11 .
- the vacuum chamber 10 defines a cavity comprising a plasma generation region 12 and a substrate processing region 13 .
- the vacuum chamber 10 is provided with a gas inlet 21 , a ring-shaped injector 22 , and a gas outlet or an exhaust outlet 23 .
- the gas inlet 21 is for introducing oxygen (O 2 ) gas into the plasma generation region 12
- the injector 22 is for dispersing or injecting silane (SiH 4 ) gas into the substrate processing region 13 .
- the gas outlet 23 is connected with an exhaust emission control device or an external vacuum pump (not shown) and is for exhausting or evacuating, to the outside of the apparatus, the remainder of the gas mixture that is not deposited in a film.
- an antenna 31 electrically connected to a power source 30 and a dielectric window 32 are arranged on the upper side of the illustrated vacuum chamber 10 .
- the power source 30 can supply a high-frequency energy into the plasma generation region through the antenna 31 and the dielectric window 32 , which may be other high-frequency energy transparent material.
- the remote plasma CVD apparatus applies an induction coupled discharge.
- the remote plasma CVD apparatus may apply a capacitively-coupled discharge or a microwave discharge with a suitable discharge mechanism arranged on the vicinity of the plasma generation region.
- the illustrated remote plasma CVD apparatus further comprises a plate 40 and a susceptor 50 .
- the illustrated plate 40 is formed with a plurality of perforated holes 41 and is arranged between the plasma generation region 12 and the substrate processing region 13 with no gap left between the plate 40 and the chamber wall 11 .
- the plate 40 of this embodiment defines the plasma generation region 12 and the substrate processing region 13 in cooperation with the chamber wall 11 .
- the susceptor 50 is for supporting a substrate or wafer and is also called a wafer support pedestal.
- the example of the plate 40 is rectangular and has a plane area of 400 mm ⁇ 500 mm.
- the plate 40 has one hundred perforated holes 41 .
- Each of the perforated holes 41 has a cylindrical shape where a diameter is 11 mm and a length is 20 mm, so that aperture ratio of the perforated holes 41 to the entire plate 40 is not greater than five percent.
- the perforated holes 41 may have other shapes.
- the plate 40 may be circular shaped and the vacuum chamber 10 may have a cylindrical chamber wall.
- the pressure of the plasma generation region 12 is higher than the pressure of the substrate processing region 13 under the condition of the substrate processing, because the plate is designed to meet the aperture ratio. For example, if O 2 gas is introduced into the plasma generation region 12 at flow rate of 1 SLM and the pressure of the substrate processing region 13 is controlled with the vacuum pump (not shown) to be 30 Pa, the pressure of the plasma generation region 12 becomes 35 Pa.
- the higher pressure of the region 12 results in the suppression of the silane gas flowing back into the plasma generation region 12 from the substrate processing region 13 . That is, the plate 40 with the perforated holes 41 can suppress the silane gas flowing back into the plasma generation region 12 from the substrate processing region 13 .
- the arrangement of the illustrated perforated holes 41 is uniform in the plane of the plate 40 , but the plate 40 may have another arrangement where the number of the perforated holes 41 at the center of the plate 40 is larger than one of the perforated holes 41 at the peripheral part of the plate 40 .
- the diameter R of the perforated hole 41 can be smaller than one of the illustrated perforated hole 41 .
- each perforated hole 41 may have a diameter not larger than three millimeter.
- the plate 40 formed with one hundred perforated holes 41 and having an area of 400 mm ⁇ 500 mm, is designed so that each perforated holes 41 has a cylindrical shape where a diameter is 2 mm and a length is 10 mm.
- the pressure of the plasma generation region 12 becomes 58 Pa.
- the pressure difference between the regions 12 and 13 becomes larger, if the diameter of the perforated hole 41 becomes smaller under the condition where the length of the perforated hole 41 is unchanged.
- the large pressure difference causes the back flow of the silane gas to be suppressed effectively.
- the intervals (D 1 , D 2 , D 3 ) shown in FIG. 2 may be shorter than the distance (H) shown in FIG. 1 between the plate 40 and the substrate supported on the susceptor 50 , in order to obtain more uniform gas distribution.
- the plate 40 is formed with one hundred perforated holes 41 and has a rectangular shape whose area is 400 mm ⁇ 500 mm.
- Each perforated hole 41 has a cylindrical shape where a diameter is 2 mm and a length is 10 mm.
- the intervals D 1 , D 2 , and D 3 between neighboring ones of the perforated holes 41 are 46 mm, 36 mm, 58 mm, respectively, while the distance H between the plate and the substrate supported on the susceptor 50 is 100 mm.
- the oxygen gas is introduced at flow rate of 1 SLM and the pressure of the substrate processing region 13 , especially, the pressure on the vicinity of the substrate is controlled with the vacuum pump (not shown) to be 30 Pa.
- the pressure of the plasma generation region 12 becomes 58 Pa, because of the plate 40 . That is, the pressure of the plasma generation region 12 is about twice pressure of the substrate processing region 13 .
- the antenna 31 is supplied with the high frequency energy from the power source 30 , thereby the oxygen plasma is generated in the plasma generation region 12 .
- the oxygen plasma includes excited species and radicals which are excited oxygen atoms, excited oxygen molecules, oxygen atoms, oxygen molecules, and ozone molecules, in addition to electrons and ions. It is noted here that the plasma density within the plasma generation region 12 is about 10 8 ⁇ 10 10 cm ⁇ 3 , while the plasma density between the plate 40 and the substrate supported on the susceptor 50 is less than 10 8 cm ⁇ 3 . Hence, very few electrons and ions practically reach the substrate processing region 13 and influence on the film forming.
- Gas including the radicals and excited species is supplied through the perforated holes 41 and diffuses into the substrate processing region 13 .
- the monosilane gas is also supplied into the substrate processing region 13 at flow rate of 5 SCCM.
- the gas including the radicals and excited species and the monosilane gas react with each other, and result in producing precursors for silicon dioxide (SiO 2 ), for example, SiH x , SiH x O y , SiO y , and so on.
- the precursors are adhered to the substrate supported on the susceptor 50 and are subjected to oxidation, thermal dissociation and so forth, so that the silicon dioxide film are formed on the substrate.
- the almost no monosilane gas can flow back into the plasma generation region 12 , because the pressure of the plasma generation region 12 is about twice pressure of the substrate processing region 13 as mentioned above. Therefore, the excess formation of hydrogen atoms (H) and/or hydrogen molecules (H 2 ) is also suppressed, namely, the high quality silicon dioxide film can be obtained.
- the plasma density between the plate 40 and the substrate supported on the susceptor 50 is controlled to be extremely low.
- the low plasma density results in very low plasma damage on the substrate 30 , in comparison with the general parallel plate plasma CVD of the conventional configurations.
- the obvious advantage of the low plasma damage appears on the specific silicon surface which comprise the MOS interface. If the silicon dioxide film is deposited on a single crystal silicon substrate by the use of the general parallel plasma CVD, the density of the MOS interface state becomes 10 11 ⁇ 10 12 cm ⁇ 2 eV ⁇ 1 .
- the density of the MOS interface state is controlled to be 10 10 cm ⁇ 2 eV ⁇ 1 lower than that of general parallel plasma CVD.
- a modification of the remote plasma CVD apparatus illustrated in FIG. 1 comprises a gas inlet 24 and a planar electrode 33 , instead of the gas inlet 21 and the antenna 31 and the dielectric window 32 , and further comprises a closure electrode 60 .
- the planar electrode 33 is electrically connected to the power source 30 and electrically delivers the high frequency energy into the plasma generation region 12 .
- the illustrated planar electrode 33 is gas distribution structure, for example, an O 2 gas distribution manifold and is connected with the gas inlet 24 .
- the closure electrode 60 is formed with a plurality of holes which the radicals and excited species pass through, and is electrically grounded. It is herein desirable that the diameter of the hole of the closure electrode 60 is substantially equal to or less than “Debye length” of the plasma to be generated in the plasma generation region 12 .
- a modification of the remote plasma CVD apparatus illustrated in FIG. 4 comprises the plate 40 made of conductive material, such as metal.
- the plate 40 is electrically grounded and serves as a closure electrode.
- each of the perforated holes 41 has the diameter which is substantially equal to or less than “Debye length” of the plasma to be generated in the plasma generation region 12 .
- the plate 40 is formed with one hundred perforated holes 41 and has a rectangular shape whose area is 400 mm ⁇ 500 mm and each perforated holes 41 has a cylindrical shape where a diameter is 2 mm and a length is 10 mm.
- the oxygen gas is introduced into the vacuum chamber 10 at flow rate of 1 SLM and if the pressure on the vicinity of the substrate is controlled with the vacuum pump (not shown) to be 30 Pa, resulting in that the pressure of the plasma generation region 12 becomes 58 Pa.
- the high frequency energy of 13.56 MHz is provided at 1 W/cm 3 , the oxygen plasma has the plasma density of about 10 8 cm ⁇ 3 and the electron temperature of about 10 5 K.
- Debye length is about 2 mm, which is substantially equal to the diameter of the perforated hole 41 .
- the plate 40 with the above structure serves as the closure of the plasma and the prevention plate of the back flow of the monosilane gas.
- a remote plasma CVD apparatus comprises the similar structure of the remote plasma CVD apparatus depicted in FIG. 5 except for an injection mechanism of the silane gas.
- the remote plasma CVD apparatus illustrated in FIG. 6 comprises a plate 42 serving as a SiH 4 gas distribution structure.
- the plate 42 comprises a top portion 45 , a bottom portion 46 , a plurality of tube walls 47 , and a plurality of gas injection holes 43 , and defines a gas supplier plenum 44 .
- the gas supplier plenum 44 makes the silane gas uniform in a plain, thereby resulting in uniformity in the distributed silane gas.
- the top portion 45 has a plurality of upper holes, while the bottom portion 46 has a plurality of lower holes.
- the tube walls 47 connect between the upper holes and the lower holes, respectively, and form the perforated holes 41 that is separated or isolated from the gas supplier plenum 44 .
- the plate 42 further comprises first and second partitions 48 and 49 which are for dispersing the silane gas, as shown in FIGS. 10 through 12 .
- the first partition 48 and the second partition 49 have a plurality of holes 481 and 491 , and the number of the holes 481 is less than that of the holes 491 .
- the number of the holes 481 illustrated in FIG. 11 is nine, while the number of the holes 491 illustrated in FIG. 12 is twenty five. That is, the ratio of the holes 481 to the holes 491 is nine twenty-fifth.
- the holes 481 of this embodiment are formed and concentrated on the center of the first partition 48 .
- the silane gas supplied to a first space between the top portion 45 and the first partition 48 diffuses in the first space, passes through the holes 481 and flows into a second space between the first partition 48 and the second partition 49 .
- the silane gas further spreads within the second space and then is injected into the substrate processing region 13 , so that the more uniform SiH 4 gas distribution in the substrate processing region 13 is obtained.
- the number of the partition is not restricted to two, but may be one or greater than two.
- a remote plasma CVD apparatus comprises the similar structure of the remote plasma CVD apparatus depicted in FIG. 6 except for an exhaust mechanism.
- the remote plasma CVD apparatus illustrated in FIG. 13 further comprises a gas outlet 25 independent of the gas outlet 23 , and first and second pressure gauges 71 and 72 .
- the gas outlet 23 is arranged to communicate with the substrate processing region 13
- the gas outlet 25 is arranged to communicate with the plasma generation region 12 .
- the gas outlet 23 and the gas outlet 25 are connected with first and second exhaust emission control devices or external vacuum pumps (not shown).
- the first and the second vacuum pumps is for controlling exhaust emissions independently of each other, and may comprise single exhaust emission control device if the exhaust of the gas outlet 23 and 25 can be independently controlled.
- the pressure within the plasma generation region 12 and the pressure within the substrate processing region 13 can be controlled independently of each other if the former pressure becomes too high.
- FIGS. 14 through 16 Similar modifications are applicable to the remote plasma CVD apparatuses illustrated in FIGS. 1 , 4 , and 5 , respectively.
- a monosilane injector according to the above-mentioned embodiments is a ring-shaped injector or a plate as a gas distribution structure, but the present invention is not so limited.
- Those skilled in the art will recognize other equivalent of alternative injection mechanism, such as a frame-shaped injector, a latticed-pipe injector, and a straight pipe injector.
- silicon dioxide films are described, but this invention can apply to a method of forming another film, such as a silicon nitride (Si 3 N 4 ) film or a amorphous silicon (a-Si) film.
- the former film is made from a monosilane gas and an ammonium hydroxide gas, while the latter film is made from a monosilane gas and a rare gas or a hydrogen gas.
- induction coupled remote plasma CVD apparatus and the parallel plate remote plasma CVD apparatus are described in the above-mentioned embodiments, this invention can apply to other type of apparatuses, such as a CVD apparatus with a microwave source or an electron cyclotron resonance (ECR) source, or another CVD apparatus handling inductive coupled plasma or helicon wave plasma.
- CVD apparatus with a microwave source or an electron cyclotron resonance (ECR) source or another CVD apparatus handling inductive coupled plasma or helicon wave plasma.
- ECR electron cyclotron resonance
Abstract
In a plasma CVD apparatus, a plate formed with a plurality of perforated holes is arranged to separate a plasma generation region and a processing region. The aperture ratio of the perforated holes to the plate is not greater than five percent. Plasma including radicals and excited species is generated from an oxygen (O2) gas in the plasma generation region, then the radicals and excited species flow into the processing region through the perforated holes. A monosilane (SiH4) gas is also supplied into the processing region, but the backward flow of the monosilane gas into the plasma generation region is suppressed by the plate. In the processing region, the radicals and the excited species and the monosilane gas result in a gas phase reaction that yields the silicon dioxide film formed on the substrate or the wafer with high quality.
Description
- This invention relates to substrate processing and, more particularly, to a plasma chemical vapor deposition (plasma CVD) by using a reaction between a gas and radicals obtained from another gas.
- As is well known, formation of a film or a layer is one of the primary steps in the fabrication of modern semiconductor devices and, such a film or a layer can be deposited by a CVD process, for example, a thermal CVD process or a plasma CVD process (plasma-enhanced CVD process), Especially, a remote plasma CVD process is an improved one of plasma CVD processes and can form a desired thin film on a substrate or a wafer with suppression of damage arising from plasma.
- In an exemplary remote plasma CVD process, two types of gases are used. One type of gases is a plasma material gas that is decomposed, and/or energized, and changed into plasma including radicals and excited species, while another type of gases is a deposition material gas that reacts with the radicals and excited species in a gas phase reaction. For example, the former is oxygen (O2) gas while the latter is monosilane or silane (SiH4) gas. In a remote plasma CVD process, oxygen gas is at first energized and changed into plasma within a plasma generation region. The plasma includes excited species and radicals which are excited oxygen atoms, excited oxygen molecules, oxygen atoms, oxygen molecules, and ozone molecules. The radicals and excited species included in the plasma are supplied into a substrate processing region that is separated or isolated from the plasma generation region. Independently of the excited species and radicals, monosilane gas is also supplied into the substrate processing region, where a gas phase reaction between the oxygen gas and the monosilane gas occurs. The gas phase reaction produces precursors which are for silicon dioxide (SiO2) and are for example SiHx, SiHxOy, SiOy, and so on. The precursors are adhered to a substrate or a wafer arranged within the substrate processing region and are subjected to oxidation, thermal dissociation and so forth, so that the silicon dioxide film are formed on the substrate or the wafer. Silicon nitride (Si3N4) film and an amorphous silicon (a-Si) film can be formed in the way similar to the above-mentioned remote plasma CVD process.
- Some types of apparatuses and methods for processing with remote plasma CVD techniques are shown in Japanese Patent Laid-Open Nos. H8-167596 and H8-194942, which are incorporated herein by reference.
- One problem that arises during such remote plasma CVD processes is that a deposition material gas, such as silane gas, flows back into the plasma generation region from the substrate processing region. In case of silane gas of the deposition material gas, the backward flow of the deposition material gas results in excess formation of hydrogen atoms (H) and/or hydrogen molecules (H2), so that the silicon dioxide film formed on the substrate or wafer includes a great deal of H or OH. The problem is discussed in Japanese Patent Laid-Open No. H8-45858, which is incorporated herein by reference.
- It is therefore an object of the present invention to provide an improved remote plasma apparatus which can suppress the backward flow of the deposition material gas, such as monosilane gas.
- According to one aspect of the present invention, a remote plasma apparatus comprises a body, an energy source, a plate, and a substrate supporter. The body defines a cavity comprising a plasma generation region and a processing region and has first and second gas inlets. The first gas inlet communicates with the plasma generation region to introduce a first gas into the plasma generation region directly or indirectly, while the second gas inlet communicates with the processing region to supply a second gas into the processing region directly or indirectly.
- The energy source is arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals. The energy source may be a radio frequency (RF) supplier or a microwave power supplier.
- The plate is arranged between the plasma generation region and the processing region and is formed with a plurality of perforated holes through which the radicals pass. The plate is designed such that aperture ratio of the perforated holes to the plate is not greater than five percent. Each perforated hole may have a diameter not larger than three millimeter.
- The substrate supporter is arranged within the processing region and is adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second gas inlet.
- In the above structure where the body has an inner side wall, the plate may be arranged with no gap left between the plate and the inner side wall.
- The remote plasma apparatus can be used in a film forming process where an oxygen-containing gas is supplied as the first gas into the plasma generation region through the first gas inlet, while a silicon-containing gas is supplied as the second gas into the processing region. For example, the oxygen-containing gas is oxygen (O2) gas, while the silicon-containing gas is monosilane or silane (SiH4) gas.
- With the above structure, the remote plasma apparatus can suppress the backward flow of the deposition material gas into the plasma generation region. Therefore, the excess formation of hydrogen atoms (H) and/or hydrogen molecules (H2) is also suppressed, namely, the high quality silicon dioxide film can be obtained.
- These and other aspects of the present invention, as well as its advantages and features are described as preferred embodiments in more detail in conjunction with the text below and attached figures.
-
FIG. 1 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with one embodiment of the present invention; -
FIG. 2 is a plain view of the plate arranged within the vacuum chamber of the remote plasma CVD apparatus depicted inFIG. 1 ; -
FIG. 3 is a graph schematically showing undesirable distribution of the radicals and the excited species which are included, in plasma; -
FIG. 4 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention; -
FIG. 5 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention; -
FIG. 6 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention; -
FIG. 7 is a bottom plain view of the plate depicted inFIG. 6 ; -
FIG. 8 is a partially enlarged, cross-sectional view of the plate depicted inFIG. 6 ; -
FIG. 9 is an illustrative cross-sectional view of the plate depicted inFIG. 6 , which shows a flow of a deposition material gas and radicals and excited species; -
FIG. 10 is an illustrative cross-sectional view of a modification of the plate depicted inFIG. 6 ; -
FIG. 11 is a bottom plain view of a first partition included in the modification depicted inFIG. 10 ; -
FIG. 12 is a bottom plain view of a second partition included in the modification depicted inFIG. 11 ; -
FIG. 13 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention; -
FIG. 14 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention; -
FIG. 15 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention; -
FIG. 16 is a schematic, vertical, cross-sectional view of a remote plasma apparatus, such as a remote plasma CVD apparatus, in accordance with another embodiment of the present invention. - Referring to
FIG. 1 , a remote plasma apparatus according to an embodiment of this invention is a remote plasma CVD apparatus where oxygen gas (O2) of a plasma material gas and silane (SiH4) gas of a deposition material gas are used to deposit a silicon dioxide film on a substrate or a wafer. As mentioned above, the plasma material gas is decomposed and changed into plasma including radicals and excited species, while the deposition material gas reacts with the radicals and excited species in the gas phase reaction. To obtain a silicon dioxide film, the plasma material gas may be another oxygen-containing gas, such as a nitrous oxide gas or a nitric oxide gas, while the deposition material gas may be another silicon-containing gas, such as a disilane gas or a higher silane gas, or a liquid silicon material, such as a TEOS (tetraethoxysilane). To obtain other films, the plasma material gas and the deposition material gas may be other kinds of gases which can be selected by a skilled person. - The illustrated remote plasma CVD apparatus comprises a
vacuum chamber 10 having achamber wall 11. Thevacuum chamber 10 defines a cavity comprising aplasma generation region 12 and asubstrate processing region 13. Thevacuum chamber 10 is provided with agas inlet 21, a ring-shaped injector 22, and a gas outlet or anexhaust outlet 23. Thegas inlet 21 is for introducing oxygen (O2) gas into theplasma generation region 12, while theinjector 22 is for dispersing or injecting silane (SiH4) gas into thesubstrate processing region 13. Thegas outlet 23 is connected with an exhaust emission control device or an external vacuum pump (not shown) and is for exhausting or evacuating, to the outside of the apparatus, the remainder of the gas mixture that is not deposited in a film. - On the upper side of the illustrated
vacuum chamber 10, anantenna 31 electrically connected to apower source 30 and adielectric window 32 are arranged. Thepower source 30 can supply a high-frequency energy into the plasma generation region through theantenna 31 and thedielectric window 32, which may be other high-frequency energy transparent material. As understood from the discharge structure for generating plasma, the remote plasma CVD apparatus applies an induction coupled discharge. Instead of the induction coupled discharge, the remote plasma CVD apparatus may apply a capacitively-coupled discharge or a microwave discharge with a suitable discharge mechanism arranged on the vicinity of the plasma generation region. - The illustrated remote plasma CVD apparatus further comprises a
plate 40 and a susceptor 50. The illustratedplate 40 is formed with a plurality ofperforated holes 41 and is arranged between theplasma generation region 12 and thesubstrate processing region 13 with no gap left between theplate 40 and thechamber wall 11. In particular, theplate 40 of this embodiment defines theplasma generation region 12 and thesubstrate processing region 13 in cooperation with thechamber wall 11. The susceptor 50 is for supporting a substrate or wafer and is also called a wafer support pedestal. - Referring to
FIG. 2 , the example of theplate 40 is rectangular and has a plane area of 400 mm×500 mm. In addition, theplate 40 has one hundred perforated holes 41. Each of theperforated holes 41 has a cylindrical shape where a diameter is 11 mm and a length is 20 mm, so that aperture ratio of theperforated holes 41 to theentire plate 40 is not greater than five percent. Theperforated holes 41 may have other shapes. Theplate 40 may be circular shaped and thevacuum chamber 10 may have a cylindrical chamber wall. - In the remote plasma CVD apparatus with the
plate 40, the pressure of theplasma generation region 12 is higher than the pressure of thesubstrate processing region 13 under the condition of the substrate processing, because the plate is designed to meet the aperture ratio. For example, if O2 gas is introduced into theplasma generation region 12 at flow rate of 1 SLM and the pressure of thesubstrate processing region 13 is controlled with the vacuum pump (not shown) to be 30 Pa, the pressure of theplasma generation region 12 becomes 35 Pa. - The higher pressure of the
region 12 results in the suppression of the silane gas flowing back into theplasma generation region 12 from thesubstrate processing region 13. That is, theplate 40 with theperforated holes 41 can suppress the silane gas flowing back into theplasma generation region 12 from thesubstrate processing region 13. Herein, the arrangement of the illustratedperforated holes 41 is uniform in the plane of theplate 40, but theplate 40 may have another arrangement where the number of theperforated holes 41 at the center of theplate 40 is larger than one of theperforated holes 41 at the peripheral part of theplate 40. - In order to more effectively suppress the back flow of the silane gas, the diameter R of the
perforated hole 41 can be smaller than one of the illustratedperforated hole 41. In detail, eachperforated hole 41 may have a diameter not larger than three millimeter. For example, theplate 40, formed with one hundredperforated holes 41 and having an area of 400 mm×500 mm, is designed so that each perforated holes 41 has a cylindrical shape where a diameter is 2 mm and a length is 10 mm. In this case, if O2 gas is introduced into theplasma generation region 12 at flow rate of 1 SLM and the pressure of thesubstrate processing region 13 is controlled with the vacuum pump (not shown) to be 30 Pa, the pressure of theplasma generation region 12 becomes 58 Pa. Thus, the pressure difference between theregions perforated hole 41 becomes smaller under the condition where the length of theperforated hole 41 is unchanged. The large pressure difference causes the back flow of the silane gas to be suppressed effectively. - It is here assumed that there is a large interval between neighboring ones of the
perforated holes 41 under the condition that the aperture ratio and the diameter of the perforated holes are restricted. Under the assumption, the gas including the radicals and the excited species has undesirable density distribution at the vicinity of the substrate to be processed, as shown inFIG. 3 . Taking the influence of the hole interval upon the gas density distribution, the intervals (D1, D2, D3) shown inFIG. 2 may be shorter than the distance (H) shown inFIG. 1 between theplate 40 and the substrate supported on the susceptor 50, in order to obtain more uniform gas distribution. - Now, explanation will be made about the film forming process in the example of the remote plasma CVD apparatus according to the above-mentioned embodiment. In the example of the remote plasma CVD apparatus, the
plate 40 is formed with one hundredperforated holes 41 and has a rectangular shape whose area is 400 mm×500 mm. Eachperforated hole 41 has a cylindrical shape where a diameter is 2 mm and a length is 10 mm. The intervals D1, D2, and D3 between neighboring ones of theperforated holes 41 are 46 mm, 36 mm, 58 mm, respectively, while the distance H between the plate and the substrate supported on the susceptor 50 is 100 mm. - Into the
vacuum chamber 10 kept in vacuum, the oxygen gas is introduced at flow rate of 1 SLM and the pressure of thesubstrate processing region 13, especially, the pressure on the vicinity of the substrate is controlled with the vacuum pump (not shown) to be 30 Pa. In this embodiment, the pressure of theplasma generation region 12 becomes 58 Pa, because of theplate 40. That is, the pressure of theplasma generation region 12 is about twice pressure of thesubstrate processing region 13. - Under the condition, the
antenna 31 is supplied with the high frequency energy from thepower source 30, thereby the oxygen plasma is generated in theplasma generation region 12. The oxygen plasma includes excited species and radicals which are excited oxygen atoms, excited oxygen molecules, oxygen atoms, oxygen molecules, and ozone molecules, in addition to electrons and ions. It is noted here that the plasma density within theplasma generation region 12 is about 108˜1010 cm−3, while the plasma density between theplate 40 and the substrate supported on the susceptor 50 is less than 108 cm−3. Hence, very few electrons and ions practically reach thesubstrate processing region 13 and influence on the film forming. - Gas including the radicals and excited species is supplied through the
perforated holes 41 and diffuses into thesubstrate processing region 13. Independently of the gas including the excited species and radicals, the monosilane gas is also supplied into thesubstrate processing region 13 at flow rate of 5 SCCM. The gas including the radicals and excited species and the monosilane gas react with each other, and result in producing precursors for silicon dioxide (SiO2), for example, SiHx, SiHxOy, SiOy, and so on. The precursors are adhered to the substrate supported on the susceptor 50 and are subjected to oxidation, thermal dissociation and so forth, so that the silicon dioxide film are formed on the substrate. - With the structure, the almost no monosilane gas can flow back into the
plasma generation region 12, because the pressure of theplasma generation region 12 is about twice pressure of thesubstrate processing region 13 as mentioned above. Therefore, the excess formation of hydrogen atoms (H) and/or hydrogen molecules (H2) is also suppressed, namely, the high quality silicon dioxide film can be obtained. - As mentioned above, the plasma density between the
plate 40 and the substrate supported on the susceptor 50 is controlled to be extremely low. The low plasma density results in very low plasma damage on thesubstrate 30, in comparison with the general parallel plate plasma CVD of the conventional configurations. The obvious advantage of the low plasma damage appears on the specific silicon surface which comprise the MOS interface. If the silicon dioxide film is deposited on a single crystal silicon substrate by the use of the general parallel plasma CVD, the density of the MOS interface state becomes 1011˜1012 cm−2eV−1. If the silicon dioxide film is deposited on a single crystal silicon substrate by the use of the remote plasma CVD according to the present invention, the density of the MOS interface state is controlled to be 1010 cm−2eV−1 lower than that of general parallel plasma CVD. - Referring to
FIG. 4 , a modification of the remote plasma CVD apparatus illustrated inFIG. 1 comprises agas inlet 24 and aplanar electrode 33, instead of thegas inlet 21 and theantenna 31 and thedielectric window 32, and further comprises aclosure electrode 60. Theplanar electrode 33 is electrically connected to thepower source 30 and electrically delivers the high frequency energy into theplasma generation region 12. In addition, the illustratedplanar electrode 33 is gas distribution structure, for example, an O2 gas distribution manifold and is connected with thegas inlet 24. Theclosure electrode 60 is formed with a plurality of holes which the radicals and excited species pass through, and is electrically grounded. It is herein desirable that the diameter of the hole of theclosure electrode 60 is substantially equal to or less than “Debye length” of the plasma to be generated in theplasma generation region 12. - Referring to
FIG. 5 , a modification of the remote plasma CVD apparatus illustrated inFIG. 4 comprises theplate 40 made of conductive material, such as metal. Theplate 40 is electrically grounded and serves as a closure electrode. In this modification, each of theperforated holes 41 has the diameter which is substantially equal to or less than “Debye length” of the plasma to be generated in theplasma generation region 12. - It is assumed that the
plate 40 is formed with one hundredperforated holes 41 and has a rectangular shape whose area is 400 mm×500 mm and each perforated holes 41 has a cylindrical shape where a diameter is 2 mm and a length is 10 mm. In addition, it is assumed that the oxygen gas is introduced into thevacuum chamber 10 at flow rate of 1 SLM and if the pressure on the vicinity of the substrate is controlled with the vacuum pump (not shown) to be 30 Pa, resulting in that the pressure of theplasma generation region 12 becomes 58 Pa. Under the pressure conditions, if the high frequency energy of 13.56 MHz is provided at 1 W/cm3, the oxygen plasma has the plasma density of about 108 cm−3 and the electron temperature of about 105 K. In the oxygen plasma, Debye length is about 2 mm, which is substantially equal to the diameter of theperforated hole 41. Theplate 40 with the above structure serves as the closure of the plasma and the prevention plate of the back flow of the monosilane gas. - Referring to
FIGS. 6 through 9 , a remote plasma CVD apparatus according to another embodiment of the present invention comprises the similar structure of the remote plasma CVD apparatus depicted inFIG. 5 except for an injection mechanism of the silane gas. Instead of theplate 40 and theinjector 22, the remote plasma CVD apparatus illustrated inFIG. 6 comprises aplate 42 serving as a SiH4 gas distribution structure. Theplate 42 comprises atop portion 45, abottom portion 46, a plurality oftube walls 47, and a plurality of gas injection holes 43, and defines agas supplier plenum 44. Thegas supplier plenum 44 makes the silane gas uniform in a plain, thereby resulting in uniformity in the distributed silane gas. Thetop portion 45 has a plurality of upper holes, while thebottom portion 46 has a plurality of lower holes. Thetube walls 47 connect between the upper holes and the lower holes, respectively, and form theperforated holes 41 that is separated or isolated from thegas supplier plenum 44. - In order to obtain more uniform SiH4 gas distribution in the
substrate processing region 13, theplate 42 further comprises first andsecond partitions FIGS. 10 through 12 . To disperse the silane gas and obtain more uniform silane gas, thefirst partition 48 and thesecond partition 49 have a plurality ofholes holes 481 is less than that of theholes 491. In detail, the number of theholes 481 illustrated inFIG. 11 is nine, while the number of theholes 491 illustrated inFIG. 12 is twenty five. That is, the ratio of theholes 481 to theholes 491 is nine twenty-fifth. In particular, theholes 481 of this embodiment are formed and concentrated on the center of thefirst partition 48. The silane gas supplied to a first space between thetop portion 45 and thefirst partition 48 diffuses in the first space, passes through theholes 481 and flows into a second space between thefirst partition 48 and thesecond partition 49. The silane gas further spreads within the second space and then is injected into thesubstrate processing region 13, so that the more uniform SiH4 gas distribution in thesubstrate processing region 13 is obtained. The number of the partition is not restricted to two, but may be one or greater than two. - Referring to
FIG. 13 , a remote plasma CVD apparatus according to another embodiment of the present invention comprises the similar structure of the remote plasma CVD apparatus depicted inFIG. 6 except for an exhaust mechanism. The remote plasma CVD apparatus illustrated inFIG. 13 further comprises agas outlet 25 independent of thegas outlet 23, and first and second pressure gauges 71 and 72. Thegas outlet 23 is arranged to communicate with thesubstrate processing region 13, while thegas outlet 25 is arranged to communicate with theplasma generation region 12. In addition, thegas outlet 23 and thegas outlet 25 are connected with first and second exhaust emission control devices or external vacuum pumps (not shown). The first and the second vacuum pumps is for controlling exhaust emissions independently of each other, and may comprise single exhaust emission control device if the exhaust of thegas outlet - With the above structure, the pressure within the
plasma generation region 12 and the pressure within thesubstrate processing region 13 can be controlled independently of each other if the former pressure becomes too high. - As shown in
FIGS. 14 through 16 , similar modifications are applicable to the remote plasma CVD apparatuses illustrated inFIGS. 1 , 4, and 5, respectively. - It is to be understood that the above description is intended to be illustrative and not restrictive. Many embodiments and the combinations thereof will be apparent to those of skill in the art upon reviewing the above description. For example, a monosilane injector according to the above-mentioned embodiments is a ring-shaped injector or a plate as a gas distribution structure, but the present invention is not so limited. Those skilled in the art will recognize other equivalent of alternative injection mechanism, such as a frame-shaped injector, a latticed-pipe injector, and a straight pipe injector. In the above-mentioned embodiment, methods of forming silicon dioxide films are described, but this invention can apply to a method of forming another film, such as a silicon nitride (Si3N4) film or a amorphous silicon (a-Si) film. For example, the former film is made from a monosilane gas and an ammonium hydroxide gas, while the latter film is made from a monosilane gas and a rare gas or a hydrogen gas. In addition, although the induction coupled remote plasma CVD apparatus and the parallel plate remote plasma CVD apparatus are described in the above-mentioned embodiments, this invention can apply to other type of apparatuses, such as a CVD apparatus with a microwave source or an electron cyclotron resonance (ECR) source, or another CVD apparatus handling inductive coupled plasma or helicon wave plasma.
Claims (26)
1. (canceled)
2. A remote plasma apparatus comprising:
a body defining a cavity and having first and second inlets and an inner side wall, the cavity comprising a plasma generation region and a processing region, the first inlet communicating with the plasma generation region to introduce a first gas into the plasma generation region, the second inlet communicating with the processing region to supply a second gas into the processing region;
an energy source arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals;
a plate arranged between the plasma generation region and the processing region with no gap left between the plate and the inner side wall, the plate being formed with a plurality of perforated holes which the radicals pass through, wherein aperture ratio of the perforated holes to the plate is not greater than five percent; and
a substrate supporter arranged within the processing region and adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second inlet.
3. (canceled)
4. A remote plasma apparatus as claimed in claim 2 , wherein:
the plate comprises, to define a gas supplier plenum, a top portion having a plurality of upper holes, a bottom portion having a plurality of lower holes, a plurality of tube walls connecting between the upper holes and the lower holes, respectively, and a plurality of gas injection holes communicating with the processing region;
the tube walls form the perforated holes and separate the gas supplier plenum from insides of the perforated holes, respectively; and
the second inlet is connected to the gas supplier plenum so as to communicate with the processing region through the gas supplier plenum and the gas injection holes.
5-10. (canceled)
11. A remote plasma apparatus comprising:
a body defining a cavity and having first and second inlets, the cavity comprising a plasma generation region and a processing region, the first inlet communicating with the plasma generation region to introduce a first gas into the plasma generation region, the second inlet communicating with the processing region to supply a second gas into the processing region;
an energy source arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals;
a closure electrode arranged within the cavity and defining the plasma generation region in cooperation with the body, the closure electrode being electrically grounded to allow the radicals to pass through the closure electrode;
a plate arranged within the cavity and defining the processing region in cooperation with the body, the plate being formed with a plurality of perforated holes which the radicals pass through, wherein aperture ratio of the perforated holes to the plate is not greater than five percent; and
a substrate supporter arranged within the processing region and adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second inlet.
12. (canceled)
13. A remote plasma apparatus as claimed in claim 11 , wherein:
the plate comprises, to define a gas supplier plenum, a top portion having a plurality of upper holes, a bottom portion having a plurality of lower holes, a plurality of tube walls connecting between the upper holes and the lower holes, respectively, and a plurality of gas injection holes communicating with the processing region;
the tube walls form the perforated holes and separate the gas supplier plenum from insides of the perforated holes, respectively; and
the second inlet is connected to the gas supplier plenum so as to communicate with the processing region through the gas supplier plenum and the gas injection holes.
14. A remote plasma apparatus comprising:
a body defining a cavity and having first and second inlets and an inner side wall, the cavity comprising a plasma generation region and a processing region, the first inlet communicating with the plasma generation region to introduce a first gas into the plasma generation region, the second inlet communicating with the processing region to supply a second gas into the processing region;
an energy source arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals;
a closure electrode arranged within the cavity and defining the plasma generation region in cooperation with the body, the closure electrode being electrically grounded to allow the radicals to pass through the closure electrode;
a plate arranged within the cavity with no gap left between the plate and the inner side wall so as to define the processing region, the plate being formed with a plurality of perforated holes which the radicals pass through, wherein aperture ratio of the perforated holes to the plate is not greater than five percent; and
a substrate supporter arranged within the processing region and adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second inlet.
15. (canceled)
16. A remote plasma apparatus as claimed in claim 14 , wherein:
the plate comprises, to define a gas supplier plenum, a top portion having a plurality of upper holes, a bottom portion having a plurality of lower holes, a plurality of tube walls connecting between the upper holes and the lower holes, respectively, and a plurality of gas injection holes communicating with the processing region;
the tube walls form the perforated holes and separate the gas supplier plenum from insides of the perforated holes, respectively; and
the second inlet is connected to the gas supplier plenum so as to communicate with the processing region through the gas supplier plenum and the gas injection holes.
17-22. (canceled)
23. A remote plasma apparatus comprising:
a body defining a cavity and having first and second inlets, the cavity comprising a plasma generation region and a processing region, the first inlet communicating with the plasma generation region to introduce a first gas into the plasma generation region, the second inlet communicating with the processing region to supply a second gas into the processing region;
an energy source arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals;
a plate arranged between the plasma generation region and the processing region, the plate being electrically grounded, the plate being formed with a plurality of perforated holes which the radicals pass through, wherein aperture ratio of the perforated holes to the plate is not greater than five percent; and
a substrate supporter arranged within the processing region and adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second inlet.
24. (canceled)
25. A remote plasma apparatus as claimed in claim 23 , wherein:
the plate comprises, to define a gas supplier plenum, a top portion having a plurality of upper holes, a bottom portion having a plurality of lower holes, a plurality of tube walls connecting between the upper holes and the lower holes, respectively, and a plurality of gas injection holes communicating with the processing region;
the tube walls form the perforated holes and separate the gas supplier plenum from insides of the perforated holes, respectively; and
the second inlet is connected to the gas supplier plenum so as to communicate with the processing region through the gas supplier plenum and the gas injection holes.
26. A remote plasma apparatus comprising:
a body defining a cavity and having first and second inlets and an inner side wall, the cavity comprising a plasma generation region and a processing region, the first inlet communicating with the plasma generation region to introduce a first gas into the plasma generation region, the second inlet communicating with the processing region to supply a second gas into the processing region;
an energy source arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals;
a plate arranged between the plasma generation region and the processing region with no gap left between the plate and the inner side wall, the plate being electrically grounded, the plate being formed with a plurality of perforated holes which the radicals pass through, wherein aperture ratio of the perforated holes to the plate is not greater than five percent; and
a substrate supporter arranged within the processing region and adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second inlet.
27. (canceled)
28. A remote plasma apparatus as claimed in claim 26 , wherein:
the plate comprises, to define a gas supplier plenum, a top portion having a plurality of upper holes, a bottom portion having a plurality of lower holes, a plurality of tube walls connecting between the upper holes and the lower holes, respectively, and a plurality of gas injection holes communicating with the processing region;
the tube walls form the perforated holes and separate the gas supplier plenum from insides of the perforated holes, respectively; and
the second inlet is connected to the gas supplier plenum so as to communicate with the processing region through the gas supplier plenum and the gas injection holes.
29-34. (canceled)
35. A remote plasma apparatus comprising:
a body defining a cavity and having first and second inlets, the cavity comprising a plasma generation region and a processing region, the first inlet communicating with the plasma generation region to introduce a first gas into the plasma generation region, the second inlet communicating with the processing region to supply a second gas into the processing region;
an energy source arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals;
a plate arranged between the plasma generation region and the processing region, the plate being formed with a plurality of perforated holes which the radicals pass through, wherein aperture ratio of the perforated holes to the plate is not greater than five percent and wherein neighboring ones of the perforated holes have a predetermined interval therebetween; and
a substrate supporter arranged within the processing region and adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second inlet, so that the predetermined interval is shorter than another interval between the plate and the substrate to be processed when the substrate is supported by the substrate supporter.
36. (canceled)
37. A remote plasma apparatus as claimed in claim 35 , wherein:
the plate comprises, to define a gas supplier plenum, a top portion having a plurality of upper holes, a bottom portion having a plurality of lower holes, a plurality of tube walls connecting between the upper holes and the lower holes, respectively, and a plurality of gas injection holes communicating with the processing region;
the tube walls form the perforated holes and separate the gas supplier plenum from insides of the perforated holes, respectively; and
the second inlet is connected to the gas supplier plenum so as to communicate with the processing region through the gas supplier plenum and the gas injection holes.
38. A remote plasma apparatus comprising:
a body defining a cavity and having first and second inlets and an inner side wall, the cavity comprising a plasma generation region and a processing region, the first inlet communicating with the plasma generation region to introduce a first gas into the plasma generation region, the second inlet communicating with the processing region to supply a second gas into the processing region;
an energy source arranged and adapted to apply energy within the plasma generation region to generate, from the first gas, plasma including radicals;
a plate arranged between the plasma generation region and the processing region with no gap left between the plate and the inner side wall, the plate being formed with a plurality of perforated holes which the radicals pass through, wherein aperture ratio of the perforated holes to the plate is not greater than five percent and wherein neighboring ones of the perforated holes have a predetermined interval therebetween; and
a substrate supporter arranged within the processing region and adapted to support a substrate to be processed by using a reaction between the radicals passing through the perforated holes and the second gas supplied through the second inlet, so that the predetermined interval is shorter than another interval between the plate and the substrate to be
39. (canceled)
40. A remote plasma apparatus as claimed in claim 38 , wherein:
the plate comprises, to define a gas supplier plenum, a top portion having a plurality of upper holes, a bottom portion having a plurality of lower holes, a plurality of tube walls connecting between the upper holes and the lower holes, respectively, and a plurality of gas injection holes communicating with the processing region;
the tube walls form the perforated holes and separate the gas supplier plenum from insides of the perforated holes, respectively; and
the second inlet is connected to the gas supplier plenum so as to communicate with the processing region through the gas supplier plenum and the gas injection holes.
41-51. (canceled)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/726,187 US20100170442A1 (en) | 2000-06-29 | 2010-03-17 | Remote plasma apparatus for processing substrate with two types of gases |
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000196619A JP4371543B2 (en) | 2000-06-29 | 2000-06-29 | Remote plasma CVD apparatus and film forming method |
JP196619/2000 | 2000-06-29 | ||
US09/820,149 US6851384B2 (en) | 2000-06-29 | 2001-03-28 | Remote plasma apparatus for processing substrate with two types of gases |
US10/978,150 US7392759B2 (en) | 2000-06-29 | 2004-10-29 | Remote plasma apparatus for processing substrate with two types of gases |
US11/620,518 US7709063B2 (en) | 2000-06-29 | 2007-01-05 | Remote plasma apparatus for processing substrate with two types of gases |
US12/726,187 US20100170442A1 (en) | 2000-06-29 | 2010-03-17 | Remote plasma apparatus for processing substrate with two types of gases |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/620,518 Division US7709063B2 (en) | 2000-06-29 | 2007-01-05 | Remote plasma apparatus for processing substrate with two types of gases |
Publications (1)
Publication Number | Publication Date |
---|---|
US20100170442A1 true US20100170442A1 (en) | 2010-07-08 |
Family
ID=18695079
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/820,149 Expired - Lifetime US6851384B2 (en) | 2000-06-29 | 2001-03-28 | Remote plasma apparatus for processing substrate with two types of gases |
US10/978,150 Expired - Fee Related US7392759B2 (en) | 2000-06-29 | 2004-10-29 | Remote plasma apparatus for processing substrate with two types of gases |
US11/620,518 Expired - Fee Related US7709063B2 (en) | 2000-06-29 | 2007-01-05 | Remote plasma apparatus for processing substrate with two types of gases |
US12/726,187 Abandoned US20100170442A1 (en) | 2000-06-29 | 2010-03-17 | Remote plasma apparatus for processing substrate with two types of gases |
Family Applications Before (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/820,149 Expired - Lifetime US6851384B2 (en) | 2000-06-29 | 2001-03-28 | Remote plasma apparatus for processing substrate with two types of gases |
US10/978,150 Expired - Fee Related US7392759B2 (en) | 2000-06-29 | 2004-10-29 | Remote plasma apparatus for processing substrate with two types of gases |
US11/620,518 Expired - Fee Related US7709063B2 (en) | 2000-06-29 | 2007-01-05 | Remote plasma apparatus for processing substrate with two types of gases |
Country Status (2)
Country | Link |
---|---|
US (4) | US6851384B2 (en) |
JP (1) | JP4371543B2 (en) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102746524A (en) * | 2011-04-22 | 2012-10-24 | 苏州市奥普斯等离子体科技有限公司 | Material surface low temperature plasma modification method and apparatus |
US9105581B2 (en) | 2013-12-04 | 2015-08-11 | Samsung Electronics Co., Ltd. | Method of processing a substrate and apparatus for performing the same |
Families Citing this family (553)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4371543B2 (en) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | Remote plasma CVD apparatus and film forming method |
JP3837718B2 (en) * | 2002-03-12 | 2006-10-25 | キヤノンアネルバ株式会社 | CVD apparatus and method for performing a post-treatment step after film formation in the CVD apparatus |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US6821347B2 (en) * | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US7053630B2 (en) * | 2002-07-08 | 2006-05-30 | Saab Rosemount Tank Radar Ab | Level gauging system |
US6955725B2 (en) * | 2002-08-15 | 2005-10-18 | Micron Technology, Inc. | Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces |
JP4051619B2 (en) * | 2002-09-17 | 2008-02-27 | キヤノンアネルバ株式会社 | Silicon oxide film fabrication method |
US20070051471A1 (en) * | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
AU2003284598A1 (en) * | 2002-11-20 | 2004-06-15 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
KR100883696B1 (en) * | 2002-11-20 | 2009-02-13 | 도쿄엘렉트론가부시키가이샤 | Plasma processing apparatus |
US6926775B2 (en) * | 2003-02-11 | 2005-08-09 | Micron Technology, Inc. | Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces |
US7335396B2 (en) * | 2003-04-24 | 2008-02-26 | Micron Technology, Inc. | Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers |
WO2004107419A1 (en) * | 2003-05-30 | 2004-12-09 | Showa Denko K.K. | Method for production of group iii nitride semiconductor device |
JPWO2004111297A1 (en) * | 2003-06-10 | 2006-07-20 | 東京エレクトロン株式会社 | Process gas supply mechanism, film forming apparatus, and film forming method |
JP4342853B2 (en) | 2003-07-01 | 2009-10-14 | 独立行政法人科学技術振興機構 | Method of growing nitride thin film on substrate and nitride thin film device |
US7235138B2 (en) * | 2003-08-21 | 2007-06-26 | Micron Technology, Inc. | Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces |
US7344755B2 (en) * | 2003-08-21 | 2008-03-18 | Micron Technology, Inc. | Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers |
US7422635B2 (en) * | 2003-08-28 | 2008-09-09 | Micron Technology, Inc. | Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces |
JP2005089823A (en) * | 2003-09-17 | 2005-04-07 | Seiji Sagawa | Film-forming apparatus and film-forming method |
US7056806B2 (en) * | 2003-09-17 | 2006-06-06 | Micron Technology, Inc. | Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces |
US7581511B2 (en) * | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US7258892B2 (en) * | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US20050249873A1 (en) * | 2004-05-05 | 2005-11-10 | Demetrius Sarigiannis | Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices |
US8133554B2 (en) * | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US7699932B2 (en) * | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
US8349128B2 (en) * | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
JP4570659B2 (en) * | 2004-08-04 | 2010-10-27 | インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ | Remote plasma atomic layer deposition apparatus and method using DC bias |
JP4633425B2 (en) * | 2004-09-17 | 2011-02-16 | 東京エレクトロン株式会社 | Plasma processing apparatus and plasma processing method |
US7396431B2 (en) * | 2004-09-30 | 2008-07-08 | Tokyo Electron Limited | Plasma processing system for treating a substrate |
JP2006114614A (en) * | 2004-10-13 | 2006-04-27 | Canon Anelva Corp | Apparatus and method of plasma processing |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7202176B1 (en) * | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US20060165873A1 (en) * | 2005-01-25 | 2006-07-27 | Micron Technology, Inc. | Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes |
US20060185591A1 (en) * | 2005-02-18 | 2006-08-24 | General Electric Company | High temperature chemical vapor deposition apparatus |
US20060185590A1 (en) * | 2005-02-18 | 2006-08-24 | General Electric Company | High temperature chemical vapor deposition apparatus |
JP2006261434A (en) * | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | Method for forming silicon oxide film |
US8821683B2 (en) * | 2005-04-28 | 2014-09-02 | Tokyo Electron Limited | Substrate processing apparatus and method, and program and storage medium |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
JP2007088199A (en) * | 2005-09-22 | 2007-04-05 | Canon Inc | Processing equipment |
DE102005049266B4 (en) * | 2005-10-14 | 2007-12-06 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Apparatus and method for the plasma treatment of objects |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7790634B2 (en) * | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US7902080B2 (en) * | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US20070277734A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7825038B2 (en) * | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US8232176B2 (en) * | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US20080113107A1 (en) * | 2006-11-09 | 2008-05-15 | Stowell Michael W | System and method for containment shielding during pecvd deposition processes |
JP5074741B2 (en) * | 2006-11-10 | 2012-11-14 | 株式会社日立ハイテクノロジーズ | Vacuum processing equipment |
WO2008096700A1 (en) | 2007-02-09 | 2008-08-14 | Canon Anelva Corporation | Oxidation method and oxidation apparatus |
US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
US20090000738A1 (en) * | 2007-06-29 | 2009-01-01 | Neil Benjamin | Arrays of inductive elements for minimizing radial non-uniformity in plasma |
US9105449B2 (en) * | 2007-06-29 | 2015-08-11 | Lam Research Corporation | Distributed power arrangements for localizing power delivery |
US8528498B2 (en) * | 2007-06-29 | 2013-09-10 | Lam Research Corporation | Integrated steerability array arrangement for minimizing non-uniformity |
US7745352B2 (en) * | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
CN101765902B (en) * | 2007-08-31 | 2011-09-21 | 东芝三菱电机产业系统株式会社 | Apparatus for generating dielectric barrier discharge gas |
US20090075490A1 (en) * | 2007-09-18 | 2009-03-19 | L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon-containing films |
US7943531B2 (en) * | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7803722B2 (en) * | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US20090120584A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Counter-balanced substrate support |
US20090120368A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Rotating temperature controlled substrate pedestal for film uniformity |
JP2009177088A (en) * | 2008-01-28 | 2009-08-06 | Tokyo Electron Ltd | Wafer processing apparatus |
KR100999583B1 (en) * | 2008-02-22 | 2010-12-08 | 주식회사 유진테크 | Apparatus and method for processing substrate |
KR100963287B1 (en) * | 2008-02-22 | 2010-06-11 | 주식회사 유진테크 | Apparatus and method for processing substrate |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20090325391A1 (en) * | 2008-06-30 | 2009-12-31 | Asm International Nv | Ozone and teos process for silicon oxide deposition |
US20100081293A1 (en) * | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
KR101063763B1 (en) * | 2009-01-22 | 2011-09-08 | 서울대학교산학협력단 | Plasma generation system |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
JP5517509B2 (en) * | 2009-07-08 | 2014-06-11 | 三菱重工業株式会社 | Vacuum processing equipment |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US7935643B2 (en) * | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US7989365B2 (en) * | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
US8449942B2 (en) * | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US9111729B2 (en) * | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
WO2011072061A2 (en) * | 2009-12-11 | 2011-06-16 | Novellus Systems, Inc. | Enhanced passivation process to protect silicon prior to high dose implant strip |
US20110143548A1 (en) * | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US20110159213A1 (en) * | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
CN102687252A (en) | 2009-12-30 | 2012-09-19 | 应用材料公司 | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) * | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
JP2013517616A (en) | 2010-01-06 | 2013-05-16 | アプライド マテリアルズ インコーポレイテッド | Flowable dielectrics using oxide liners |
SG182333A1 (en) | 2010-01-07 | 2012-08-30 | Applied Materials Inc | In-situ ozone cure for radical-component cvd |
US20110177694A1 (en) * | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US9190289B2 (en) * | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
CN102844848A (en) * | 2010-03-05 | 2012-12-26 | 应用材料公司 | Conformal layers by radical-component cvd |
US8236708B2 (en) | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US8709551B2 (en) * | 2010-03-25 | 2014-04-29 | Novellus Systems, Inc. | Smooth silicon-containing films |
US8741394B2 (en) * | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US9028924B2 (en) | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
CN102934203B (en) * | 2010-04-28 | 2015-09-23 | 应用材料公司 | For the process chamber chamber cap design with built-in plasma source of short-life-cycle species |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
JP5735232B2 (en) * | 2010-08-02 | 2015-06-17 | 株式会社イー・エム・ディー | Plasma processing equipment |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9449793B2 (en) | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
US9967965B2 (en) | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8471476B2 (en) | 2010-10-08 | 2013-06-25 | Varian Semiconductor Equipment Associates, Inc. | Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
GB201021870D0 (en) * | 2010-12-23 | 2011-02-02 | Element Six Ltd | A microwave plasma reactor for manufacturing synthetic diamond material |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
KR101893471B1 (en) * | 2011-02-15 | 2018-08-30 | 어플라이드 머티어리얼스, 인코포레이티드 | Method and apparatus for multizone plasma generation |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
KR101279353B1 (en) * | 2011-03-10 | 2013-07-04 | (주)제이하라 | Plasma Generating Apparatus |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
TW201239130A (en) * | 2011-03-16 | 2012-10-01 | I-Nan Lin | Microwave plasma system |
US20120258607A1 (en) * | 2011-04-11 | 2012-10-11 | Lam Research Corporation | E-Beam Enhanced Decoupled Source for Semiconductor Processing |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
JP5694543B2 (en) | 2011-09-08 | 2015-04-01 | 東芝三菱電機産業システム株式会社 | Plasma generator, CVD apparatus, and plasma processing particle generator |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
JP5803714B2 (en) * | 2012-02-09 | 2015-11-04 | 東京エレクトロン株式会社 | Deposition equipment |
US9165788B2 (en) | 2012-04-06 | 2015-10-20 | Novellus Systems, Inc. | Post-deposition soft annealing |
US20130284093A1 (en) * | 2012-04-30 | 2013-10-31 | Semes Co., Ltd. | Substrate treating apparatus |
US9117668B2 (en) | 2012-05-23 | 2015-08-25 | Novellus Systems, Inc. | PECVD deposition of smooth silicon films |
KR101495288B1 (en) * | 2012-06-04 | 2015-02-24 | 피에스케이 주식회사 | An apparatus and a method for treating a substrate |
US10211310B2 (en) | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9388491B2 (en) | 2012-07-23 | 2016-07-12 | Novellus Systems, Inc. | Method for deposition of conformal films with catalysis assisted low temperature CVD |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
JP6172660B2 (en) * | 2012-08-23 | 2017-08-02 | 東京エレクトロン株式会社 | Film forming apparatus and method for forming low dielectric constant film |
TWI467625B (en) * | 2012-08-30 | 2015-01-01 | Univ Chang Gung | The plasma processing device |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8969212B2 (en) * | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US10020187B2 (en) * | 2012-11-26 | 2018-07-10 | Applied Materials, Inc. | Apparatus and methods for backside passivation |
US8980763B2 (en) * | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) * | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10316409B2 (en) * | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
JP5432395B1 (en) | 2013-02-28 | 2014-03-05 | 三井造船株式会社 | Film forming apparatus and film forming method |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US8895415B1 (en) | 2013-05-31 | 2014-11-25 | Novellus Systems, Inc. | Tensile stressed doped amorphous silicon |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
KR102053350B1 (en) * | 2013-06-13 | 2019-12-06 | 삼성전자주식회사 | Method of Semiconductor Device Having a low-k dielectric |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9371579B2 (en) * | 2013-10-24 | 2016-06-21 | Lam Research Corporation | Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US20150167160A1 (en) * | 2013-12-16 | 2015-06-18 | Applied Materials, Inc. | Enabling radical-based deposition of dielectric films |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) * | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
DE102014118704A1 (en) * | 2014-01-10 | 2015-07-16 | Aixtron Se | Gas inlet member of a CVD reactor with weight-reduced gas outlet plate |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
CN103806093B (en) * | 2014-02-17 | 2017-01-18 | 清华大学 | Epitaxial growth device and method for ICP (inductively coupled plasma) based compound semiconductor |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9741575B2 (en) * | 2014-03-10 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | CVD apparatus with gas delivery ring |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
CN103938272A (en) * | 2014-04-03 | 2014-07-23 | 清华大学 | Plasma assisted epitaxial growth device and method |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
WO2016002591A1 (en) * | 2014-07-03 | 2016-01-07 | 東京エレクトロン株式会社 | Film formation device |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US9564359B2 (en) * | 2014-07-17 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive structure and method of forming the same |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US10672616B2 (en) * | 2014-12-25 | 2020-06-02 | Tokyo Electon Limited | Plasma processing apparatus and plasma processing method |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9570289B2 (en) * | 2015-03-06 | 2017-02-14 | Lam Research Corporation | Method and apparatus to minimize seam effect during TEOS oxide film deposition |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9478399B2 (en) * | 2015-03-27 | 2016-10-25 | Varian Semiconductor Equipment Associates, Inc. | Multi-aperture extraction system for angled ion beam |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
JP6624833B2 (en) * | 2015-07-31 | 2019-12-25 | 東京エレクトロン株式会社 | Microwave plasma source and plasma processing apparatus |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10519545B2 (en) * | 2016-05-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (en) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US20180230597A1 (en) * | 2017-02-14 | 2018-08-16 | Applied Materials, Inc. | Method and apparatus of remote plasmas flowable cvd chamber |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US11469079B2 (en) * | 2017-03-14 | 2022-10-11 | Lam Research Corporation | Ultrahigh selective nitride etch to form FinFET devices |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
KR102455239B1 (en) * | 2017-10-23 | 2022-10-18 | 삼성전자주식회사 | apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI791689B (en) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | Apparatus including a clean mini environment |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
US11015247B2 (en) | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (en) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
CN111630203A (en) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | Method for depositing gap filling layer by plasma auxiliary deposition |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (en) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (en) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR20190128558A (en) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
TW202349473A (en) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
CN112292478A (en) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20200002519A (en) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (en) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (en) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | Substrate holding apparatus, system including the same, and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11848199B2 (en) | 2018-10-19 | 2023-12-19 | Lam Research Corporation | Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (en) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming device structure using selective deposition of gallium nitride, and system for the same |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR20200091543A (en) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
TW202104632A (en) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
TW202044325A (en) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus |
TW202100794A (en) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
KR20200116033A (en) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP2021015791A (en) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | Plasma device and substrate processing method using coaxial waveguide |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (en) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | Method of forming topologically controlled amorphous carbon polymer films |
TW202113936A (en) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (en) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
CN110499497B (en) * | 2019-08-26 | 2021-09-21 | 南方科技大学 | Preparation method of titanium dioxide nano film and titanium dioxide nano film |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TW202129060A (en) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | Substrate processing device, and substrate processing method |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
KR20210045930A (en) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of Topology-Selective Film Formation of Silicon Oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US20210134592A1 (en) * | 2019-11-01 | 2021-05-06 | Applied Materials, Inc. | Surface encasing material layer |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
KR20210061846A (en) * | 2019-11-20 | 2021-05-28 | 삼성전자주식회사 | Substrate processing apparatus and semiconductor device manufacturing method using the same |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP2021090042A (en) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (en) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate and related semiconductor structures |
KR20210095050A (en) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (en) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for growing phosphorous-doped silicon layer and system of the same |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210117157A (en) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | Method for Fabricating Layer Structure Having Target Topological Profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132605A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Vertical batch furnace assembly comprising a cooling gas supply |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
CN113555279A (en) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | Method of forming vanadium nitride-containing layers and structures including the same |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR20220010438A (en) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
CN112163392B (en) * | 2020-08-24 | 2023-05-19 | 中国电子科技集团公司第二十九研究所 | Automatic generation method, medium and equipment for packaging substrate processing file |
TW202212623A (en) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
KR20220076343A (en) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | an injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP2023057215A (en) * | 2021-10-11 | 2023-04-21 | 国立研究開発法人産業技術総合研究所 | Method and apparatus for producing nitrogen compound |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4867859A (en) * | 1986-08-06 | 1989-09-19 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for forming a thin film |
US5015331A (en) * | 1988-08-30 | 1991-05-14 | Matrix Integrated Systems | Method of plasma etching with parallel plate reactor having a grid |
US5304250A (en) * | 1991-07-11 | 1994-04-19 | Sony Corporation | Plasma system comprising hollow mesh plate electrode |
US5433787A (en) * | 1991-12-12 | 1995-07-18 | Canon Kabushiki Kaisha | Apparatus for forming deposited film including light transmissive diffusion plate |
US5472508A (en) * | 1991-08-09 | 1995-12-05 | Saxena; Arjun N. | Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates |
US5487787A (en) * | 1991-05-10 | 1996-01-30 | Celestech, Inc. | Apparatus and method for plasma deposition |
US5518572A (en) * | 1991-06-10 | 1996-05-21 | Kawasaki Steel Corporation | Plasma processing system and method |
US5525159A (en) * | 1993-12-17 | 1996-06-11 | Tokyo Electron Limited | Plasma process apparatus |
US5938334A (en) * | 1996-10-15 | 1999-08-17 | Currency Systems International, Inc. | Methods of measuring currency limpness |
US6026762A (en) * | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
US6039834A (en) * | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6383299B1 (en) * | 1997-05-21 | 2002-05-07 | Nec Corporation | Silicon oxide film, method of forming the silicon oxide film, and apparatus for depositing the silicon oxide film |
US6851384B2 (en) * | 2000-06-29 | 2005-02-08 | Nec Corporation | Remote plasma apparatus for processing substrate with two types of gases |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2601127B2 (en) | 1993-03-04 | 1997-04-16 | 日新電機株式会社 | Plasma CVD equipment |
JPH0845858A (en) | 1994-07-27 | 1996-02-16 | Sony Corp | Plasma treatment system |
JP3353514B2 (en) | 1994-12-09 | 2002-12-03 | ソニー株式会社 | Plasma processing apparatus, plasma processing method, and method for manufacturing semiconductor device |
JPH08194942A (en) | 1995-01-17 | 1996-07-30 | Matsushita Electric Ind Co Ltd | Plasma cvd filming method and magnetic recording medium produced thereby |
US5935334A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
JP3161394B2 (en) * | 1997-12-03 | 2001-04-25 | 日本電気株式会社 | Plasma CVD equipment |
-
2000
- 2000-06-29 JP JP2000196619A patent/JP4371543B2/en not_active Expired - Lifetime
-
2001
- 2001-03-28 US US09/820,149 patent/US6851384B2/en not_active Expired - Lifetime
-
2004
- 2004-10-29 US US10/978,150 patent/US7392759B2/en not_active Expired - Fee Related
-
2007
- 2007-01-05 US US11/620,518 patent/US7709063B2/en not_active Expired - Fee Related
-
2010
- 2010-03-17 US US12/726,187 patent/US20100170442A1/en not_active Abandoned
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4867859A (en) * | 1986-08-06 | 1989-09-19 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for forming a thin film |
US5015331A (en) * | 1988-08-30 | 1991-05-14 | Matrix Integrated Systems | Method of plasma etching with parallel plate reactor having a grid |
US5487787A (en) * | 1991-05-10 | 1996-01-30 | Celestech, Inc. | Apparatus and method for plasma deposition |
US5518572A (en) * | 1991-06-10 | 1996-05-21 | Kawasaki Steel Corporation | Plasma processing system and method |
US5304250A (en) * | 1991-07-11 | 1994-04-19 | Sony Corporation | Plasma system comprising hollow mesh plate electrode |
US5472508A (en) * | 1991-08-09 | 1995-12-05 | Saxena; Arjun N. | Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates |
US5433787A (en) * | 1991-12-12 | 1995-07-18 | Canon Kabushiki Kaisha | Apparatus for forming deposited film including light transmissive diffusion plate |
US5525159A (en) * | 1993-12-17 | 1996-06-11 | Tokyo Electron Limited | Plasma process apparatus |
US5938334A (en) * | 1996-10-15 | 1999-08-17 | Currency Systems International, Inc. | Methods of measuring currency limpness |
US6039834A (en) * | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6026762A (en) * | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
US6383299B1 (en) * | 1997-05-21 | 2002-05-07 | Nec Corporation | Silicon oxide film, method of forming the silicon oxide film, and apparatus for depositing the silicon oxide film |
US6851384B2 (en) * | 2000-06-29 | 2005-02-08 | Nec Corporation | Remote plasma apparatus for processing substrate with two types of gases |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102746524A (en) * | 2011-04-22 | 2012-10-24 | 苏州市奥普斯等离子体科技有限公司 | Material surface low temperature plasma modification method and apparatus |
US9105581B2 (en) | 2013-12-04 | 2015-08-11 | Samsung Electronics Co., Ltd. | Method of processing a substrate and apparatus for performing the same |
Also Published As
Publication number | Publication date |
---|---|
US20020000202A1 (en) | 2002-01-03 |
JP2002016056A (en) | 2002-01-18 |
US7709063B2 (en) | 2010-05-04 |
JP4371543B2 (en) | 2009-11-25 |
US20070110918A1 (en) | 2007-05-17 |
US20050087140A1 (en) | 2005-04-28 |
US6851384B2 (en) | 2005-02-08 |
US7392759B2 (en) | 2008-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6851384B2 (en) | Remote plasma apparatus for processing substrate with two types of gases | |
US6383954B1 (en) | Process gas distribution for forming stable fluorine-doped silicate glass and other films | |
US6835919B2 (en) | Inductively coupled plasma system | |
US6663715B1 (en) | Plasma CVD apparatus for large area CVD film | |
JP4382265B2 (en) | Method and apparatus for forming silicon oxide film | |
US20060096540A1 (en) | Apparatus to manufacture semiconductor | |
US20150053346A1 (en) | Plasma processing apparatus and plasma processing method | |
JP2001164371A (en) | Plasma cvd system and plasma cvd film deposition method | |
US6887341B2 (en) | Plasma processing apparatus for spatial control of dissociation and ionization | |
US20070221129A1 (en) | Apparatus for depositing atomic layer using gas separation type showerhead | |
KR20050044248A (en) | Surface wave excitation plasma cvd system | |
US6664202B2 (en) | Mixed frequency high temperature nitride CVD process | |
JP3682178B2 (en) | Plasma processing method and plasma processing apparatus | |
JPH0766186A (en) | Anisotropic depositing method of dielectric | |
JP2001102367A (en) | Removal of film by use of remote plasma source | |
KR19990088375A (en) | Thin film forming apparatus | |
EP0469791A1 (en) | Soluble oxides for integrated circuits | |
KR20130139651A (en) | Manufacturing method for thin film and substrate process apparatus | |
JP2001189308A (en) | Device and method for plasma treatment | |
JP2022525438A (en) | Higher plasma density in the processing chamber | |
JP2630089B2 (en) | Microwave plasma processing equipment | |
JPH08222554A (en) | Film deposition and film deposition system using plasma | |
JP2020017569A (en) | Etching method and etching apparatus | |
KR20240003033A (en) | Thin film deposition | |
KR100835838B1 (en) | Thin film deposition system and method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |