US20100221895A1 - Surface treatment apparatus and surface treatment method - Google Patents

Surface treatment apparatus and surface treatment method Download PDF

Info

Publication number
US20100221895A1
US20100221895A1 US12/764,242 US76424210A US2010221895A1 US 20100221895 A1 US20100221895 A1 US 20100221895A1 US 76424210 A US76424210 A US 76424210A US 2010221895 A1 US2010221895 A1 US 2010221895A1
Authority
US
United States
Prior art keywords
plasma
substrate
treatment
chamber
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/764,242
Inventor
Takuya Seino
Manabu Ikemoto
Kimiko Mashimo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Canon Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp filed Critical Canon Anelva Corp
Assigned to CANON ANELVA CORPORATION reassignment CANON ANELVA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: IKEMOTO, MANABU, MASHIMO, KIMIKO, SEINO, TAKUYA
Publication of US20100221895A1 publication Critical patent/US20100221895A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation

Definitions

  • the present invention relates to an apparatus and a method of manufacturing a semiconductor device, including the treatment of surface of group IV semiconductor.
  • semiconductor Si substrate is subjected to wet-cleaning.
  • the wet-cleaning has, however, problems of failing to completely remove water-marks in dry state, failing to control etching of very thin oxide film, requiring large apparatus, and the like.
  • problems of forming native oxide film on the surface thereof and adsorbing carbon atoms thereon to inhibit film-forming of Si single crystal, generating irregular profile of film, generating impurity level at the interface of gate insulation film, and the like are problems of forming native oxide film on the surface thereof and adsorbing carbon atoms thereon to inhibit film-forming of Si single crystal, generating irregular profile of film, generating impurity level at the interface of gate insulation film, and the like.
  • the disclosed method presumably cuts also the Si—Si bond on the surface of the semiconductor substrate.
  • problems arise such that oxide film is immediately formed on the Si-absent portion, that contaminants likely adhere to the dangling bond of Si, and that the sputtered oxide and contaminants adhere again to the side wall of the substrate.
  • These problems adversely affect the succeeding step, (such as inhibition of epitaxial growth and formation of highly resistant portion on the silicide interface).
  • damages on the device are also the problem.
  • Japanese Patent Laid-Open No. 2004-63521 describes that, after removing the silicon oxide film from the surface of the substrate using a plasmatized F 2 gas, the hydrogen radicals are irradiated to remove the F component adhered to the surface of the substrate.
  • Japanese Patent Laid-Open No. 04-96226 describes that, after removing the Si native oxide film from the surface of the substrate using F 2 gas, the radicalized hydrogen is irradiated to the substrate to terminate the bonding operation by the hydrogen. Since, however, the plasmatized F 2 gas contains not only the radicalized fluorine gas but also ionized fluorine gas, there arises a problem of irregular surface on removing the silicon oxide film from the surface of the substrate. In addition, there may occur also the removal of a portion of substrate not only the removal of silicon oxide film on the surface thereof.
  • Japanese Patent Laid-Open No. 2001-102311 describes that a cleaning gas such as fluorine is supplied to a plasma-forming part having a plasma-forming chamber which is separated by a plate having feed holes for a film-forming chamber where the substrate is placed, thus generating radicals by generating plasma in the plasma-forming part, and the fluorine radicals are fed to a film-forming space containing the substrate via the feed holes, thereby irradiating the radicals to the substrate to clean the substrate. Since, however, the surface of the semiconductor substrate cannot be exposed to the atmosphere where the excitation energy of radicals is suppressed, highly selective Si etching cannot be performed, which raises a problem of failing to remove the native oxide film without deteriorating the surface roughness.
  • a cleaning gas such as fluorine is supplied to a plasma-forming part having a plasma-forming chamber which is separated by a plate having feed holes for a film-forming chamber where the substrate is placed, thus generating radicals by generating plasma in the plasma-forming part, and the fluor
  • Japanese Patent Laid-Open No. 2002-217169 discloses an apparatus for conducting entire cleaning step in a vacuum to remove foreign matter applying simultaneously a physical action of friction stress generated by a high velocity gas flow. According to the disclosure, adsorption of impurities and generation of native oxide during vacuum transfer are suppressed, thus improving the production efficiency.
  • the transfer in atmospheric air is required before the substrate arrives at the next film-forming step.
  • substances in air adsorb onto the surface of the substrate, and native oxide film and impurities such as carbon atoms are left behind on the interface, which raises a problem of deterioration of device characteristics.
  • the substrate treatment is conducted in a vacuum not to leave the native oxide film and the impurities such as carbon atoms on the interface, the flatness of the substrate surface is deteriorated, though the native oxide film and the impurities such as organic matter and carbon on the substrate surface can be removed. Furthermore, poor flatness of the substrate surface raises a problem of deteriorating the characteristics of manufactured device.
  • the present invention is made to solve the above problems. According to the investigations of the inventors of the present invention, radicals generated by plasma are fed to the treatment chamber via a plurality of holes formed on a partition plate which separates the plasma-forming chamber from the treatment chamber, the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, thus suppressing the excitation energy of the radicals to thereby enable the substrate surface treatment at high Si-selectivity, and thus it is found out that the surface treatment becomes available which removes native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • the present invention provides a method of cleaning a substrate comprising the steps of: placing a substrate in a treatment chamber; turning a plasma-forming gas; feeding a radical in the plasma to the treatment chamber via a radical-passing hole of a plasma-confinement electrode plate for plasma separation; feeding a treatment gas to the treatment chamber to mix it with the radical in the treatment chamber; and cleaning the surface of the substrate by the mixed atmosphere of the radical and the treatment gas.
  • the present invention provides a method of cleaning a substrate, wherein the surface of the substrate is a group IV semiconductor material, and the plasma-forming gas and the treatment gas contain HF, respectively.
  • the present invention provides a method of cleaning a substrate, wherein the plasma-confinement electrode plate for plasma separation has a plurality of radical feed holes for feeding the radical in the plasma to the treatment chamber and a plurality of treatment gas feed holes for feeding the treatment gas into the treatment chamber, and thus the radical and the treatment gas are discharged toward the surface of the substrate in the treatment chamber via the respective feed holes.
  • the present invention provides a method of manufacturing a semiconductor device comprising the steps of: cleaning the surface of a group IV semiconductor substrate in a cleaning chamber in accordance with the above method; transferring the cleaned substrate from the cleaning chamber to an epitaxial chamber via a transfer chamber without exposing the substrate to atmospheric air; and epitaxially growing an epitaxial single crystal layer on the surface of the substrate in the epitaxial chamber.
  • the present invention provides a method of manufacturing a semiconductor device comprising the steps of: transferring a substrate having an epitaxial layer manufactured in accordance with the above method from the epitaxial chamber to a sputtering chamber via a transfer chamber without exposing the substrate to atmospheric air; sputtering a dielectric film onto the epitaxial layer in the sputtering chamber; transferring the substrate having the dielectric film thereon from the sputtering chamber to an oxidation-nitrification chamber via a transfer chamber without exposing the substrate to atmospheric air; and conducting oxidation, nitrification, or oxynitrification of the dielectric film in the oxidation-nitrification chamber.
  • the present invention provides a method of manufacturing a semiconductor device according to above method, wherein the dielectric film is made of the one selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si, and Ge, or an alloy thereof.
  • the present invention provides a method of cleaning a substrate according to above method, wherein turning the plasma-forming gas into plasma is done by applying a high frequency power thereto, and the density of the high frequency power is in a range from 0.001 to 0.25 W/cm 2 , preferably from 0.001 to 0.125 W/cm 2 , and more preferably from 0.001 to 0.025 W/cm 2 .
  • the present invention provides a substrate treatment apparatus of plasma-separation type generating a radical by forming plasma from a plasma-forming gas in a vacuum chamber, and conducting substrate treatment by the radical and a treatment gas
  • the substrate treatment apparatus comprising: a plasma-forming chamber for turning the plasma-forming gas fed therein into plasma; a treatment chamber containing a substrate holder on which a substrate to be treated is placed; and a plasma-confinement electrode plate for plasma separation having a plurality of radical-passing holes formed between the plasma-forming chamber and the treatment chamber, the plasma-confinement electrode plate of a hollow structure having a plurality of treatment gas feed holes opened toward the treatment chamber formed, and having a gas-feed pipe for supplying the treatment gas disposed, wherein: a plasma-forming space inside the plasma-forming chamber contains a high-frequency applying electrode for generating plasma by a power supplied from a high-frequency power source; the high-frequency applying electrode has a plurality of through-holes penetrating therethrough; the high-frequency applying electrode
  • the present invention provides a substrate treatment apparatus according to above apparatus, wherein, in the substrate treatment chamber, the volume ratio V 2 /V 1 is in a range from 0.01 to 0.8, where V 2 is the total volume of the plurality of through-holes of the electrode, and V 1 is the total volume of the electrode including the through-holes.
  • the present invention provides a substrate treatment apparatus according to above apparatus, wherein the density of the high frequency power applied to the high frequency-applying electrode is in a range from 0.001 to 0.25 W/cm 2 , preferably from 0.001 to 0.125 W/cm 2 , and more preferably from 0.001 to 0.025 W/cm 2 .
  • the present invention provides a substrate treatment apparatus according to above substrate, wherein the plasma-forming gas fed to the plasma-forming chamber is a gas containing HF, and the gas fed to the treatment chamber is a gas containing HF.
  • the present invention provides an apparatus of manufacturing semiconductor device comprising: a substrate cleaning chamber including the above substrate treatment apparatus; an epitaxial growth chamber forming an epitaxial layer on the substrate; and a transfer chamber transferring the substrate coming from the substrate cleaning chamber to the epitaxial growth chamber without exposing the substrate to atmospheric air.
  • the present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising a sputtering chamber forming a dielectric film, thus allowing transferring the substrate coming from the cleaning chamber or the epitaxial growth chamber to the sputtering chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • the present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising an oxidation-nitrification chamber for oxidation, nitrification, or oxynitrification of the dielectric film, thus allowing transferring the substrate coming from the cleaning chamber, the epitaxial growth chamber, or the sputtering chamber to the oxidation-nitrification chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • the present invention performs substrate treatment which can decrease the native oxide film and organic impurities on the surface of semiconductor substrate compared with the wet-cleaning in the related art, and can remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • HF gas or a mixed gas containing at least HF is used as the plasma-forming gas and the treatment gas, and radicals are fed from the plasma-forming chamber to the treatment chamber, while feeding simultaneously gas molecules containing HF as the structural element thereto, thus exposing the surface of semiconductor substrate to the above atmosphere which suppresses the excitation energy of the radicals, to thereby remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface. There generates no metal contamination and plasma damage on the semiconductor substrate.
  • the present invention performs the substrate treatment in only one step, which attains desired effect efficiently, reduces cost, and significantly improves the treatment speed. Furthermore, use of a shower plate to the plasma-forming gas allows uniform feeding of the product gas, use of through-holes on the electrode part allows discharge even at a low power, and use of a plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes allows radicals in the produced plasma to be fed uniformly to the treatment chamber. Actualizing the surface treatment to give fine surface roughness at an order of atomic layer thickness allows forming single crystal Si and SiGe films on the surface.
  • the amount of impurities at the interface is smaller than that appears in the atmospheric transfer, and thus good device characteristics are attained.
  • the amount of impurities on the joint interface between the semiconductor and the insulation film becomes smaller than that in atmospheric transfer, which provides the interface state density and the fixed charge density in film equivalent to those of oxide film attained in the related art, gives a C-V curve with small hysteresis, gives a small leak current, and thereby attains good device characteristics.
  • FIG. 1 is a schematic diagram of a configuration example of a film-forming apparatus used in the present invention.
  • FIG. 2 is a schematic diagram of a controller installed in the apparatus used in the present invention.
  • FIG. 3 is a schematic diagram of a configuration example of a surface treatment apparatus used in the present invention.
  • FIG. 4 is a schematic diagram of a configuration example of a high-frequency applying electrode part of the surface treatment apparatus used in the present invention.
  • FIG. 5 is a schematic diagram of a configuration example of a plasma-confinement electrode plate part of the surface treatment apparatus used in the present invention.
  • FIG. 6 is a graph showing a native oxide film/Si with varied high-frequency power density, obtained in an example of the present invention.
  • FIG. 7 is a schematic diagram of a configuration example of a UV, X-ray and microwave excited radical surface treatment apparatus used in the present invention.
  • FIG. 8 is a schematic diagram of a configuration example of a catalytic-chemical excited radical surface treatment apparatus used in the present invention.
  • FIG. 9 is a schematic diagram of a surface treatment method used in the present invention.
  • FIG. 10 is a flowchart of a transfer controller program used in the present invention.
  • FIG. 11 is a flowchart of a film-forming controller program used in the present invention.
  • FIG. 12 gives a graph showing the surface roughness (Ra) after treatment of the substrate, and SEM images on the surface, obtained by an example of the present invention.
  • FIG. 13 is a graph showing the surface roughness (Ra) relative to the fraction of treatment chamber gas with varied fraction of the plasma-forming gas, obtained by an example of the present invention.
  • FIG. 14 gives SEM images on the surface after the growth of Si and SiGe, obtained by an example of the present invention.
  • FIG. 15 is a graph showing the atom density of oxygen and carbon at an interface, obtained by an example of the present invention.
  • FIG. 16 is a C-V curve obtained by an example of the present invention.
  • FIG. 17 shows a comparison of the interface state density and the fixed charge density, between those obtained by an example of the present invention and those of oxide film in the related art.
  • FIG. 18 is a graph showing the relation between the equivalent oxide film thickness (EOT) and the leak current, obtained by an example of the present invention.
  • FIG. 19 is a diagram illustrating a MOS-FET manufactured by the treatment of the present invention.
  • FIG. 20 is a diagram of the substrate intraplane distribution of the etching rate of the silicon oxide film, showing the effect of the gas-feeding shower plate for the plasma-forming gas to the plasma chamber of the present invention.
  • the examples deal with the cases of applying the present invention to a film-forming apparatus 1 illustrated in FIG. 1 , focusing on the process of removing native oxide film and organic matter formed on a Si substrate by the first step using a surface treatment apparatus 100 illustrated in FIG. 3 .
  • a substrate 5 which is adopted as the sample is a Si single crystal substrate (with 300 mm in diameter) which is allowed to stand in a clean air to form a native oxide film thereon.
  • the substrate 5 is transferred to a load-lock chamber 50 by a substrate transfer mechanism (not shown) to be placed therein.
  • the load-lock chamber 50 is evacuated by an evacuation system (not shown).
  • a gate valve (not shown) between the load-lock chamber and the transfer chamber is opened, and a transfer mechanism (not shown) in the transfer chamber transfers the substrate 5 to the surface treatment apparatus 100 via the transfer chamber 60 , and places the substrate 5 on a substrate holder 114 .
  • FIG. 3 illustrates the surface treatment apparatus 100 of the present invention.
  • the surface treatment apparatus 100 is composed of a treatment chamber 113 equipped with the substrate holder 114 on which the substrate 5 can be placed, and a plasma-forming chamber 108 .
  • the treatment chamber 113 and the plasma-forming chamber 108 are separated from each other by a plasma-confinement electrode plate 110 for plasma separation provided with a plurality of radical-passing holes 111 .
  • the plasma-forming gas is fed from a plasma-forming gas supply system 101 to pass through a plasma-forming gas supply pipe 102 , and enters a plasma-forming space 109 in the plasma-forming chamber 108 via plasma-forming gas feed holes 106 opened on a plasma-forming gas feed shower plate 107 .
  • the plasma-forming gas can enter uniformly the plasma-forming space 109 in the plasma-forming chamber 108 .
  • FIG. 20 illustrates the effect of plasma-forming gas feed shower plate 107 in the examples.
  • the etching rate of the silicon oxide film on the substrate placed in the treatment chamber was determined using HF gas as the plasma-forming gas at a flow rate of 100 sccm, 0.01 W/cm 2 of high-frequency power density, and 50 Pa of treatment chamber pressure.
  • the horizontal axis is a wafer position in the substrate plane
  • the vertical axis is the etching rate of the silicon oxide film normalized by the etching rate at the center position. As shown in FIG.
  • the case 901 which applied the plasma-forming gas feed shower plate when the case 901 which applied the plasma-forming gas feed shower plate is compared with the case 902 which did not apply the plasma-forming gas feed shower plate and applied lateral directional feed, as the feed method of the related art, the case 901 of feeding through the shower plate gave better uniformity in the in-plane etching rate. Presumable cause of the result is that the uniform gas feed to the plasma-forming space 109 secured uniform concentration distribution of active species in the plasma-forming space 109 , and the phenomenon contributed to the result. Consequently, together with the effect of uniform plasma-forming owing to through-holes 105 of a high-frequency applying electrode 104 described below, there was confirmed further uniform radical supply to the treatment chamber.
  • the high-frequency applying electrode 104 extends along the plasma-forming gas feed shower plate 107 at above thereof or along the plasma-confinement electrode plate 110 for plasma separation at below thereof so as to divide the plasma-forming chamber 108 into two segments, upper one and lower one.
  • the high-frequency applying electrode 104 is provided with through-holes 105 . By applying high frequency power from a high frequency power source 103 to the high-frequency applying electrode 104 , plasma is generated.
  • the plasma-confinement electrode plate 110 for plasma separation has a function of plasma-confinement electrode plate for plasma separation to partition the plasma-forming chamber 108 from the treatment chamber 113 .
  • the plasma-confinement electrode plate 110 is provided with the radical-feed holes 111 which allow radicals to pass therethrough to the treatment chamber 113 , while rejecting the ions in the plasma in the plasma chamber.
  • the plasma-confinement electrode plate 110 for plasma separation has a hollow structure, and is provided with a plurality of treatment gas feed holes opened toward the treatment chamber.
  • the treatment gas can be uniformly supplied to the treatment chamber via the plurality of treatment gas feed holes 112 opened toward the treatment chamber.
  • the treatment gas feed holes 112 open in the vicinity of the respective radical feed holes 111 .
  • the treatment gas passes through a treatment gas supply pipe 115 from a treatment gas supply system 116 , and enters the treatment chamber via the plurality of treatment gas feed holes 112 opened toward the treatment chamber.
  • the radicals, originated from the plasma-forming gas, fed from the radical feed holes 111 and the molecules of treatment gas fed from the treatment gas feed holes 112 are mixed together in the treatment chamber 113 for the first time, and the mixture is then supplied to the surface of the substrate 5 .
  • the radicals originated from the plasma-forming gas are fed to the treatment chamber 113 via the radical feed holes 111 formed on the plasma-confinement electrode plate 110 which partitions the treatment chamber 113 from the plasma-forming chamber 108 . Only the molecules and atoms which are electrically neutral, such as radicals, are allowed to pass through the radical feed holes 111 opened on the plasma-confinement electrode plate 110 from the plasma-forming chamber 108 to enter the treatment chamber 113 , and very few ions in plasma are allowed to enter the treatment chamber 113 .
  • the ion density in the treatment chamber becomes about 5 ⁇ 10 2 count/cm 3 , thus the ion density is decreased to less than one to ten million, which can be said that substantially very few ions enter the treatment chamber.
  • the radicals about several percentages to several tens of percentages of the generated ones, depending on the life, in the plasma-forming chamber are transferred to the treatment chamber.
  • the through-holes 105 in the high-frequency applying electrode 104 adopted the shape illustrated in FIG. 4 . Since the electrode through-holes 105 allow the electrode to further uniformly discharge even at a low power of 0.25 W/cm 2 or less, the radicals are fed uniformly to the treatment chamber.
  • the volume ratio of the total volume of a plurality of through-holes of the electrode V 2 to the total volume of the high-frequency applying electrode including the through-holes V 1 , V 2 /V 1 is preferably in a range from 0.01 to 0.8. When V 2 /V 1 ⁇ 0.01, the deterioration of radical distribution appeared. When V 2 /V 1 >0.8, discharge failed.
  • the description begins with the substrate treatment step as the first step, and with the condition of the step.
  • the apparatus used in the first step is the substrate treatment apparatus 100 illustrated in FIG. 3 .
  • HF As the plasma-forming gas, HF at 100 sccm of the flow rate was supplied to the plasma-forming chamber 108 , thus generated plasma in the plasma-forming part.
  • the radicals in the generated plasma were supplied to the treatment chamber 113 via the radical feed holes (radical passing holes) 111 formed in the plasma-confinement electrode plate 110 for plasma separation.
  • HF as the treatment gas was supplied to the treatment chamber 113 via the treatment gas feed holes 112 at a flow rate of 100 sccm.
  • the high frequency power density for plasma generation was 0.01 W.cm 2 , the pressure was 50 Pa, the treatment time was 5 min, and the temperature of the substrate 5 was 25° C.
  • FIG. 12 shows the observed surface roughness after the first step of the present invention, with the comparison with the result of conventional dry-treatment and wet-treatment.
  • the surface roughness Ra obtained from the first step of the present invention was 0.18 nm, which is a good level almost equal to the surface roughness Ra of 0.17 nm obtained by the wet treatment (wet-cleaning) with a dilute hydrofluoric acid solution.
  • the surface roughness Ra became 2.0 nm, which is a rough level.
  • the surface roughness Ra was confirmed to 0.19 nm, which is not a rough level.
  • the improved surface flatness owes the selective removal of the surface native oxide film and organic matter in relation to Si.
  • Presumable mechanism is that the high excitation energy HF generated from plasma is brought to collide with the unexcited HF separately fed as the treatment gas, thus forming HF having suppressed excitation energy, and the suppressed excitation energy HF selectively removes the surface native oxide film while not etching the Si atoms on the surface.
  • the observed results confirmed that the use of the present invention can realize the surface flatness, equivalent to that of the wet-cleaning, by the dry-cleaning which does not need the high temperature pretreatment.
  • condition to attain the surface flatness according to the present invention is only to form HF having suppressed excitation energy by mixing and colliding an HF having high excitation energy generated from the plasma with an HF of unexcited separately fed as the treatment gas. Consequently, the structure of the example is not limited if only the above condition is satisfied.
  • the radicals generated by the plasma are supplied to the substrate via the radical feed holes as the plurality of through-holes in the plasma-confinement electrode plate, while supplying the treatment gas via the plurality of treatment gas supply holes formed in the electrode plate.
  • the structure is not necessarily limited to the one given in this example, and the effect can be obtained by plasmatizing the gas containing HF gas, and by feeding solely the excited active species to the treatment chamber using an apparatus which allows only the neutral active species to pass therethrough while rejecting most of the ions, and further by feeding an unexcited HF gas from any part of the treatment chamber.
  • the radical generation may be done by the plasma formation by microwave and other methods.
  • UV, X-ray, and microwaves are irradiated to the plasma gas from a feed chamber 203 to turn the plasma gas into plasma.
  • FIG. 7 UV, X-ray, and microwaves are irradiated to the plasma gas from a feed chamber 203 to turn the plasma gas into plasma.
  • reference numeral 5 signifies the substrate; 201 , the plasma-forming gas supply system; 202 , the plasma-forming gas supply pipe; 204 , the plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes; 205 , the radical feed hole; 207 , the treatment chamber; 208 , the substrate holder; 209 , the treatment gas supply pipe; 210 , the treatment gas supply system; and 211, the exhaust system.
  • the treatment gas system has the same configuration as that of FIG. 3 .
  • FIG. 8 illustrates the configuration of turning the gas into plasma by a heating catalyst body 303 .
  • Reference numeral 5 signifies the substrate; 301 , the plasma-forming gas supply system; 302 , the plasma-forming gas supply pipe; 304 , the plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes; 305 , the radical feed hole; 306 , the treatment gas feed hole; 307 , the treatment chamber; 308 , the substrate holder; 309 , the treatment gas supply pipe; 310 , the treatment gas supply system; and 311, the exhaust system.
  • the treatment gas system has the same configuration as that of FIG. 3 .
  • the example used only HF The plasma-forming gas is only required to contain at least HF, and specifically HF diluted with Ar may be used.
  • the radicals enter the treatment chamber 113 .
  • the example used only HF the example used only HF.
  • the treatment gas is only required to contain at least HF, and specifically HF diluted with Ar may be used.
  • the fraction of HF flow rate to the total gas flow rate is preferably in a range from 0.2 to 1.0.
  • the experimental result confirming the fraction range is described below.
  • FIG. 13 shows the dependency of the surface roughness on the HF mixing ratio in the case of using a mixed gas of HF with Ar as the plasma-forming gas and the treatment gas, respectively.
  • varying the mixing ratio of HF to Ar in the treatment gas varied the surface roughness after removing the native oxide film.
  • Increase in the HF gas flow rate decreased the surface roughness.
  • the substrate surface treatment of the present invention does not limit to the surface treatment of Si substrate.
  • the request is only to structure the substrate surface with a group IV semiconductor such as Si and SiGe. More specifically, the substrate surface treatment can be applied to the one for removing native oxide film and organic contamination on the surface of group IV semiconductor such as thin Si layer which is adhered to or deposited on a glass substrate.
  • the high frequency power density applied onto the high-frequency applying electrode 104 is preferably in a range from 0.001 to 0.25 W/cm 2 .
  • FIG. 6 shows the dependency of the native oxide film/Si, (etching rate ratio of native oxide film to Si), on the high frequency power density for the case of using HF gas as the plasma-forming gas and using HF as the treatment gas.
  • Decrease in the high frequency power density suppresses the Si etching, and thus only the native oxide film is selectively etched.
  • the value of the amount of etching the native oxide film divided by the amount of etching the Si is defined as “native oxide film/Si”.
  • Decrease in the high frequency power density relatively decreases the amount of etching of Si so that the “native oxide film/Si” increases.
  • increase in the high frequency power density significantly increases the etching of Si, thus decreasing the “native oxide film/Si”.
  • the high frequency power density is selected to above range of from 0.001 to 0.25 W/cm 2 , preferably from 0.001 to 0.125 W/cm 2 , and more preferably from 0.001 to 0.025 W/cm 2 .
  • the description is for the process in which the first step is conducted using the film-forming apparatus 1 given in FIG. 1 and using the surface treatment apparatus 100 given in FIG. 3 to remove the native oxide film formed on the Si substrate, then the substrate is transferred to a CVD apparatus 20 via the vacuum transfer chamber 60 to be subjected to the second step, which grows the Si and SiGe single crystal film on the treated surface of the substrate.
  • the substrate was treated on the surface thereof in the first step, and then was treated in the CVD apparatus 20 as the second step under the condition of: substrate temperature of 600° C., Si 2 H 6 supply at 36 sccm, pressure holding at 2E-3 Pa, for 3 minutes. After that, the substrate was treated therein under the condition of: substrate temperature of 600° C., Si 2 H 6 and GeH 4 supply at 36 sccm, respectively, pressure holding at 4E-3 Pa, for 3 minutes.
  • substrate gave a surface roughness of the SiGe single crystal growth on the Si equivalent to the surface roughness of the substrate treated by wet cleaning using a diluted hydrofluoric acid, providing a good SiGe single crystal film, as shown in FIG. 14 . As given in FIG.
  • the case of this example gave smaller atom density of oxygen and carbon at the interface between the Si substrate and the grown Si.
  • the atom density of oxygen and carbon at the interface was 2 ⁇ 10 20 atoms/cm 3 or less. The phenomenon owes to the suppress of adsorption of oxygen and carbon impurities onto the surface by the vacuum transfer of the substrate without exposing thereof to atmospheric air after cleaning.
  • a hydrogenated gas such as Si 2 H 6 and GeH 4
  • a mixture of a hydrogenated gas with a doping material gas such as B 2 H 6 , PH 3 , and AsH 3
  • SiH 4 instead of Si 2 H 6 .
  • the description is given to the dielectric film sputtering film-forming step as the third step, the oxidation-nitrification step of the formed dielectric film as the fourth step, and the electrode sputtering step as the fifth step.
  • the substrate is subjected to a process to manufacture the FET device.
  • the process comprises: the third step of sputtering film-formation of the dielectric material in a sputtering apparatus 40 via the transfer chamber 60 ; the fourth step of transferring the substrate through the transfer chamber 60 to the oxidation-nitrification apparatus 30 to oxidize the dielectric material therein; and the fifth step of transferring the substrate through the transfer chamber 60 to the sputtering apparatus 40 to sputter the metal electrode material therein.
  • the apparatus 10 through 50 are each controlled by the respective transfer or process controllers 70 through 74 .
  • the dielectric material film-forming in the third step may be done by CVD other than sputtering.
  • the film-forming of metal electrode material in the fifth step may be conducted by CVD other than sputtering.
  • the first step was conducted to remove the native oxide film, and the second step was conducted to grow the Si single crystal film.
  • the substrate 5 passed through the vacuum transfer chamber 60 to enter the dielectric-electrode sputtering apparatus 40 without exposing the substrate to atmospheric air, where the sputtering film-formation of Hf was conducted, and the substrate was transferred to the oxidation-nitrification apparatus 30 via the vacuum transfer chamber 60 to oxidize the formed dielectric material film without exposing the surface of the dielectric material to atmospheric air, thus conducted plasma and radical oxidation.
  • the substrate 5 was transferred to the dielectric-electrode sputtering apparatus 40 via the vacuum transfer chamber 60 without exposing the substrate to atmospheric air, thus sputtered to form the film of TiN electrode.
  • the characteristics of the obtained device were evaluated. The data are given in FIG. 16 , FIG. 17 , and FIG. 18 .
  • FIG. 16 shows a C-V curve drawn by measuring the capacitance of a sample prepared by the present invention and by the related art (wet cleaning was applied instead of the first step), respectively, applying voltage to the electrode part.
  • the sample of the present invention attained good result of 10 mV of hysteresis.
  • FIG. 17 shows a comparison of the interface state density and the fixed charge density, between those obtained by the present invention and those obtained in the related art (wet cleaning was applied instead of the first step).
  • Samples were prepared by the process of the present invention to determine the C-V curve, from which curve the interface state density and the fixed charge density were calculated.
  • Both the interface state density and the fixed charge density were smaller than those in the related art because of the small quantity of oxygen and carbon impurities on the surface of Si film formed by the second step after the substrate cleaning in the first step, as shown in FIG. 15 .
  • the phenomenon is the effect of the continuous treatment in a vacuum after the dry-cleaning.
  • the film-forming apparatus 1 illustrated in FIG. 1 has a controller to conduct entire process in a vacuum, provided for each process apparatus and each transfer apparatus. That is, a transfer controller 70 receives the input signal generated from the apparatus concerned, at input part, runs the transfer program which was programmed so that the processor may operate according to the flowchart, and thus outputs the action command for transferring the substrate to each process apparatus via the vacuum transfer to the concerned apparatus. Process controllers A through D ( 71 through 74 ) receive the input signal from the process apparatus, run the program which was programmed so that the treatment is operated according to the flowchart, and thus output the action command to the apparatus concerned.
  • the configuration of the controller 70 or controllers 71 to 74 is the one given in FIG. 2 , composed of an input part 82 , a memory part 83 having a program and data therein, a processor 84 , and an output part 85 .
  • the configuration is basically a computer configuration, which controls the concerned apparatus.
  • FIG. 9 illustrates the control of the transfer controller 70 and the process controllers A to D ( 71 to 74 ).
  • Step 610 a Si substrate with native oxide film formed thereon is prepared.
  • the transfer controller 70 conducts control so as to transfer the substrate using the load-lock apparatus 50 , (Step 611 ). Further the transfer controller 70 generates the command to the surface treatment apparatus 100 to establish the vacuum of 1E-4 Pa or lower vacuum level, then moves the substrate 5 into the surface treatment apparatus 100 via the transfer chamber 60 to place the substrate on the substrate holder.
  • the process controller A 71 controls the procedure of above-described first step of applying surface treatment to the substrate 5 , (Step 613 ).
  • the transfer controller 70 controls the CVD film-forming apparatus 20 to evacuate to establish the vacuum of 1E-4 Pa or lower vacuum level, then moves the substrate 5 from the surface treatment apparatus 100 to the CVD film-forming apparatus 20 to place the substrate 5 therein via the transfer chamber 60 .
  • the process controller B 72 controls the above-described second step of treating single crystal growth in the CVD film-forming apparatus 20 , (Step 615 ). Immediately after that, the process controller B 72 moves the substrate into the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the third step of dielectric-electrode sputtering film-forming, (Step 616 ).
  • the process controller C 73 controls the third step of film-forming treatment in the dielectric-electrode sputtering apparatus 40 , (Step 617 ).
  • the transfer controller 70 establishes the vacuum of 1E-4 Pa or lower vacuum level in the oxidation-nitrification apparatus 30 , and moves the substrate 5 from the dielectric-electrode sputtering apparatus 40 into the oxidation-nitrification apparatus 30 via the transfer chamber 60 , (Step 618 ).
  • the process controller D 74 conducts control to execute the fourth step in the oxidation-nitrification apparatus 30 , (Step 619 ).
  • the process controller D 74 moves the substrate 5 into the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the fifth step of metal electrode sputtering film-forming, (Step 620 ).
  • the process controller C 73 conducts control to execute film-forming treatment of example 3 in the dielectric-electrode sputtering apparatus 40 , (Step 621 ).
  • the transfer controller 70 opens the transfer chamber 60 to atmospheric air using the load-lock apparatus 50 , (Step 622 ).
  • the MOS field effect transistor (FET) 90 illustrated in FIG. 19 was manufactured.
  • An HfO film was adopted as a dielectric gate insulation film 95 below a gate electrode 94 between a source region 92 and a drain region 93 of a Si substrate 91 .
  • preferable gate insulation film 95 includes a film of Hf, La, Ta, Al, W, Ti, Si, Ge, or an alloy thereof, and more specifically there are applicable HfN, HfON, HfLaO, HfLaN, HfLaON, HfAlLaO, HfAlLaN, HfAlLaON, LaAlO, LaAlN, LaAlON, LaO, LaN, LaON, HfSiO, and HfSiON.
  • the relative permittivity thereof is in a range from 3.9 to 100, and the fixed charge density is in a range from 0 to 1 ⁇ 10 11 cm ⁇ 2 .
  • the film thickness of the gate insulation layer is set to a range from 0.5 to 5.0 nm.
  • fixed charge is also referred to as “fixed oxide film charge”, meaning the charge existing in SiO 2 film and being fixed therein, not migrating in electric field or the like.
  • the fixed oxide film charge appears caused by a structural defect in the oxide film, and depends on the formed state of the oxide film or the heat treatment thereof. Normally there exists a positive fixed charge in the vicinity of Si—SiO 2 interface originated from a dangling bond of Si in silicon.
  • the fixed oxide film charge makes the C-V characteristic of MOS structure shift in parallel along the gate voltage axis.
  • the fixed charge density is determined by the C-V method.
  • MOS-FET As the gate electrode 94 of MOS-FET in FIG. 19 , there are applied: metal such as Ti, Al, TiN, TaN, and W; polysilicon (B(boron)-dope: p-Type or P(phosphorus)-dope: n-Type); and Ni-FUSI (fully silicide).
  • metal such as Ti, Al, TiN, TaN, and W
  • polysilicon B(boron)-dope: p-Type or P(phosphorus)-dope: n-Type
  • Ni-FUSI fully silicide
  • the semiconductor/insulation film joint which was prepared by the method of the present invention, that is, by the method of treating the surface of a Si substrate having native oxide film formed thereon, growing the Si single crystal film without exposing thereof to atmospheric air, sputtering for forming a dielectric film such as Hf without exposing the substrate to atmospheric air, and oxidizing and nitrifying thereof, gives smaller fixed charge and lower interface state than those of the joint prepared in the atmospheric transfer. Therefore, the joint gives a C-V curve with small hysteresis as shown in FIG. 16 , with small leak current, thereby providing good device characteristics.
  • interface state signifies the energy level of electron being appeared on interface of joint of different kinds of semiconductors and on interface of joint between a semiconductor and a metal or an insulation material. Since the semiconductor face on the interface becomes a condition of breaking bond between atoms, there appears a non-bonding condition called the dangling bond, thus creating an energy level to allow entrapping the charge. Also impurity or defect on the interface creates an energy level allowing entrapping the charge, or an interface state. Generally the interface state shows a long response time and is instable, thus often adversely affects the device characteristics. Lower interface state means better interface.
  • the interface state density is determined by the C-V method.
  • the film-forming apparatus of the present invention uses the configuration having each one of: the surface treatment unit 100 , the CVD film-forming unit 20 , the dielectric-electrode sputtering unit 30 , the oxidation-nitrification unit 40 , the load-lock chamber 50 , and the transfer chamber 60 .
  • the quantity of each of those units is not necessarily one, and more than one unit for there each can be applied depending on the throughput, the film structure, and the like.
  • the load-lock chamber may be substituted by a plurality of load-lock chambers allotting the functions of loading and unloading to each one.
  • the sputtering unit 30 may be substituted by two or more sputtering units allotting the functions of forming the dielectric film and forming the electrode to each one.
  • the substrate treatment method which allows conducting the dry substrate surface treatment while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100 , the CVD film-forming unit 20 , the load-lock chamber 50 , and the transfer chamber 60 .
  • the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the CVD film-forming unit via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the CVD film-formed Si/SiGe layer.
  • the substrate treatment method which allows treating the dry substrate surface while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100 , the dielectric-electrode sputtering unit 30 , the load-lock chamber 50 , and the transfer chamber 60 .
  • the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the dielectric-electrode sputtering unit 30 via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the dielectric film or conductive film as the base of the insulation film prepared by sputtering on the Si substrate surface.
  • any type of epitaxial film-forming unit is applicable if only the unit is provided with a chamber, a substrate-heating mechanism for heating both the substrate holder for holding the substrate and the substrate held thereto, a gas-feed mechanism for supplying a gas containing the raw material gas to conduct the CVD film-formation, and an exhaust means for discharging the chamber atmosphere.
  • the sputtering unit 30 may be, however, any type if only the unit has a chamber, a substrate holder for holding the substrate, a mechanism for feeding the gas into the chamber, an exhaust means for discharging the chamber atmosphere, a sputtering cathode for mounting the target made of dielectric or conductive metal, and a high frequency power supply mechanism or a direct current power supply mechanism.
  • the quantity of the sputtering cathode for mounting the target made of dielectric or conductive metal, (not shown), in the sputtering unit 30 is not necessarily one, and a plurality of sputtering cathodes may be applied for forming a plurality of continuous or discontinuous films and for mounting a plurality of targets thereon.
  • the substrate holder is preferably provided with a rotary mechanism to rotate the mounted substrate.
  • the gas-feed mechanism of the sputtering unit 30 preferably feeds not only inert gas such as Ar but also a reactive gas such as N 2 and O 2 , or a mixture of reactive gas with Ar gas.

Abstract

HF-originated radicals generated in a plasma-forming chamber are fed to a treatment chamber via feed holes, while HF gas molecules as the treatment gas are supplied to the treatment chamber from near the radical feed holes to suppress the excitation energy, thereby increasing the selectivity to Si to remove a native oxide film. Even with the dry-treatment, the surface treatment provides good surface flatness equivalent to that obtained by the wet-cleaning which requires high-temperature treatment, and further attains growth of Si single crystal film on the substrate after the surface treatment. The surface of formed Si single crystal film has small quantity of impurities of oxygen, carbon, and the like. After sputtering Hf and the like onto the surface of the grown Si single crystal film, oxidation and nitrification are applied thereto to form a dielectric insulation film such as HfO thereon, thus forming a metal electrode film. All through the above steps, the substrate is not exposed to atmospheric air, thereby suppressing the adsorption of impurities onto the interface, and thus obtaining a C-V curve with small hysteresis. As a result, good device characteristics are obtained in MOS-FET.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application is a continuation application of International Application No. PCT/JP2007/071393, filed on Nov. 2, 2007, the entire contents of which are incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to an apparatus and a method of manufacturing a semiconductor device, including the treatment of surface of group IV semiconductor.
  • 2. Related Background Art
  • Conventionally semiconductor Si substrate is subjected to wet-cleaning. The wet-cleaning has, however, problems of failing to completely remove water-marks in dry state, failing to control etching of very thin oxide film, requiring large apparatus, and the like. Furthermore, when the semiconductor substrate is exposed to atmospheric air for a long time after the wet-cleaning, there arise problems of forming native oxide film on the surface thereof and adsorbing carbon atoms thereon to inhibit film-forming of Si single crystal, generating irregular profile of film, generating impurity level at the interface of gate insulation film, and the like.
  • Therefore, surface oxide film was removed by applying UHV vacuum heating to 750° C. or higher or by applying heating to 800° C. or higher in an H2 atmosphere before film formation. However, as miniaturization of device progresses and dielectric insulation film/metal electrode is used, the device needs to be manufactured at lower temperatures. Thus the device manufacturing needs to be done at 650° C. or lower temperature. As a result, the wet-cleaning has its limits, and there arises a need of dry-cleaning method which conducts treatment of semiconductor substrate in a vacuum before film-forming. The reverse sputtering method using argon plasma is one example of the method (Japanese Patent Laid-Open No. 10-147877). The disclosed method, however, presumably cuts also the Si—Si bond on the surface of the semiconductor substrate. In that case, problems arise such that oxide film is immediately formed on the Si-absent portion, that contaminants likely adhere to the dangling bond of Si, and that the sputtered oxide and contaminants adhere again to the side wall of the substrate. These problems adversely affect the succeeding step, (such as inhibition of epitaxial growth and formation of highly resistant portion on the silicide interface). Furthermore, damages on the device are also the problem.
  • Japanese Patent Laid-Open No. 2004-63521 describes that, after removing the silicon oxide film from the surface of the substrate using a plasmatized F2 gas, the hydrogen radicals are irradiated to remove the F component adhered to the surface of the substrate. Japanese Patent Laid-Open No. 04-96226 describes that, after removing the Si native oxide film from the surface of the substrate using F2 gas, the radicalized hydrogen is irradiated to the substrate to terminate the bonding operation by the hydrogen. Since, however, the plasmatized F2 gas contains not only the radicalized fluorine gas but also ionized fluorine gas, there arises a problem of irregular surface on removing the silicon oxide film from the surface of the substrate. In addition, there may occur also the removal of a portion of substrate not only the removal of silicon oxide film on the surface thereof.
  • Japanese Patent Laid-Open No. 2001-102311 describes that a cleaning gas such as fluorine is supplied to a plasma-forming part having a plasma-forming chamber which is separated by a plate having feed holes for a film-forming chamber where the substrate is placed, thus generating radicals by generating plasma in the plasma-forming part, and the fluorine radicals are fed to a film-forming space containing the substrate via the feed holes, thereby irradiating the radicals to the substrate to clean the substrate. Since, however, the surface of the semiconductor substrate cannot be exposed to the atmosphere where the excitation energy of radicals is suppressed, highly selective Si etching cannot be performed, which raises a problem of failing to remove the native oxide film without deteriorating the surface roughness.
  • Furthermore, since the semiconductor substrate is exposed to plasma, Si—Si bond is also cut off. In this state, there arise problems such that oxide film is immediately formed on the Si-absent portion, that contaminants likely adhere to the dangling bond of Si, and that the sputtered oxide and contaminants adhere again to the side wall of the substrate. These problems adversely affect the succeeding stage, (such as inhibition of epitaxial growth and formation of highly resistant portion on the silicide interface). Furthermore, damages on a device are also the problem. According to the disclosure, gas is decomposed positively by plasma to generate hydrogen radicals and hydrogen ions. When fluorine residue on the surface of the substrate is removed by the hydrogen radicals and the hydrogen ions, there arise problems of contamination by metal coming from the chamber, of excess etching because of large etching rate on the base Si, and the like. Furthermore, since HF as the reaction product likely adheres again to the surface of the substrate, sufficient F-removal effect is not attained. Japanese Patent Laid-Open No. 2002-217169 discloses an apparatus for conducting entire cleaning step in a vacuum to remove foreign matter applying simultaneously a physical action of friction stress generated by a high velocity gas flow. According to the disclosure, adsorption of impurities and generation of native oxide during vacuum transfer are suppressed, thus improving the production efficiency. Even if the foreign matter can be removed, however, the native oxide film and the surface roughness remain on the surface at an order of atomic layer thickness. That is, to attain the effect of device characteristic improvement by the continuous transfer in vacuum, there are required the cleaning technology to control the highly selective etching of Si and native oxide film at an order of atomic layer thickness, and the transfer of substrate and the film-forming thereon without exposing the substrate to atmospheric air. That kind of control technology and vacuum operation should provide good device characteristics of low interface state at the joint between semiconductor and dielectric insulation film, and of small fixed charge in the film.
  • SUMMARY OF INVENTION Problems to be Solved by the Invention
  • According to the surface treatment in the related art to remove native oxide film and organic matter from the substrate surface, the transfer in atmospheric air is required before the substrate arrives at the next film-forming step. During the transfer of the substrate in atmospheric air, substances in air adsorb onto the surface of the substrate, and native oxide film and impurities such as carbon atoms are left behind on the interface, which raises a problem of deterioration of device characteristics. When the substrate treatment is conducted in a vacuum not to leave the native oxide film and the impurities such as carbon atoms on the interface, the flatness of the substrate surface is deteriorated, though the native oxide film and the impurities such as organic matter and carbon on the substrate surface can be removed. Furthermore, poor flatness of the substrate surface raises a problem of deteriorating the characteristics of manufactured device.
  • Means to Solve the Problems
  • The present invention is made to solve the above problems. According to the investigations of the inventors of the present invention, radicals generated by plasma are fed to the treatment chamber via a plurality of holes formed on a partition plate which separates the plasma-forming chamber from the treatment chamber, the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, thus suppressing the excitation energy of the radicals to thereby enable the substrate surface treatment at high Si-selectivity, and thus it is found out that the surface treatment becomes available which removes native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • The present invention provides a method of cleaning a substrate comprising the steps of: placing a substrate in a treatment chamber; turning a plasma-forming gas; feeding a radical in the plasma to the treatment chamber via a radical-passing hole of a plasma-confinement electrode plate for plasma separation; feeding a treatment gas to the treatment chamber to mix it with the radical in the treatment chamber; and cleaning the surface of the substrate by the mixed atmosphere of the radical and the treatment gas.
  • The present invention provides a method of cleaning a substrate, wherein the surface of the substrate is a group IV semiconductor material, and the plasma-forming gas and the treatment gas contain HF, respectively.
  • The present invention provides a method of cleaning a substrate, wherein the plasma-confinement electrode plate for plasma separation has a plurality of radical feed holes for feeding the radical in the plasma to the treatment chamber and a plurality of treatment gas feed holes for feeding the treatment gas into the treatment chamber, and thus the radical and the treatment gas are discharged toward the surface of the substrate in the treatment chamber via the respective feed holes.
  • The present invention provides a method of manufacturing a semiconductor device comprising the steps of: cleaning the surface of a group IV semiconductor substrate in a cleaning chamber in accordance with the above method; transferring the cleaned substrate from the cleaning chamber to an epitaxial chamber via a transfer chamber without exposing the substrate to atmospheric air; and epitaxially growing an epitaxial single crystal layer on the surface of the substrate in the epitaxial chamber.
  • The present invention provides a method of manufacturing a semiconductor device comprising the steps of: transferring a substrate having an epitaxial layer manufactured in accordance with the above method from the epitaxial chamber to a sputtering chamber via a transfer chamber without exposing the substrate to atmospheric air; sputtering a dielectric film onto the epitaxial layer in the sputtering chamber; transferring the substrate having the dielectric film thereon from the sputtering chamber to an oxidation-nitrification chamber via a transfer chamber without exposing the substrate to atmospheric air; and conducting oxidation, nitrification, or oxynitrification of the dielectric film in the oxidation-nitrification chamber.
  • The present invention provides a method of manufacturing a semiconductor device according to above method, wherein the dielectric film is made of the one selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si, and Ge, or an alloy thereof.
  • The present invention provides a method of cleaning a substrate according to above method, wherein turning the plasma-forming gas into plasma is done by applying a high frequency power thereto, and the density of the high frequency power is in a range from 0.001 to 0.25 W/cm2, preferably from 0.001 to 0.125 W/cm2, and more preferably from 0.001 to 0.025 W/cm2.
  • The present invention provides a substrate treatment apparatus of plasma-separation type generating a radical by forming plasma from a plasma-forming gas in a vacuum chamber, and conducting substrate treatment by the radical and a treatment gas, the substrate treatment apparatus comprising: a plasma-forming chamber for turning the plasma-forming gas fed therein into plasma; a treatment chamber containing a substrate holder on which a substrate to be treated is placed; and a plasma-confinement electrode plate for plasma separation having a plurality of radical-passing holes formed between the plasma-forming chamber and the treatment chamber, the plasma-confinement electrode plate of a hollow structure having a plurality of treatment gas feed holes opened toward the treatment chamber formed, and having a gas-feed pipe for supplying the treatment gas disposed, wherein: a plasma-forming space inside the plasma-forming chamber contains a high-frequency applying electrode for generating plasma by a power supplied from a high-frequency power source; the high-frequency applying electrode has a plurality of through-holes penetrating therethrough; the high-frequency applying electrode further contains a plasma-forming gas feed shower plate for feeding the plasma-forming gas to the plasma-forming chamber; and the plasma-forming gas feed shower plate has a plurality of gas-discharge ports for feeding the plasma-forming gas onto the electrode extending along the plasma-confinement electrode plate for plasma separation provided with the plurality of radical-passing holes.
  • The present invention provides a substrate treatment apparatus according to above apparatus, wherein, in the substrate treatment chamber, the volume ratio V2/V1 is in a range from 0.01 to 0.8, where V2 is the total volume of the plurality of through-holes of the electrode, and V1 is the total volume of the electrode including the through-holes.
  • The present invention provides a substrate treatment apparatus according to above apparatus, wherein the density of the high frequency power applied to the high frequency-applying electrode is in a range from 0.001 to 0.25 W/cm2, preferably from 0.001 to 0.125 W/cm2, and more preferably from 0.001 to 0.025 W/cm2.
  • The present invention provides a substrate treatment apparatus according to above substrate, wherein the plasma-forming gas fed to the plasma-forming chamber is a gas containing HF, and the gas fed to the treatment chamber is a gas containing HF.
  • The present invention provides an apparatus of manufacturing semiconductor device comprising: a substrate cleaning chamber including the above substrate treatment apparatus; an epitaxial growth chamber forming an epitaxial layer on the substrate; and a transfer chamber transferring the substrate coming from the substrate cleaning chamber to the epitaxial growth chamber without exposing the substrate to atmospheric air.
  • The present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising a sputtering chamber forming a dielectric film, thus allowing transferring the substrate coming from the cleaning chamber or the epitaxial growth chamber to the sputtering chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • The present invention provides an apparatus of manufacturing a semiconductor device according to above apparatus, further comprising an oxidation-nitrification chamber for oxidation, nitrification, or oxynitrification of the dielectric film, thus allowing transferring the substrate coming from the cleaning chamber, the epitaxial growth chamber, or the sputtering chamber to the oxidation-nitrification chamber via the transfer chamber without exposing the substrate to atmospheric air.
  • EFFECT OF THE INVENTION
  • The present invention performs substrate treatment which can decrease the native oxide film and organic impurities on the surface of semiconductor substrate compared with the wet-cleaning in the related art, and can remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface.
  • According to the present invention, to remove the native oxide film and contamination of organic impurities from the surface of semiconductor substrate, HF gas or a mixed gas containing at least HF is used as the plasma-forming gas and the treatment gas, and radicals are fed from the plasma-forming chamber to the treatment chamber, while feeding simultaneously gas molecules containing HF as the structural element thereto, thus exposing the surface of semiconductor substrate to the above atmosphere which suppresses the excitation energy of the radicals, to thereby remove the native oxide film and organic matter without deteriorating the flatness of the substrate surface. There generates no metal contamination and plasma damage on the semiconductor substrate. Although the wet-cleaning in the related art needs more than one step for the substrate treatment applying also succeeding steps such as annealing treatment, the present invention performs the substrate treatment in only one step, which attains desired effect efficiently, reduces cost, and significantly improves the treatment speed. Furthermore, use of a shower plate to the plasma-forming gas allows uniform feeding of the product gas, use of through-holes on the electrode part allows discharge even at a low power, and use of a plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes allows radicals in the produced plasma to be fed uniformly to the treatment chamber. Actualizing the surface treatment to give fine surface roughness at an order of atomic layer thickness allows forming single crystal Si and SiGe films on the surface.
  • By the first step of conducting substrate surface treatment, and the second step of transferring the substrate without exposing the single crystal film to atmospheric air, the amount of impurities at the interface is smaller than that appears in the atmospheric transfer, and thus good device characteristics are attained.
  • By conducting the first step of conducting substrate surface treatment, the second step of forming single crystal film, the third step of sputtering the dielectric material to form a film, the fourth step of conducting oxidation, nitrification, or oxynitrification, and the fifth step of transferring the metallic material and the sputtered film in a vacuum without exposing thereof to atmospheric air, the amount of impurities on the joint interface between the semiconductor and the insulation film becomes smaller than that in atmospheric transfer, which provides the interface state density and the fixed charge density in film equivalent to those of oxide film attained in the related art, gives a C-V curve with small hysteresis, gives a small leak current, and thereby attains good device characteristics.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic diagram of a configuration example of a film-forming apparatus used in the present invention.
  • FIG. 2 is a schematic diagram of a controller installed in the apparatus used in the present invention.
  • FIG. 3 is a schematic diagram of a configuration example of a surface treatment apparatus used in the present invention.
  • FIG. 4 is a schematic diagram of a configuration example of a high-frequency applying electrode part of the surface treatment apparatus used in the present invention.
  • FIG. 5 is a schematic diagram of a configuration example of a plasma-confinement electrode plate part of the surface treatment apparatus used in the present invention.
  • FIG. 6 is a graph showing a native oxide film/Si with varied high-frequency power density, obtained in an example of the present invention.
  • FIG. 7 is a schematic diagram of a configuration example of a UV, X-ray and microwave excited radical surface treatment apparatus used in the present invention.
  • FIG. 8 is a schematic diagram of a configuration example of a catalytic-chemical excited radical surface treatment apparatus used in the present invention.
  • FIG. 9 is a schematic diagram of a surface treatment method used in the present invention.
  • FIG. 10 is a flowchart of a transfer controller program used in the present invention.
  • FIG. 11 is a flowchart of a film-forming controller program used in the present invention.
  • FIG. 12 gives a graph showing the surface roughness (Ra) after treatment of the substrate, and SEM images on the surface, obtained by an example of the present invention.
  • FIG. 13 is a graph showing the surface roughness (Ra) relative to the fraction of treatment chamber gas with varied fraction of the plasma-forming gas, obtained by an example of the present invention.
  • FIG. 14 gives SEM images on the surface after the growth of Si and SiGe, obtained by an example of the present invention.
  • FIG. 15 is a graph showing the atom density of oxygen and carbon at an interface, obtained by an example of the present invention.
  • FIG. 16 is a C-V curve obtained by an example of the present invention.
  • FIG. 17 shows a comparison of the interface state density and the fixed charge density, between those obtained by an example of the present invention and those of oxide film in the related art.
  • FIG. 18 is a graph showing the relation between the equivalent oxide film thickness (EOT) and the leak current, obtained by an example of the present invention.
  • FIG. 19 is a diagram illustrating a MOS-FET manufactured by the treatment of the present invention.
  • FIG. 20 is a diagram of the substrate intraplane distribution of the etching rate of the silicon oxide film, showing the effect of the gas-feeding shower plate for the plasma-forming gas to the plasma chamber of the present invention.
  • EXAMPLES
  • The examples of the present invention will be described below.
  • The embodiments of the present invention will be described below referring to the drawings.
  • The examples deal with the cases of applying the present invention to a film-forming apparatus 1 illustrated in FIG. 1, focusing on the process of removing native oxide film and organic matter formed on a Si substrate by the first step using a surface treatment apparatus 100 illustrated in FIG. 3.
  • A substrate 5 which is adopted as the sample is a Si single crystal substrate (with 300 mm in diameter) which is allowed to stand in a clean air to form a native oxide film thereon. The substrate 5 is transferred to a load-lock chamber 50 by a substrate transfer mechanism (not shown) to be placed therein. Then, the load-lock chamber 50 is evacuated by an evacuation system (not shown). After evacuating to a desired pressure, or 1 Pa or below, a gate valve (not shown) between the load-lock chamber and the transfer chamber is opened, and a transfer mechanism (not shown) in the transfer chamber transfers the substrate 5 to the surface treatment apparatus 100 via the transfer chamber 60, and places the substrate 5 on a substrate holder 114.
  • FIG. 3 illustrates the surface treatment apparatus 100 of the present invention.
  • The surface treatment apparatus 100 is composed of a treatment chamber 113 equipped with the substrate holder 114 on which the substrate 5 can be placed, and a plasma-forming chamber 108. The treatment chamber 113 and the plasma-forming chamber 108 are separated from each other by a plasma-confinement electrode plate 110 for plasma separation provided with a plurality of radical-passing holes 111.
  • The plasma-forming gas is fed from a plasma-forming gas supply system 101 to pass through a plasma-forming gas supply pipe 102, and enters a plasma-forming space 109 in the plasma-forming chamber 108 via plasma-forming gas feed holes 106 opened on a plasma-forming gas feed shower plate 107. With this arrangement, the plasma-forming gas can enter uniformly the plasma-forming space 109 in the plasma-forming chamber 108.
  • FIG. 20 illustrates the effect of plasma-forming gas feed shower plate 107 in the examples. The etching rate of the silicon oxide film on the substrate placed in the treatment chamber was determined using HF gas as the plasma-forming gas at a flow rate of 100 sccm, 0.01 W/cm2 of high-frequency power density, and 50 Pa of treatment chamber pressure. In FIG. 20, the horizontal axis is a wafer position in the substrate plane, and the vertical axis is the etching rate of the silicon oxide film normalized by the etching rate at the center position. As shown in FIG. 20, when the case 901 which applied the plasma-forming gas feed shower plate is compared with the case 902 which did not apply the plasma-forming gas feed shower plate and applied lateral directional feed, as the feed method of the related art, the case 901 of feeding through the shower plate gave better uniformity in the in-plane etching rate. Presumable cause of the result is that the uniform gas feed to the plasma-forming space 109 secured uniform concentration distribution of active species in the plasma-forming space 109, and the phenomenon contributed to the result. Consequently, together with the effect of uniform plasma-forming owing to through-holes 105 of a high-frequency applying electrode 104 described below, there was confirmed further uniform radical supply to the treatment chamber.
  • The high-frequency applying electrode 104 extends along the plasma-forming gas feed shower plate 107 at above thereof or along the plasma-confinement electrode plate 110 for plasma separation at below thereof so as to divide the plasma-forming chamber 108 into two segments, upper one and lower one. The high-frequency applying electrode 104 is provided with through-holes 105. By applying high frequency power from a high frequency power source 103 to the high-frequency applying electrode 104, plasma is generated.
  • The plasma-confinement electrode plate 110 for plasma separation has a function of plasma-confinement electrode plate for plasma separation to partition the plasma-forming chamber 108 from the treatment chamber 113. The plasma-confinement electrode plate 110 is provided with the radical-feed holes 111 which allow radicals to pass therethrough to the treatment chamber 113, while rejecting the ions in the plasma in the plasma chamber.
  • The plasma-confinement electrode plate 110 for plasma separation has a hollow structure, and is provided with a plurality of treatment gas feed holes opened toward the treatment chamber. By supplying the treatment gas to the hollow structure, the treatment gas can be uniformly supplied to the treatment chamber via the plurality of treatment gas feed holes 112 opened toward the treatment chamber. The treatment gas feed holes 112 open in the vicinity of the respective radical feed holes 111. The treatment gas passes through a treatment gas supply pipe 115 from a treatment gas supply system 116, and enters the treatment chamber via the plurality of treatment gas feed holes 112 opened toward the treatment chamber. The radicals, originated from the plasma-forming gas, fed from the radical feed holes 111 and the molecules of treatment gas fed from the treatment gas feed holes 112 are mixed together in the treatment chamber 113 for the first time, and the mixture is then supplied to the surface of the substrate 5.
  • As described above, the radicals originated from the plasma-forming gas are fed to the treatment chamber 113 via the radical feed holes 111 formed on the plasma-confinement electrode plate 110 which partitions the treatment chamber 113 from the plasma-forming chamber 108. Only the molecules and atoms which are electrically neutral, such as radicals, are allowed to pass through the radical feed holes 111 opened on the plasma-confinement electrode plate 110 from the plasma-forming chamber 108 to enter the treatment chamber 113, and very few ions in plasma are allowed to enter the treatment chamber 113. In the plasma-forming chamber 108, when the ion density is about 1×1010 count/cm3, the ion density in the treatment chamber becomes about 5×102 count/cm3, thus the ion density is decreased to less than one to ten million, which can be said that substantially very few ions enter the treatment chamber. In contrast, regarding the radicals, about several percentages to several tens of percentages of the generated ones, depending on the life, in the plasma-forming chamber are transferred to the treatment chamber.
  • The through-holes 105 in the high-frequency applying electrode 104 adopted the shape illustrated in FIG. 4. Since the electrode through-holes 105 allow the electrode to further uniformly discharge even at a low power of 0.25 W/cm2 or less, the radicals are fed uniformly to the treatment chamber. The volume ratio of the total volume of a plurality of through-holes of the electrode V2 to the total volume of the high-frequency applying electrode including the through-holes V1, V2/V1, is preferably in a range from 0.01 to 0.8. When V2/V1<0.01, the deterioration of radical distribution appeared. When V2/V1>0.8, discharge failed.
  • The method of manufacturing a semiconductor device using the film-forming apparatus 1 illustrated in FIG. 1 of the present invention will be described below.
  • The description begins with the substrate treatment step as the first step, and with the condition of the step. The apparatus used in the first step is the substrate treatment apparatus 100 illustrated in FIG. 3.
  • As the plasma-forming gas, HF at 100 sccm of the flow rate was supplied to the plasma-forming chamber 108, thus generated plasma in the plasma-forming part. The radicals in the generated plasma were supplied to the treatment chamber 113 via the radical feed holes (radical passing holes) 111 formed in the plasma-confinement electrode plate 110 for plasma separation. To suppress the excitation energy of the radicals, HF as the treatment gas was supplied to the treatment chamber 113 via the treatment gas feed holes 112 at a flow rate of 100 sccm. The high frequency power density for plasma generation was 0.01 W.cm2, the pressure was 50 Pa, the treatment time was 5 min, and the temperature of the substrate 5 was 25° C.
  • FIG. 12 shows the observed surface roughness after the first step of the present invention, with the comparison with the result of conventional dry-treatment and wet-treatment. As shown in FIG. 12, the surface roughness Ra obtained from the first step of the present invention was 0.18 nm, which is a good level almost equal to the surface roughness Ra of 0.17 nm obtained by the wet treatment (wet-cleaning) with a dilute hydrofluoric acid solution. For the case of not supplying the HF gas as the treatment gas, the surface roughness Ra became 2.0 nm, which is a rough level. Furthermore, even when the treatment time was extended to 10 min, the surface roughness Ra was confirmed to 0.19 nm, which is not a rough level. The improved surface flatness owes the selective removal of the surface native oxide film and organic matter in relation to Si. Presumable mechanism is that the high excitation energy HF generated from plasma is brought to collide with the unexcited HF separately fed as the treatment gas, thus forming HF having suppressed excitation energy, and the suppressed excitation energy HF selectively removes the surface native oxide film while not etching the Si atoms on the surface. The observed results confirmed that the use of the present invention can realize the surface flatness, equivalent to that of the wet-cleaning, by the dry-cleaning which does not need the high temperature pretreatment.
  • The condition to attain the surface flatness according to the present invention is only to form HF having suppressed excitation energy by mixing and colliding an HF having high excitation energy generated from the plasma with an HF of unexcited separately fed as the treatment gas. Consequently, the structure of the example is not limited if only the above condition is satisfied.
  • That is, according to this example, the radicals generated by the plasma are supplied to the substrate via the radical feed holes as the plurality of through-holes in the plasma-confinement electrode plate, while supplying the treatment gas via the plurality of treatment gas supply holes formed in the electrode plate. To obtain the flatness, however, the structure is not necessarily limited to the one given in this example, and the effect can be obtained by plasmatizing the gas containing HF gas, and by feeding solely the excited active species to the treatment chamber using an apparatus which allows only the neutral active species to pass therethrough while rejecting most of the ions, and further by feeding an unexcited HF gas from any part of the treatment chamber.
  • From the point of uniformity, however, and specifically when uniform treatment is required to a large diameter substrate, it is necessary to supply both the radicals and the unexcited treatment gas uniformly to the substrate. To this end, as in this example, it is preferable to adopt the structure which allows radicals to be shower-supplied from the electrode plate facing the substrate, and allows also the treatment gas to be shower-supplied at the same time.
  • Although the example conducts the radical generation by the plasma formation by the high frequency application, the radical generation may be done by the plasma formation by microwave and other methods. In detail, there can also be applied the radical generation through UV, X-ray, and microwave excitation given in FIG. 7, and the catalyst-chemical excitation given in FIG. 8. In FIG. 7, UV, X-ray, and microwaves are irradiated to the plasma gas from a feed chamber 203 to turn the plasma gas into plasma. In FIG. 7, reference numeral 5 signifies the substrate; 201, the plasma-forming gas supply system; 202, the plasma-forming gas supply pipe; 204, the plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes; 205, the radical feed hole; 207, the treatment chamber; 208, the substrate holder; 209, the treatment gas supply pipe; 210, the treatment gas supply system; and 211, the exhaust system. The treatment gas system has the same configuration as that of FIG. 3. FIG. 8 illustrates the configuration of turning the gas into plasma by a heating catalyst body 303. Reference numeral 5 signifies the substrate; 301, the plasma-forming gas supply system; 302, the plasma-forming gas supply pipe; 304, the plasma-confinement electrode plate for plasma separation provided with a plurality of radical-passing holes; 305, the radical feed hole; 306, the treatment gas feed hole; 307, the treatment chamber; 308, the substrate holder; 309, the treatment gas supply pipe; 310, the treatment gas supply system; and 311, the exhaust system. The treatment gas system has the same configuration as that of FIG. 3.
  • Regarding the plasma-forming gas fed to the plasma-forming chamber, the example used only HF. The plasma-forming gas is only required to contain at least HF, and specifically HF diluted with Ar may be used. By generating plasma, and by passing the plasma through the plasma-confinement electrode plate 110, the radicals enter the treatment chamber 113. For the treatment gas entering the treatment chamber 113, the example used only HF. The treatment gas is only required to contain at least HF, and specifically HF diluted with Ar may be used. By mixing the radicals which were fed to the treatment chamber 113 via the radical feed holes 111 opened on the plasma-confinement electrode plate 110 with the treatment gas fed from the treatment gas feed holes 112, there is created an atmosphere in which the excitation energy of radicals is suppressed. Then, the native oxide film and the organic matter on the surface of the substrate are selectively removed in relation to Si of the substrate material, thereby performing the substrate surface treatment while suppressing the surface roughening.
  • From the point of surface roughness after the substrate treatment, the fraction of HF flow rate to the total gas flow rate is preferably in a range from 0.2 to 1.0. The experimental result confirming the fraction range is described below.
  • FIG. 13 shows the dependency of the surface roughness on the HF mixing ratio in the case of using a mixed gas of HF with Ar as the plasma-forming gas and the treatment gas, respectively. As shown in FIG. 13, varying the mixing ratio of HF to Ar in the treatment gas varied the surface roughness after removing the native oxide film. Increase in the HF gas flow rate decreased the surface roughness. Even when the HF gas was used as the plasma-forming gas to be supplied to the plasma-forming chamber 108, and when the radicals were supplied via the radical feed holes 111 formed in the plasma-confinement electrode plate 110 for plasma separation, the case of supplying sole Ar as the treatment gas failed to remove the native oxide film on the substrate surface, and failed to attain the purpose of desired surface treatment. As for the case of supplying HF gas as the plasma-forming gas and of absence of the treatment gas, the surface roughness Ra became 2.5 nm, worsened compared with the case of using HF gas. The example used a Si substrate. However, the substrate surface treatment of the present invention does not limit to the surface treatment of Si substrate. In concrete terms, the request is only to structure the substrate surface with a group IV semiconductor such as Si and SiGe. More specifically, the substrate surface treatment can be applied to the one for removing native oxide film and organic contamination on the surface of group IV semiconductor such as thin Si layer which is adhered to or deposited on a glass substrate.
  • The high frequency power density applied onto the high-frequency applying electrode 104 is preferably in a range from 0.001 to 0.25 W/cm2.
  • FIG. 6 shows the dependency of the native oxide film/Si, (etching rate ratio of native oxide film to Si), on the high frequency power density for the case of using HF gas as the plasma-forming gas and using HF as the treatment gas. Decrease in the high frequency power density suppresses the Si etching, and thus only the native oxide film is selectively etched. The value of the amount of etching the native oxide film divided by the amount of etching the Si is defined as “native oxide film/Si”. Decrease in the high frequency power density relatively decreases the amount of etching of Si so that the “native oxide film/Si” increases. On the other hand, increase in the high frequency power density significantly increases the etching of Si, thus decreasing the “native oxide film/Si”. Increase in the high frequency power density induces the etching of Si, which roughens the surface. To decrease the surface roughening, it is necessary to increase the “native oxide film/Si” and to decrease the high frequency power density. To this end, the high frequency power density is selected to above range of from 0.001 to 0.25 W/cm2, preferably from 0.001 to 0.125 W/cm2, and more preferably from 0.001 to 0.025 W/cm2.
  • Then, the description is given to the Si and SiGe epitaxial single crystal growth step as the second step, and to the condition thereof.
  • The description is for the process in which the first step is conducted using the film-forming apparatus 1 given in FIG. 1 and using the surface treatment apparatus 100 given in FIG. 3 to remove the native oxide film formed on the Si substrate, then the substrate is transferred to a CVD apparatus 20 via the vacuum transfer chamber 60 to be subjected to the second step, which grows the Si and SiGe single crystal film on the treated surface of the substrate.
  • The substrate was treated on the surface thereof in the first step, and then was treated in the CVD apparatus 20 as the second step under the condition of: substrate temperature of 600° C., Si2H6 supply at 36 sccm, pressure holding at 2E-3 Pa, for 3 minutes. After that, the substrate was treated therein under the condition of: substrate temperature of 600° C., Si2H6 and GeH4 supply at 36 sccm, respectively, pressure holding at 4E-3 Pa, for 3 minutes. Thus treated substrate gave a surface roughness of the SiGe single crystal growth on the Si equivalent to the surface roughness of the substrate treated by wet cleaning using a diluted hydrofluoric acid, providing a good SiGe single crystal film, as shown in FIG. 14. As given in FIG. 15, compared with the case of wet cleaning followed by the above Si/SiGe growth, the case of this example gave smaller atom density of oxygen and carbon at the interface between the Si substrate and the grown Si. In concrete terms, the atom density of oxygen and carbon at the interface was 2×1020 atoms/cm3 or less. The phenomenon owes to the suppress of adsorption of oxygen and carbon impurities onto the surface by the vacuum transfer of the substrate without exposing thereof to atmospheric air after cleaning. In the process of growth of Si and SiGe single crystal film in the CVD apparatus 20, there can be used: a hydrogenated gas such as Si2H6 and GeH4; a mixture of a hydrogenated gas with a doping material gas such as B2H6, PH3, and AsH3; or SiH4 instead of Si2H6.
  • The description is given to the dielectric film sputtering film-forming step as the third step, the oxidation-nitrification step of the formed dielectric film as the fourth step, and the electrode sputtering step as the fifth step.
  • Succeeding to the second step, the substrate is subjected to a process to manufacture the FET device. The process comprises: the third step of sputtering film-formation of the dielectric material in a sputtering apparatus 40 via the transfer chamber 60; the fourth step of transferring the substrate through the transfer chamber 60 to the oxidation-nitrification apparatus 30 to oxidize the dielectric material therein; and the fifth step of transferring the substrate through the transfer chamber 60 to the sputtering apparatus 40 to sputter the metal electrode material therein. The apparatus 10 through 50 are each controlled by the respective transfer or process controllers 70 through 74. The dielectric material film-forming in the third step may be done by CVD other than sputtering. Similarly, the film-forming of metal electrode material in the fifth step may be conducted by CVD other than sputtering.
  • With the surface treatment apparatus 100 illustrated in FIG. 3, the first step was conducted to remove the native oxide film, and the second step was conducted to grow the Si single crystal film. Then, the substrate 5 passed through the vacuum transfer chamber 60 to enter the dielectric-electrode sputtering apparatus 40 without exposing the substrate to atmospheric air, where the sputtering film-formation of Hf was conducted, and the substrate was transferred to the oxidation-nitrification apparatus 30 via the vacuum transfer chamber 60 to oxidize the formed dielectric material film without exposing the surface of the dielectric material to atmospheric air, thus conducted plasma and radical oxidation. Furthermore, the substrate 5 was transferred to the dielectric-electrode sputtering apparatus 40 via the vacuum transfer chamber 60 without exposing the substrate to atmospheric air, thus sputtered to form the film of TiN electrode. The characteristics of the obtained device were evaluated. The data are given in FIG. 16, FIG. 17, and FIG. 18.
  • FIG. 16 shows a C-V curve drawn by measuring the capacitance of a sample prepared by the present invention and by the related art (wet cleaning was applied instead of the first step), respectively, applying voltage to the electrode part. Compared with the sample of the related art which provided hysteresis of about 30 mV, the sample of the present invention attained good result of 10 mV of hysteresis.
  • FIG. 17 shows a comparison of the interface state density and the fixed charge density, between those obtained by the present invention and those obtained in the related art (wet cleaning was applied instead of the first step). Samples were prepared by the process of the present invention to determine the C-V curve, from which curve the interface state density and the fixed charge density were calculated. Both the interface state density and the fixed charge density were smaller than those in the related art because of the small quantity of oxygen and carbon impurities on the surface of Si film formed by the second step after the substrate cleaning in the first step, as shown in FIG. 15. The phenomenon is the effect of the continuous treatment in a vacuum after the dry-cleaning.
  • The film-forming apparatus 1 illustrated in FIG. 1 has a controller to conduct entire process in a vacuum, provided for each process apparatus and each transfer apparatus. That is, a transfer controller 70 receives the input signal generated from the apparatus concerned, at input part, runs the transfer program which was programmed so that the processor may operate according to the flowchart, and thus outputs the action command for transferring the substrate to each process apparatus via the vacuum transfer to the concerned apparatus. Process controllers A through D (71 through 74) receive the input signal from the process apparatus, run the program which was programmed so that the treatment is operated according to the flowchart, and thus output the action command to the apparatus concerned. The configuration of the controller 70 or controllers 71 to 74 is the one given in FIG. 2, composed of an input part 82, a memory part 83 having a program and data therein, a processor 84, and an output part 85. The configuration is basically a computer configuration, which controls the concerned apparatus.
  • FIG. 9 illustrates the control of the transfer controller 70 and the process controllers A to D (71 to 74). In Step 610, a Si substrate with native oxide film formed thereon is prepared. The transfer controller 70 conducts control so as to transfer the substrate using the load-lock apparatus 50, (Step 611). Further the transfer controller 70 generates the command to the surface treatment apparatus 100 to establish the vacuum of 1E-4 Pa or lower vacuum level, then moves the substrate 5 into the surface treatment apparatus 100 via the transfer chamber 60 to place the substrate on the substrate holder. The process controller A 71 controls the procedure of above-described first step of applying surface treatment to the substrate 5, (Step 613).
  • The transfer controller 70 controls the CVD film-forming apparatus 20 to evacuate to establish the vacuum of 1E-4 Pa or lower vacuum level, then moves the substrate 5 from the surface treatment apparatus 100 to the CVD film-forming apparatus 20 to place the substrate 5 therein via the transfer chamber 60.
  • The process controller B72 controls the above-described second step of treating single crystal growth in the CVD film-forming apparatus 20, (Step 615). Immediately after that, the process controller B72 moves the substrate into the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the third step of dielectric-electrode sputtering film-forming, (Step 616).
  • The process controller C73 controls the third step of film-forming treatment in the dielectric-electrode sputtering apparatus 40, (Step 617). The transfer controller 70 establishes the vacuum of 1E-4 Pa or lower vacuum level in the oxidation-nitrification apparatus 30, and moves the substrate 5 from the dielectric-electrode sputtering apparatus 40 into the oxidation-nitrification apparatus 30 via the transfer chamber 60, (Step 618). The process controller D74 conducts control to execute the fourth step in the oxidation-nitrification apparatus 30, (Step 619). Immediately after that, the process controller D74 moves the substrate 5 into the dielectric-electrode sputtering apparatus 40 via the transfer chamber 60 to conduct the fifth step of metal electrode sputtering film-forming, (Step 620). The process controller C73 conducts control to execute film-forming treatment of example 3 in the dielectric-electrode sputtering apparatus 40, (Step 621). Then, the transfer controller 70 opens the transfer chamber 60 to atmospheric air using the load-lock apparatus 50, (Step 622).
  • By the above-described treatment of the present invention, the MOS field effect transistor (FET) 90 illustrated in FIG. 19 was manufactured. An HfO film was adopted as a dielectric gate insulation film 95 below a gate electrode 94 between a source region 92 and a drain region 93 of a Si substrate 91. Other than HfO, preferable gate insulation film 95 includes a film of Hf, La, Ta, Al, W, Ti, Si, Ge, or an alloy thereof, and more specifically there are applicable HfN, HfON, HfLaO, HfLaN, HfLaON, HfAlLaO, HfAlLaN, HfAlLaON, LaAlO, LaAlN, LaAlON, LaO, LaN, LaON, HfSiO, and HfSiON. The relative permittivity thereof is in a range from 3.9 to 100, and the fixed charge density is in a range from 0 to 1×1011 cm−2. The film thickness of the gate insulation layer is set to a range from 0.5 to 5.0 nm.
  • The term “fixed charge” is also referred to as “fixed oxide film charge”, meaning the charge existing in SiO2 film and being fixed therein, not migrating in electric field or the like. The fixed oxide film charge appears caused by a structural defect in the oxide film, and depends on the formed state of the oxide film or the heat treatment thereof. Normally there exists a positive fixed charge in the vicinity of Si—SiO2 interface originated from a dangling bond of Si in silicon. The fixed oxide film charge makes the C-V characteristic of MOS structure shift in parallel along the gate voltage axis. The fixed charge density is determined by the C-V method.
  • As the gate electrode 94 of MOS-FET in FIG. 19, there are applied: metal such as Ti, Al, TiN, TaN, and W; polysilicon (B(boron)-dope: p-Type or P(phosphorus)-dope: n-Type); and Ni-FUSI (fully silicide).
  • The semiconductor/insulation film joint, which was prepared by the method of the present invention, that is, by the method of treating the surface of a Si substrate having native oxide film formed thereon, growing the Si single crystal film without exposing thereof to atmospheric air, sputtering for forming a dielectric film such as Hf without exposing the substrate to atmospheric air, and oxidizing and nitrifying thereof, gives smaller fixed charge and lower interface state than those of the joint prepared in the atmospheric transfer. Therefore, the joint gives a C-V curve with small hysteresis as shown in FIG. 16, with small leak current, thereby providing good device characteristics. The term “interface state” signifies the energy level of electron being appeared on interface of joint of different kinds of semiconductors and on interface of joint between a semiconductor and a metal or an insulation material. Since the semiconductor face on the interface becomes a condition of breaking bond between atoms, there appears a non-bonding condition called the dangling bond, thus creating an energy level to allow entrapping the charge. Also impurity or defect on the interface creates an energy level allowing entrapping the charge, or an interface state. Generally the interface state shows a long response time and is instable, thus often adversely affects the device characteristics. Lower interface state means better interface. The interface state density is determined by the C-V method.
  • As illustrated in FIG. 1, the film-forming apparatus of the present invention uses the configuration having each one of: the surface treatment unit 100, the CVD film-forming unit 20, the dielectric-electrode sputtering unit 30, the oxidation-nitrification unit 40, the load-lock chamber 50, and the transfer chamber 60. However, the quantity of each of those units is not necessarily one, and more than one unit for there each can be applied depending on the throughput, the film structure, and the like. For example, to increase the throughput, the load-lock chamber may be substituted by a plurality of load-lock chambers allotting the functions of loading and unloading to each one. Furthermore, for example, the sputtering unit 30 may be substituted by two or more sputtering units allotting the functions of forming the dielectric film and forming the electrode to each one.
  • However, for effective use of the substrate treatment method which allows conducting the dry substrate surface treatment while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100, the CVD film-forming unit 20, the load-lock chamber 50, and the transfer chamber 60. With this configuration, the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the CVD film-forming unit via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the CVD film-formed Si/SiGe layer.
  • In addition, to effectively use the substrate treatment method which allows treating the dry substrate surface while keeping flat surface according to the present invention, it is preferable to have at least one unit for each of the surface treatment unit 100, the dielectric-electrode sputtering unit 30, the load-lock chamber 50, and the transfer chamber 60. With this configuration, the presence of load-lock chamber makes the dry substrate surface treatment possible at high throughput in a stable evacuated atmosphere, and the film-forming by transferring the substrate to the dielectric-electrode sputtering unit 30 via the transfer chamber in a vacuum without exposing the substrate to atmospheric air allows keeping good condition of interface between the Si substrate surface and the dielectric film or conductive film as the base of the insulation film prepared by sputtering on the Si substrate surface.
  • Although the example does not give the detail of the CVD film-forming unit 20 in the drawing, any type of epitaxial film-forming unit is applicable if only the unit is provided with a chamber, a substrate-heating mechanism for heating both the substrate holder for holding the substrate and the substrate held thereto, a gas-feed mechanism for supplying a gas containing the raw material gas to conduct the CVD film-formation, and an exhaust means for discharging the chamber atmosphere.
  • Similarly the detail of the sputtering unit 30 is not given in the drawing. The sputtering unit 30 may be, however, any type if only the unit has a chamber, a substrate holder for holding the substrate, a mechanism for feeding the gas into the chamber, an exhaust means for discharging the chamber atmosphere, a sputtering cathode for mounting the target made of dielectric or conductive metal, and a high frequency power supply mechanism or a direct current power supply mechanism.
  • The quantity of the sputtering cathode for mounting the target made of dielectric or conductive metal, (not shown), in the sputtering unit 30 is not necessarily one, and a plurality of sputtering cathodes may be applied for forming a plurality of continuous or discontinuous films and for mounting a plurality of targets thereon. From the point of uniformity of the thickness distribution of the formed film, the substrate holder is preferably provided with a rotary mechanism to rotate the mounted substrate. For allowing film-forming by reactive sputtering, the gas-feed mechanism of the sputtering unit 30 preferably feeds not only inert gas such as Ar but also a reactive gas such as N2 and O2, or a mixture of reactive gas with Ar gas.

Claims (10)

1.-22. (canceled)
23. A method of treating a surface of semiconductor substrate placed in a treatment chamber, comprising the steps of:
generating plasma by exciting a plasma-forming gas containing HF in a plasma-forming chamber;
selectively feeding a radical in the plasma from the plasma-forming chamber to the treatment chamber;
feeding a treatment gas containing unexcited HF into the treatment chamber; and
treating the surface of the semiconductor substrate by an atmosphere of a mixture of the radical and the treatment gas, fed into the treatment chamber.
24. A method of claim 23, wherein the treatment of gas contains at least HF by a fraction from 0.2 to 1.0 to the total amount of the treatment gas, and preferably the treatment gas is composed of substantially HF.
25. A method of claim 23, wherein the plasma-forming gas is composed of substantially HF.
26. A method of claim 23, wherein the selective feeding of the radical to the treatment chamber is conducted by feeding the radical from the plasma chamber to the treatment chamber, allowing the radical to pass through a radical-passing hole formed in a plasma-confinement electrode plate partitioning the plasma chamber from the treatment chamber, while rejecting ions in the plasma.
27. A method of claim 23, wherein the semiconductor substrate is a Si substrate, and the cleaning treatment of the Si substrate is conducted after removing native oxide film on the Si substrate by etching.
28. A method of forming a gate insulation film of MOS structure, comprising the steps of:
cleaning the surface of a Si substrate by the method of claim 27;
transferring the surface-cleaned Si substrate to an expitaxial chamber without exposing the Si substrate to atmospheric air, and forming an expitaxial layer on the surface-cleaned Si substrate;
transferring the Si substrate having the expitaxial layer formed thereon to a sputtering chamber without exposing the Si substrate to atmospheric air, and forming a dielectric film on the epitaxial layer by sputtering; and
transferring the Si substrate having the dielectric film formed thereon to an oxidation-nitrification chamber without exposing the Si substrate to atmospheric air, and oxidizing, nitrifying, or oxnitrifying the dielectric film to form the gate insulation film.
29. A method of claim 28, wherein the dielectric film is made of the one selected from the group consisting of Hf, La, Ta, Al, W, Ti, Si and Ge, or an alloy thereof.
30. An apparatus of treating a semiconductor substrate, including a treatment chamber for treating the surface of the semiconductor substrate, comprising:
a plasma-forming chamber generating plasma by exciting a plasma-forming gas containing HF;
means for selectively feeding a radical in the plasma from the plasma-forming chamber to the treatment chamber; and
means for feeding a treatment gas containing unexcited HF into the treatment chamber, and
thus treating the surface of the semiconductor substrate by an atmosphere of a mixture of the radical and the treatment gas, fed into the treatment chamber.
31. An apparatus of treating a semiconductor substrate of claim 30, wherein the means for selectively feeding the radical to the treatment chamber is a plasma-confinement electrode plate which partitions the plasma chamber from the treatment chamber, and the plasma-confinement electrode plate has a radical-passing hole formed which connects the plasma chamber with the treatment chamber, thus feeding the radical through the radical-passing hole from the plasma chamber to the treatment chamber, while rejecting ions in the plasma.
US12/764,242 2007-11-02 2010-04-21 Surface treatment apparatus and surface treatment method Abandoned US20100221895A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2007/071393 WO2009057223A1 (en) 2007-11-02 2007-11-02 Surface treating apparatus and method for substrate treatment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/071393 Continuation WO2009057223A1 (en) 2007-11-02 2007-11-02 Surface treating apparatus and method for substrate treatment

Publications (1)

Publication Number Publication Date
US20100221895A1 true US20100221895A1 (en) 2010-09-02

Family

ID=40590632

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/764,242 Abandoned US20100221895A1 (en) 2007-11-02 2010-04-21 Surface treatment apparatus and surface treatment method
US12/765,922 Abandoned US20100255667A1 (en) 2007-11-02 2010-04-23 Substrate cleaning method for removing oxide film
US15/161,892 Active 2029-01-21 US10083830B2 (en) 2007-11-02 2016-05-23 Substrate cleaning method for removing oxide film

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/765,922 Abandoned US20100255667A1 (en) 2007-11-02 2010-04-23 Substrate cleaning method for removing oxide film
US15/161,892 Active 2029-01-21 US10083830B2 (en) 2007-11-02 2016-05-23 Substrate cleaning method for removing oxide film

Country Status (5)

Country Link
US (3) US20100221895A1 (en)
JP (1) JP5006938B2 (en)
KR (1) KR101503412B1 (en)
CN (2) CN101971298A (en)
WO (2) WO2009057223A1 (en)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140174668A1 (en) * 2012-12-24 2014-06-26 Hon Hai Precision Industry Co., Ltd. Film adhering mechanism
US20160087064A1 (en) * 2014-09-22 2016-03-24 Kabushiki Kaisha Toshiba Semiconductor device, and method of manufacturing semiconductor device
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN108346561A (en) * 2018-02-09 2018-07-31 信利(惠州)智能显示有限公司 Polysilicon layer processing method and processing system before gate insulating layer film forming
US10347510B2 (en) * 2015-07-23 2019-07-09 Tokyo Electron Limited Substrate transfer chamber, substrate processing system, and method for replacing gas in substrate transfer chamber
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11097907B2 (en) * 2017-07-10 2021-08-24 Tokyo Electron Limited Substrate transfer device and substrate transfer method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20220123117A1 (en) * 2019-08-30 2022-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of Forming a Source/Drain
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11355620B2 (en) 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102310063A (en) * 2010-06-29 2012-01-11 中国科学院微电子研究所 Cleaning system for honeycomb-shaped plasma free radicals
JP5955062B2 (en) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 Plasma processing equipment
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
CN103681244B (en) * 2013-12-25 2016-09-14 深圳市华星光电技术有限公司 The preparation method of low-temperature polysilicon film and manufacturing system thereof
KR101550526B1 (en) * 2014-02-21 2015-09-04 에스티에스반도체통신 주식회사 Cluster type semiconductor processing apparatus and method for manufacturing semiconductor device using the same
CN105097423B (en) * 2014-05-12 2018-09-18 中芯国际集成电路制造(上海)有限公司 Plasma reactor and the method for removing plasma reaction chamber particle contamination
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
CN109643638B (en) * 2016-09-16 2023-09-05 应用材料公司 Ultraviolet radiation system and method for controlling arsenic outgassing in sub-7 nanometer CMOS fabrication
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180096853A (en) * 2017-02-20 2018-08-30 삼성디스플레이 주식회사 Thin film deposition apparatus
CN108668422B (en) * 2017-03-30 2021-06-08 北京北方华创微电子装备有限公司 Plasma generating chamber and plasma processing device
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6902941B2 (en) * 2017-06-29 2021-07-14 東京エレクトロン株式会社 Plasma processing method and plasma processing equipment
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US20190093214A1 (en) * 2017-09-22 2019-03-28 Applied Materials, Inc. Native or uncontrolled oxide reduction by a cyclic process of plasma treatment and h* radicals
JP2019075517A (en) * 2017-10-19 2019-05-16 東京エレクトロン株式会社 Processing device and member having diffusion path
JP6556822B2 (en) * 2017-12-26 2019-08-07 キヤノントッキ株式会社 Substrate processing method, substrate processing apparatus, and film forming apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN110993467B (en) * 2019-12-10 2022-07-01 南京三乐集团有限公司 Duplex surface treatment method of cathode for microwave vacuum electronic device
CN112692463A (en) * 2021-03-25 2021-04-23 快克智能装备股份有限公司 Electronic assembly welding process

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595453A (en) * 1983-09-22 1986-06-17 Semiconductor Energy Laboratory Co., Ltd. Method for etching a semiconductor substrate or layer
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20010003014A1 (en) * 1999-12-07 2001-06-07 Nec Corporation Plasma CVD apparatus and plasma CVD method
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6663715B1 (en) * 1999-11-10 2003-12-16 Nec Corporation Plasma CVD apparatus for large area CVD film
US6713401B2 (en) * 2001-01-16 2004-03-30 Hitachi, Ltd. Method for manufacturing semiconductor device
US20050221564A1 (en) * 2003-05-13 2005-10-06 Bevan Malcolm J System and method for mitigating oxide growth in a gate dielectric
US6977229B2 (en) * 2002-07-25 2005-12-20 Renesas Technology Corp. Manufacturing method for semiconductor devices
US20060157079A1 (en) * 2001-01-08 2006-07-20 Kim Jeong-Ho Method for cleaning substrate surface
US7105101B2 (en) * 2002-10-03 2006-09-12 Tokyo Electron Limited Method of removing oxide film on a substrate with hydrogen and fluorine radicals
US20080044589A1 (en) * 1999-09-28 2008-02-21 Anelva Corporation CVD system and substrate cleaning method
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7872285B2 (en) * 2005-03-04 2011-01-18 Sumitomo Electric Industries, Ltd. Vertical gallium nitride semiconductor device and epitaxial substrate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0496226A (en) 1990-08-03 1992-03-27 Fujitsu Ltd Manufacture of semiconductor device
DE4029268C2 (en) * 1990-09-14 1995-07-06 Balzers Hochvakuum Process for DC voltage-assisted, reactive treatment of material and vacuum treatment system for implementation
JPH06120181A (en) * 1992-10-05 1994-04-28 Hitachi Ltd Method and apparatus of manufacturing semiconductor
JPH06236850A (en) * 1993-02-10 1994-08-23 Sony Corp Plasma processing apparatus
JP2804700B2 (en) * 1993-03-31 1998-09-30 富士通株式会社 Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
JPH07307332A (en) * 1994-05-10 1995-11-21 Nippon Telegr & Teleph Corp <Ntt> Surface cleaning method and thin film forming method
JPH10147877A (en) 1996-11-19 1998-06-02 Kokusai Electric Co Ltd Gas cleaning method
JP2950785B2 (en) * 1996-12-09 1999-09-20 セントラル硝子株式会社 Dry etching method for oxide film
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
JP3317935B2 (en) 1999-09-01 2002-08-26 九州日本電気株式会社 Plasma processing equipment
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US8173783B2 (en) 2000-12-08 2012-05-08 Good Biotech Corporation Process for selectively isolating IgY antibodies from egg yolk of an anseriform bird and IgY antibodies obtained thereby
JP3989286B2 (en) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
JP3991805B2 (en) * 2002-07-25 2007-10-17 株式会社日立製作所 Dry cleaning apparatus and dry cleaning method
JP4115337B2 (en) 2003-05-30 2008-07-09 俊夫 後藤 Plasma processing equipment
JP2005064120A (en) 2003-08-08 2005-03-10 Shibaura Mechatronics Corp Apparatus and method for plasma treatment
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
EP1586674A1 (en) * 2004-04-14 2005-10-19 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Coatings, and methods and devices for the manufacture thereof
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
JP2006049544A (en) * 2004-08-04 2006-02-16 Canon Anelva Corp Substrate processing apparatus and substrate processing method using same
JP2007273752A (en) * 2006-03-31 2007-10-18 Mitsui Eng & Shipbuild Co Ltd Plasma treatment apparatus, and plasma generating apparatus
JP2008072029A (en) 2006-09-15 2008-03-27 Sumitomo Chemical Co Ltd Manufacturing method of semiconductor epitaxial crystal substrate
JP2008112750A (en) 2006-10-27 2008-05-15 Furukawa Electric Co Ltd:The Manufacturing method of semiconductor element

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595453A (en) * 1983-09-22 1986-06-17 Semiconductor Energy Laboratory Co., Ltd. Method for etching a semiconductor substrate or layer
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US6905965B2 (en) * 1997-12-30 2005-06-14 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6693030B1 (en) * 1997-12-30 2004-02-17 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20080305275A1 (en) * 1999-09-28 2008-12-11 Canon Anelva Corporation CVD system and substrate cleaning method
US20080044589A1 (en) * 1999-09-28 2008-02-21 Anelva Corporation CVD system and substrate cleaning method
US6663715B1 (en) * 1999-11-10 2003-12-16 Nec Corporation Plasma CVD apparatus for large area CVD film
US6779483B2 (en) * 1999-11-10 2004-08-24 Nec Corporation Plasma CVD apparatus for large area CVD film
US20010003014A1 (en) * 1999-12-07 2001-06-07 Nec Corporation Plasma CVD apparatus and plasma CVD method
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US20040194799A1 (en) * 2001-01-08 2004-10-07 Kim Jeong-Ho Apparatus and method for surface cleaning using plasma
US20060157079A1 (en) * 2001-01-08 2006-07-20 Kim Jeong-Ho Method for cleaning substrate surface
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6713401B2 (en) * 2001-01-16 2004-03-30 Hitachi, Ltd. Method for manufacturing semiconductor device
US6977229B2 (en) * 2002-07-25 2005-12-20 Renesas Technology Corp. Manufacturing method for semiconductor devices
US7105101B2 (en) * 2002-10-03 2006-09-12 Tokyo Electron Limited Method of removing oxide film on a substrate with hydrogen and fluorine radicals
US20060207724A1 (en) * 2002-10-03 2006-09-21 Tokyo Electron Limited Method of removing oxide film on a substrate with hydrogen and fluorine radicals
US20050221564A1 (en) * 2003-05-13 2005-10-06 Bevan Malcolm J System and method for mitigating oxide growth in a gate dielectric
US7872285B2 (en) * 2005-03-04 2011-01-18 Sumitomo Electric Industries, Ltd. Vertical gallium nitride semiconductor device and epitaxial substrate
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Machine Translation to Saito (JP 10-172957 as cited on IDS dated 4/21/2010) published June 1998. *

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9085108B2 (en) * 2012-12-24 2015-07-21 Fu Ding Electronical Technology (Jiashan) Co., Ltd. Film adhering mechanism
US20140174668A1 (en) * 2012-12-24 2014-06-26 Hon Hai Precision Industry Co., Ltd. Film adhering mechanism
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20160087064A1 (en) * 2014-09-22 2016-03-24 Kabushiki Kaisha Toshiba Semiconductor device, and method of manufacturing semiconductor device
US10043883B2 (en) * 2014-09-22 2018-08-07 Kabushiki Kaisha Toshiba Semiconductor device, and method of manufacturing semiconductor device
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10347510B2 (en) * 2015-07-23 2019-07-09 Tokyo Electron Limited Substrate transfer chamber, substrate processing system, and method for replacing gas in substrate transfer chamber
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) * 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11097907B2 (en) * 2017-07-10 2021-08-24 Tokyo Electron Limited Substrate transfer device and substrate transfer method
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108346561A (en) * 2018-02-09 2018-07-31 信利(惠州)智能显示有限公司 Polysilicon layer processing method and processing system before gate insulating layer film forming
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11355620B2 (en) 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220123117A1 (en) * 2019-08-30 2022-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of Forming a Source/Drain
US11929401B2 (en) * 2019-08-30 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain

Also Published As

Publication number Publication date
US10083830B2 (en) 2018-09-25
KR20100033328A (en) 2010-03-29
US20160343565A1 (en) 2016-11-24
JP5006938B2 (en) 2012-08-22
CN101919030A (en) 2010-12-15
WO2009057223A1 (en) 2009-05-07
CN101971298A (en) 2011-02-09
US20100255667A1 (en) 2010-10-07
JPWO2009057223A1 (en) 2011-03-10
WO2009057395A1 (en) 2009-05-07
KR101503412B1 (en) 2015-03-17
CN101919030B (en) 2012-07-04

Similar Documents

Publication Publication Date Title
US10083830B2 (en) Substrate cleaning method for removing oxide film
US11594415B2 (en) PECVD tungsten containing hardmask films and methods of making
US20190013211A1 (en) Tantalum-containing material removal
JP4914573B2 (en) Method of manufacturing field effect transistor having high dielectric gate insulating film and metal gate electrode
JP4914902B2 (en) Method and apparatus for forming silicide
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
WO2022089288A1 (en) Method for preparing oxide thin film
JP5006415B2 (en) Substrate cleaning method for removing oxide film
JPH11168090A (en) Semiconductor manufacturing method
JP2010074065A (en) Substrate cleaning method for removing oxide film
US9373516B2 (en) Method and apparatus for forming gate stack on Si, SiGe or Ge channels
JP4523994B2 (en) Method for manufacturing field effect transistor
JP4523995B2 (en) Method for manufacturing field effect transistor
US10957548B2 (en) Method of etching copper indium gallium selenide (CIGS) material
JP2006237640A (en) Manufacturing method for semiconductor
KR20220049461A (en) Method of Depositing Material on Stepped Structure
JPH08144059A (en) Continuous film forming device and continuous film formation
JP2009124177A (en) Method for vapor-depositing metal gate on high-k dielectric film, method for improving interface between high-k dielectric film and metal gate, and substrate treatment system
JP2000087246A (en) Heat treating method for copper film
JPH0845919A (en) Plasma assist dielectric layer forming method

Legal Events

Date Code Title Description
AS Assignment

Owner name: CANON ANELVA CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEINO, TAKUYA;IKEMOTO, MANABU;MASHIMO, KIMIKO;REEL/FRAME:024409/0746

Effective date: 20100428

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION