US20100224996A1 - Methods of manufacturing copper interconnect systems - Google Patents

Methods of manufacturing copper interconnect systems Download PDF

Info

Publication number
US20100224996A1
US20100224996A1 US12/782,092 US78209210A US2010224996A1 US 20100224996 A1 US20100224996 A1 US 20100224996A1 US 78209210 A US78209210 A US 78209210A US 2010224996 A1 US2010224996 A1 US 2010224996A1
Authority
US
United States
Prior art keywords
copper
layer
integrated circuit
conductive region
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/782,092
Inventor
James A. Cunningham
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intellectual Ventures Assets 185
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/782,092 priority Critical patent/US20100224996A1/en
Publication of US20100224996A1 publication Critical patent/US20100224996A1/en
Assigned to BECK SEMICONDUCTOR LLC reassignment BECK SEMICONDUCTOR LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CUNNINGHAM, JAMES A.
Assigned to INTELLECTUAL VENTURES I LLC reassignment INTELLECTUAL VENTURES I LLC MERGER (SEE DOCUMENT FOR DETAILS). Assignors: BECK SEMICONDUCTOR LLC
Assigned to INTELLECTUAL VENTURES ASSETS 185 reassignment INTELLECTUAL VENTURES ASSETS 185 ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTELLECTUAL VENTURES I LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the field of electronics, and, more particularly, to semiconductor devices including copper interconnects and related methods. Even more particularly, the invention relates to reliability improvements for copper interconnects.
  • Doped seed layers have been disclosed in the prior art, their presence directed to various objectives. These include U.S. Pat. Nos. 5,969,422 to Ting et al.; 6,249,055 to Dubin; 6,181,012 to Edelstein et al.; 6,066,892 to Ding et al.; and 6,462,675 to Paranjpe et al. But none of these inventions discloses a copper interconnect system where a copper via to underlying copper interconnect makes a substantially direct copper to copper connection without the presence of an intervening diffusion barrier. The presence of such a barrier tends to degrade the electromigration resistance of the system.
  • U.S. Pat. No. 6,380,075 to Cabral, Jr. et al. discloses a process which purports a CVD method wherein the liner thickness is very thin or nonexistent at the base of the via and yet of sufficient thickness on the horizontal surfaces under the copper interconnect regions. Such a process is also inherently extremely difficult to control. Neither of these prior art references discloses use of a metal-based cap layer, alloy seed layers, or methods of improved interface bonding.
  • U.S. Patent Application Publication No. 2002/0106895 A1 to Chung discloses a method to provide direct copper-to-copper contact at the base of a copper dual-damascene via. But no seed layer is disclosed, and no methods for improving the adhesion at the various copper interfaces are disclosed. Upon application of high current density, any copper interface where weak bonding of the adjoined film or material is present, tends to degrade the electromigration and stress induced migration failure rates.
  • U.S. Patent Application Publication No. 2003/0190829 A1 to Brennan also proposes a method to provide direct copper-to-copper contact at the base of a copper dual-damascene via. But no capping or seed layers are disclosed, and no methods for improving the adhesion of the various interfaces are disclosed. The method requires use of high dielectric constant nitride-based etch stop and diffusion barriers. This tends to degrade RC delays in the copper interconnects. A more serious concern is that the patent discloses no diffusion barriers for the edges of the copper interconnects.
  • a copper interconnect system which uses a conductive, metal-based cap layer, and substantially direct copper-to-copper contact at the interface of the copper via to the underlying copper interconnect.
  • the invention is focused on damascene type implementations.
  • doped, that is, alloy seed layers may be used both against dielectric (insulating) and metal-based or conductive diffusion barriers.
  • the resulting structure has improved resistance against electromigration (EM) and stress induced migration failures.
  • the invention provides enhanced adhesion or interfacial bonding for all critical interfaces including: seed layer to dielectric diffusion barrier layer; cap layer to main copper region; conductive trench liner barrier materials to seed layer; and conductive trench liner barrier materials to main surrounding inter-level dielectric (ILD).
  • ILD inter-level dielectric
  • FIGS. 1-3 are schematic cross-sectional views of an integrated circuit during manufacturing thereof in accordance with a first embodiment of the present invention.
  • FIGS. 4-6 are schematic cross-sectional views of an integrated circuit during manufacturing thereof in accordance with a second embodiment of the present invention.
  • FIGS. 7 and 8 are schematic cross-sectional views of alternate embodiments of the invention.
  • the following first embodiment is directed to improving the various weaknesses of prior art copper interconnect technology as discussed in detail above. These include weak bonding of the copper interconnect at the various interfaces present. Among various improvements, this invention teaches the use of certain metals and alloys to improve the bonding. This results in greatly reduced interface diffusion rates. This improves EM resistance, and reduces stress induced migration failure rates.
  • a first Damascene-type interconnect comprising copper 3 is formed in an SiO 2 or low-k type insulator 1 that, in turn, is on or adjacent a substrate 30 .
  • a liner 4 is formed by PVD, CVD or by (atomic layer deposition) ALD or by other methods, of Ta, Ta/TaN, Ta/TaN/Ta or other combinations thereof, or, for adhesion enhancement, an alloy or mixture comprising Ti added to Ta, Cr, Mo, W, Rh, Ru or R—such alloys yielding improved adhesion to copper based metal 3 .
  • the barriers may also contain nitrogen or Si.
  • the liner 4 may have a thickness in the range of one monolayer to 200 ⁇ . The added Ti concentration would range from 1 to 30%. Diffusion barrier liners of rhodium, ruthenium or rhenium may also be used as disclosed in U.S. Pat. No. 6,441,492, the entire contents of which are incorporated herein by reference.
  • a selective metal-based cap 5 is then added to the surface of interconnect 3 as described above.
  • the cap 5 may be formed using electroless Co-based alloys, such as Co—W—P or Co—W—B, or may be the Ru system described above, or another selectively deposited conductive system offering both a measure of good or improved adhesion to copper and an adequate diffusion barrier against penetration of copper into the surrounding interlevel dielectric ILD. (An alternative embodiment for a Ru-based barrier is described below.)
  • Dielectric layer 2 is then formed over the capped interconnect.
  • Dielectrics used for the ILD may be SiO 2 -based or may be one of various low-k insulators as known in the art. These include films such as: oxides containing fluoride (FSG), SiLKTM, Black DiamondTM, various spin-on organics, HSQ, various high-porosity SiO 2 -based types, and many others now under investigation and refinement in the industry.
  • Etch stop and dielectric diffusion barriers 6 are then formed using silicon nitride, Si—C, Si—C—N'O, Si—O—N, or Si—C—N materials as are known in the art.
  • Dielectric layer 7 and etch stop and optional diffusion barrier layer 8 are added prior to the formation of opening 9 .
  • Opening 9 is then formed using photoresist layers, not shown, as is known for the via for the first dual-damascene process. Differential etch rates for the dielectric diffusion barriers are not required in this process, so the materials may be selected for a minimum dielectric constant.
  • the Co-based barrier system 5 is then removed over the copper 3 using argon bombardment or backsputter cleaning
  • the Ru metal may be removed in fluorine-based RIE plasma chemistry.
  • a Ru-based cap an alternative is to remove the cap material upon etching the trench for the interconnect, that is, etch the film after the via first step.
  • Photoresist is then added and a trench for interconnect 12 is formed by plasma etching as is known in the art. Fluorine or chlorine plasma chemistries do not appreciably attack exposed copper-based metal 3 .
  • FIG. 1 shows the system at the intermediate step following opening of the trenches for a second overlying interconnect 12 .
  • FIG. 2 shows the structure following the deposition and anisotropic etchback of a conductive diffusion barrier 8 which can be of similar composition to layer 4 .
  • the barrier may be a dielectric barrier such as silicon nitride, Si—C—N—O or Si—C—N.
  • a diffusion barrier is deposited and is anisotropically etched back leaving edge protection layers 10 ( FIG. 2 ). Materials, such as those used for layer 8 could be used. Selective plasma etching chemistries may be employed using chlorine or fluorine or combinations thereof as are known in the art.
  • the copper material 3 is exposed at the base of the via.
  • the exposed copper at this point may be cleaned and optionally passivated using displacement plating with a metal more noble than copper, such as Ag, Pd or Pt.
  • This film thickness may be one monolayer up to about 50 ⁇ .
  • the surrounding dielectrics nay be cleaned with chemical complexing agents.
  • the exposed copper 3 may also be sputter cleaned prior to the formation of layer 11 .
  • the passivation step provides substantially no, or only partial, diffusion barrier properties at this copper 3 to copper 12 interface.
  • FIG. 3 shows the structure after deposition of an alloy seed layer 11 .
  • This alloy comprises copper and Ta, Cr, Mo, or W forming a mixture or alloy with improved adhesion to dielectric diffusion layer 6 .
  • the dopants Ta and Cr are preferred.
  • the alloy seed layer 11 may be deposited by PVD, or other methods such as CVD, such that a reasonably conformal film is created.
  • the added refractory metals may be in atomic concentrations ranging from 1 to 30% or more.
  • Seed layer 11 is designed to be strongly copper-like and does not contain sufficient dopant or alloying material such that it behaves as a diffusion barrier. Copper metal or copper alloy 12 may be then formed by electroplating or by electroless plating as is known in the art.
  • a cap 13 is added to the copper or copper alloy layer 12 in like manner to layer 5 .
  • the copper or copper-based metal 12 makes direct, or near direct contact, or equivalent direct contact, or contact without the intervening presence of a substantial or diffusion-blocking thickness of an immiscible or passive diffusion barrier, to copper or copper alloy metal 3 .
  • This main region copper 12 to lower level copper 3 contact is made through seed layer 11 comprising copper.
  • a second embodiment provides a conductive coating or cap layer on the copper interconnect surface for the purpose of interface diffusion rate reduction.
  • the coating is not a robust diffusion barrier, and for that reason it is covered by a dielectric diffusion barrier.
  • the coating is etchable in halide-containing anisotropic plasma etching chemistries (RIE), and may be removed so that overlying copper vias may make a more direct copper-to-copper connection. This aids the prevention of copper flux divergence at the base of a via, a phenomenon which tends to reduce EM resistance.
  • This embodiment also has no substantial diffusion barrier thickness remaining at the base of copper vias.
  • a copper based interconnect 12 is formed over substrate 11 by a damascene-type process.
  • the trench is lined with diffusion barrier 13 as described in the first embodiment above.
  • the film 23 below the dashed line 22 is an insulating layer as is known in the art, and is described in the first embodiment above.
  • the exposed copper interconnect 12 is passivated using palladium or platinum.
  • the Pt or Pd films 14 may be selectively applied by immersion plating or by electroless plating. A film ranging in thickness from one monolayer to about 200 ⁇ may be applied. Following the application of this metal film, the device may be annealed at 300-500° C. to interdiffuse the plated metal and copper.
  • Both metals form continuous solid solutions with copper, and upon annealing, form a hardened surface alloy layer which retards the surface transport of copper upon elevated temperature or EM stress. Both metals are plasma etchable in halide chemistries. Alternately, the heat treatment could be performed later in the process.
  • films 15 , 16 , 17 , 18 , and 19 are deposited as is known in the art.
  • Films 16 and 17 are SiO 2 -based or may be one of various low-k insulators as known in the art. These include films listed in the first embodiment.
  • Films 15 , 18 and 19 are etch stop diffusion barrier layers, such as silicon nitride or Si—C or PECVD Si—C—N or Si—C—N—O and other “carbide” type films as are known in the art.
  • the stack of insulating films is plasma etched, employing a photoresist layer, not shown, down to etch stop 15 , as is known in the art.
  • the trench region 32 is etched, and film 15 and unreacted or non-interdiffused portions of passivation film 14 are removed down to the surface of material 12 comprising copper. This may be seen in FIG. 5 .
  • a diffusion barrier 33 is formed as described in the first embodiment in the form of an edge spacer.
  • An alternative embodiment is etching this barrier film, which results in edge film 33 , to a non-zero thickness of 20 ⁇ or less such that it is a partial barrier in the horizontal regions.
  • the barrier film is electrically conducting.
  • FIG. 6 shows the device following the formation of alloy seed layer 34 .
  • the preferred alloying elements Ta and Cr for the alloy seed layer are carefully selected. They require two properties to perform optimally in this invention:
  • the preferred metals should be capable of forming strong M—O bonds so that good adhesion is available against the dielectric barriers such as layer 18 .
  • the Gibbs function free energy per oxygen bond for metals decreases as: Ti>Al>Ta ⁇ Cr>Si>Mo ⁇ W ⁇ Co ⁇ Re>Cu>Ru.
  • the preferred metals also form strong M—N bonds.
  • the main current-carrying copper region above film 34 may be unalloyed or lightly alloyed with elements which only cause small increases in resistivity such as, for example, Ag or Cd. Interdiffusion of substantial concentrations of Ta, Cr, Mo or W would cause significant increases in the resistivity in the main current-carrying copper material formed onto the alloy seed layers. If this were to happen, the system may not be viable.
  • An exemplary alloy is Ta and copper, with the Ta concentration approximately 5 to 30 at %.
  • a preferred alloy may be 10 to 20 at % Ta in copper.
  • a preferred method of deposition of the seed alloy is PVD or IPVD (ionized PVD) as developed by Applied Materials and others. The sputtering parameters may be adjusted to maximize edge coverage over bottom coverage.
  • the films may also be applied by CVD.
  • the bulk of the copper based interconnected is formed onto the seed layer and planarized using CMP as described in the first embodiment.
  • the bulk copper is typically electroplated.
  • An alternate embodiment for the metal cap layer over copper is now disclosed.
  • This barrier in its more robust form, should both firmly bond to both copper and the overlying oxide insulation or dielectric, and offer passive diffusion barrier characteristics as well.
  • An exemplary system is the following material stack: plated copper/displacement plated silver followed by displacement palladium/selective electroless plated Ru/light oxidation. This system requires no overlying dielectric diffusion barrier; thus the ILD dielectric constant is minimized.
  • FIGS. 7 and 8 show the expected metallurgy of the system upon annealing.
  • a copper interconnect surface such as that of copper region 3 prior to application of film 5 is represented in FIG. 7 as electroplated copper 35 .
  • solid state diffusion and oxidation of the Ru occurs producing the structure of FIG. 8 represented by Cu phase 41 , Cu+Pd solid solution 42 , Ag+Pd solid solution 39 , 1-2% Ru in Pd 2 phase 43 , and conductive RuO 2 surface layer 40 .
  • Grain boundaries 44 would contain some oxidized Ru in the remaining Ru layer derived from Ru 38 .
  • the system Upon application of an overlying dielectric such as film 2 , the system provides a firm and strongly bound transition from copper to diffusion barrier to overlying dielectric.
  • Non-cyanide complexing agents Using non-cyanide complexing agents, various solutions may be prepared for immersion plating bright films of silver to copper. Silver added to copper has the smallest known effect on resistivity of all the elements. Since reduction potentials increase as Cu>Ru>Rh>Ag>Pd>Pt>Au, Pd may be immersion plated over Ag. Such an action also fills any pinholes in the Ag layer making the final film more dense.
  • Ru may be electroless plated using hydrazine as a reducing agent.
  • the final film stack may be annealed in N 2 followed by N 2 /O 2 forming a conductive RuO 2 layer and simultaneously stuffing the grain boundaries of the Ru passive barrier.
  • the films could be removed or thinned. Since Ru forms volatile fluorides, this can be accomplished during the anisotropic plasma etching through the via ILD. This provides a more direct Cu to Cu connection from via to interconnect.
  • Ru based barriers have been under investigation as diffusion and oxidation barriers for very high-k, stacked, DRAM capacitor applications.

Abstract

An integrated circuit (IC) may include a substrate, a first dielectric layer adjacent the substrate, and at least one trench in the first dielectric layer. The IC may also include a metal liner within the at least one trench, and a first conductive region including copper within the at least one trench. A cap layer including metal may be provided on the first conductive region. A second dielectric layer may be over the first conductive region and the cap layer. A dielectric etch stop and diffusion barrier layer may be over the second dielectric layer, and a via may be over the first conductive region and through the second dielectric layer and the cap layer. A diffusion barrier layer may be on sidewalls of the via, and an alloy seed layer including copper and at least one of tantalum, molybdenum, chromium, and tungsten may be over the diffusion barrier. The allow seed layer may also be over the dielectric etch stop and diffusion barrier layer, and the alloy seed layer may be in contact with the first conductive region.

Description

    CROSS-REFERENCE
  • This application is a continuation of U.S. patent application Ser. No. 12/554,137, filed Sep. 4, 2009, currently pending, which is a continuation of U.S. patent application Ser. No. 12/056,163, filed Mar. 26, 2008, now U.S. Pat. No. 7,585,766, which is a continuation of U.S. patent application Ser. No. 11/278,914, filed Apr. 26, 2006, now U.S. Pat. No. 7,372,152, which is a continuation of U.S. patent application Ser. No. 10/803,475, filed Mar. 18, 2004, now U.S. Pat. No. 7,026,714, which claims the benefit of U.S. Provisional Application No. 60/455,496, filed Mar. 18, 2003, the entireties of all of which are incorporated herein by reference.
  • TECHNICAL FIELD
  • The present invention relates to the field of electronics, and, more particularly, to semiconductor devices including copper interconnects and related methods. Even more particularly, the invention relates to reliability improvements for copper interconnects.
  • BACKGROUND
  • Doped seed layers have been disclosed in the prior art, their presence directed to various objectives. These include U.S. Pat. Nos. 5,969,422 to Ting et al.; 6,249,055 to Dubin; 6,181,012 to Edelstein et al.; 6,066,892 to Ding et al.; and 6,462,675 to Paranjpe et al. But none of these inventions discloses a copper interconnect system where a copper via to underlying copper interconnect makes a substantially direct copper to copper connection without the presence of an intervening diffusion barrier. The presence of such a barrier tends to degrade the electromigration resistance of the system.
  • Two prior art patents disclose where direct or near direct copper-to-copper interfaces are formed at the base of a copper via to an underlying copper interconnect. U.S. Pat. No. 6,169,024 to Hussein forms a seed layer of varying thicknesses against a refractory metal diffusion barrier as are common in the industry, and then etches both refractory metal barrier and the dielectric cap material at the base of the via with the seed material acting as a mask. Such a process is inherently extremely difficult to control, the main problem residing in controlling the required wide variation in seed layer thicknesses.
  • U.S. Pat. No. 6,380,075 to Cabral, Jr. et al. discloses a process which purports a CVD method wherein the liner thickness is very thin or nonexistent at the base of the via and yet of sufficient thickness on the horizontal surfaces under the copper interconnect regions. Such a process is also inherently extremely difficult to control. Neither of these prior art references discloses use of a metal-based cap layer, alloy seed layers, or methods of improved interface bonding.
  • U.S. Patent Application Publication No. 2002/0106895 A1 to Chung discloses a method to provide direct copper-to-copper contact at the base of a copper dual-damascene via. But no seed layer is disclosed, and no methods for improving the adhesion at the various copper interfaces are disclosed. Upon application of high current density, any copper interface where weak bonding of the adjoined film or material is present, tends to degrade the electromigration and stress induced migration failure rates.
  • U.S. Patent Application Publication No. 2003/0190829 A1 to Brennan also proposes a method to provide direct copper-to-copper contact at the base of a copper dual-damascene via. But no capping or seed layers are disclosed, and no methods for improving the adhesion of the various interfaces are disclosed. The method requires use of high dielectric constant nitride-based etch stop and diffusion barriers. This tends to degrade RC delays in the copper interconnects. A more serious concern is that the patent discloses no diffusion barriers for the edges of the copper interconnects.
  • SUMMARY
  • In view of the foregoing background, it is therefore an object of the present invention to provide a copper interconnect system which uses a conductive, metal-based cap layer, and substantially direct copper-to-copper contact at the interface of the copper via to the underlying copper interconnect. The invention, with its several embodiments, is focused on damascene type implementations. Within the dual-damascene structure, doped, that is, alloy seed layers may be used both against dielectric (insulating) and metal-based or conductive diffusion barriers. The resulting structure has improved resistance against electromigration (EM) and stress induced migration failures.
  • The invention provides enhanced adhesion or interfacial bonding for all critical interfaces including: seed layer to dielectric diffusion barrier layer; cap layer to main copper region; conductive trench liner barrier materials to seed layer; and conductive trench liner barrier materials to main surrounding inter-level dielectric (ILD). Use of high dielectric insulators may be minimized.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-3 are schematic cross-sectional views of an integrated circuit during manufacturing thereof in accordance with a first embodiment of the present invention.
  • FIGS. 4-6 are schematic cross-sectional views of an integrated circuit during manufacturing thereof in accordance with a second embodiment of the present invention.
  • FIGS. 7 and 8 are schematic cross-sectional views of alternate embodiments of the invention.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The present invention now will be described more fully with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art.
  • The following first embodiment is directed to improving the various weaknesses of prior art copper interconnect technology as discussed in detail above. These include weak bonding of the copper interconnect at the various interfaces present. Among various improvements, this invention teaches the use of certain metals and alloys to improve the bonding. This results in greatly reduced interface diffusion rates. This improves EM resistance, and reduces stress induced migration failure rates.
  • Referring to FIG. 1, a first Damascene-type interconnect comprising copper 3 is formed in an SiO2 or low-k type insulator 1 that, in turn, is on or adjacent a substrate 30. A liner 4 is formed by PVD, CVD or by (atomic layer deposition) ALD or by other methods, of Ta, Ta/TaN, Ta/TaN/Ta or other combinations thereof, or, for adhesion enhancement, an alloy or mixture comprising Ti added to Ta, Cr, Mo, W, Rh, Ru or R—such alloys yielding improved adhesion to copper based metal 3. The barriers may also contain nitrogen or Si. In general, nitrogen tends to reduce grain boundary diffusion rates, and small concentrations of Si tend to produce amorphous or more amorphous films. The liner 4 may have a thickness in the range of one monolayer to 200 Å. The added Ti concentration would range from 1 to 30%. Diffusion barrier liners of rhodium, ruthenium or rhenium may also be used as disclosed in U.S. Pat. No. 6,441,492, the entire contents of which are incorporated herein by reference.
  • Following the planarization step using CMP, as indicated by a plane shown by the dashed line 21, a selective metal-based cap 5 is then added to the surface of interconnect 3 as described above. The cap 5 may be formed using electroless Co-based alloys, such as Co—W—P or Co—W—B, or may be the Ru system described above, or another selectively deposited conductive system offering both a measure of good or improved adhesion to copper and an adequate diffusion barrier against penetration of copper into the surrounding interlevel dielectric ILD. (An alternative embodiment for a Ru-based barrier is described below.)
  • Dielectric layer 2 is then formed over the capped interconnect. Dielectrics used for the ILD may be SiO2-based or may be one of various low-k insulators as known in the art. These include films such as: oxides containing fluoride (FSG), SiLK™, Black Diamond™, various spin-on organics, HSQ, various high-porosity SiO2-based types, and many others now under investigation and refinement in the industry.
  • Etch stop and dielectric diffusion barriers 6 are then formed using silicon nitride, Si—C, Si—C—N'O, Si—O—N, or Si—C—N materials as are known in the art. Dielectric layer 7 and etch stop and optional diffusion barrier layer 8 are added prior to the formation of opening 9. Opening 9 is then formed using photoresist layers, not shown, as is known for the via for the first dual-damascene process. Differential etch rates for the dielectric diffusion barriers are not required in this process, so the materials may be selected for a minimum dielectric constant. The Co-based barrier system 5 is then removed over the copper 3 using argon bombardment or backsputter cleaning For a Ru-based embodiment, the Ru metal may be removed in fluorine-based RIE plasma chemistry. For a Ru-based cap an alternative is to remove the cap material upon etching the trench for the interconnect, that is, etch the film after the via first step. Photoresist is then added and a trench for interconnect 12 is formed by plasma etching as is known in the art. Fluorine or chlorine plasma chemistries do not appreciably attack exposed copper-based metal 3.
  • FIG. 1 shows the system at the intermediate step following opening of the trenches for a second overlying interconnect 12. FIG. 2 shows the structure following the deposition and anisotropic etchback of a conductive diffusion barrier 8 which can be of similar composition to layer 4. Alternately, the barrier may be a dielectric barrier such as silicon nitride, Si—C—N—O or Si—C—N. A diffusion barrier is deposited and is anisotropically etched back leaving edge protection layers 10 (FIG. 2). Materials, such as those used for layer 8 could be used. Selective plasma etching chemistries may be employed using chlorine or fluorine or combinations thereof as are known in the art. The copper material 3 is exposed at the base of the via. The exposed copper at this point may be cleaned and optionally passivated using displacement plating with a metal more noble than copper, such as Ag, Pd or Pt. This film thickness may be one monolayer up to about 50 Å. Following this optional plating, the surrounding dielectrics nay be cleaned with chemical complexing agents. The exposed copper 3 may also be sputter cleaned prior to the formation of layer 11. The passivation step provides substantially no, or only partial, diffusion barrier properties at this copper 3 to copper 12 interface.
  • FIG. 3 shows the structure after deposition of an alloy seed layer 11. This alloy comprises copper and Ta, Cr, Mo, or W forming a mixture or alloy with improved adhesion to dielectric diffusion layer 6. The dopants Ta and Cr are preferred. The alloy seed layer 11 may be deposited by PVD, or other methods such as CVD, such that a reasonably conformal film is created. The added refractory metals may be in atomic concentrations ranging from 1 to 30% or more. Seed layer 11 is designed to be strongly copper-like and does not contain sufficient dopant or alloying material such that it behaves as a diffusion barrier. Copper metal or copper alloy 12 may be then formed by electroplating or by electroless plating as is known in the art. A cap 13 is added to the copper or copper alloy layer 12 in like manner to layer 5. The copper or copper-based metal 12 makes direct, or near direct contact, or equivalent direct contact, or contact without the intervening presence of a substantial or diffusion-blocking thickness of an immiscible or passive diffusion barrier, to copper or copper alloy metal 3. This main region copper 12 to lower level copper 3 contact is made through seed layer 11 comprising copper.
  • Referring now additionally to FIGS. 4-6, a second embodiment provides a conductive coating or cap layer on the copper interconnect surface for the purpose of interface diffusion rate reduction. The coating is not a robust diffusion barrier, and for that reason it is covered by a dielectric diffusion barrier. The coating is etchable in halide-containing anisotropic plasma etching chemistries (RIE), and may be removed so that overlying copper vias may make a more direct copper-to-copper connection. This aids the prevention of copper flux divergence at the base of a via, a phenomenon which tends to reduce EM resistance. This embodiment also has no substantial diffusion barrier thickness remaining at the base of copper vias.
  • Following FIG. 4, a copper based interconnect 12 is formed over substrate 11 by a damascene-type process. The trench is lined with diffusion barrier 13 as described in the first embodiment above. The film 23 below the dashed line 22 is an insulating layer as is known in the art, and is described in the first embodiment above. After CMP, terminating approximately along the dashed line 22 shown, the exposed copper interconnect 12 is passivated using palladium or platinum. The Pt or Pd films 14 may be selectively applied by immersion plating or by electroless plating. A film ranging in thickness from one monolayer to about 200 Å may be applied. Following the application of this metal film, the device may be annealed at 300-500° C. to interdiffuse the plated metal and copper. Both metals form continuous solid solutions with copper, and upon annealing, form a hardened surface alloy layer which retards the surface transport of copper upon elevated temperature or EM stress. Both metals are plasma etchable in halide chemistries. Alternately, the heat treatment could be performed later in the process.
  • Following the surface passivation step insulating films 15, 16, 17, 18, and 19 are deposited as is known in the art. Films 16 and 17 are SiO2-based or may be one of various low-k insulators as known in the art. These include films listed in the first embodiment. Films 15, 18 and 19 are etch stop diffusion barrier layers, such as silicon nitride or Si—C or PECVD Si—C—N or Si—C—N—O and other “carbide” type films as are known in the art.
  • As shown in FIG. 4, the stack of insulating films is plasma etched, employing a photoresist layer, not shown, down to etch stop 15, as is known in the art. After applying another PR layer, not shown, which defines the trench region for a second copper based interconnect, not shown completed, the trench region 32 is etched, and film 15 and unreacted or non-interdiffused portions of passivation film 14 are removed down to the surface of material 12 comprising copper. This may be seen in FIG. 5.
  • Following this step, a diffusion barrier 33 is formed as described in the first embodiment in the form of an edge spacer.
  • An alternative embodiment is etching this barrier film, which results in edge film 33, to a non-zero thickness of 20 Å or less such that it is a partial barrier in the horizontal regions. In this case, the barrier film is electrically conducting.
  • Following this step, an alloy seed layer 34 is formed as described in the first embodiment. FIG. 6 shows the device following the formation of alloy seed layer 34.
  • It may be noted that the preferred alloying elements Ta and Cr for the alloy seed layer are carefully selected. They require two properties to perform optimally in this invention:
  • (1) They should be capable of forming strong M—O bonds so that good adhesion is available against the dielectric barriers such as layer 18. The Gibbs function free energy per oxygen bond for metals decreases as: Ti>Al>Ta≈Cr>Si>Mo≈W≈Co≈Re>Cu>Ru. The preferred metals also form strong M—N bonds.
  • (2) They should be immiscible (passive) with copper so that negligible interdiffusion occurs from the alloying elements into the main or thicker copper interconnect region formed above and onto film 34.
  • The main current-carrying copper region above film 34 may be unalloyed or lightly alloyed with elements which only cause small increases in resistivity such as, for example, Ag or Cd. Interdiffusion of substantial concentrations of Ta, Cr, Mo or W would cause significant increases in the resistivity in the main current-carrying copper material formed onto the alloy seed layers. If this were to happen, the system may not be viable. An exemplary alloy is Ta and copper, with the Ta concentration approximately 5 to 30 at %. A preferred alloy may be 10 to 20 at % Ta in copper. A preferred method of deposition of the seed alloy is PVD or IPVD (ionized PVD) as developed by Applied Materials and others. The sputtering parameters may be adjusted to maximize edge coverage over bottom coverage. The films may also be applied by CVD.
  • Finally, the bulk of the copper based interconnected is formed onto the seed layer and planarized using CMP as described in the first embodiment. The bulk copper is typically electroplated.
  • An alternate embodiment for the metal cap layer over copper is now disclosed. This barrier, in its more robust form, should both firmly bond to both copper and the overlying oxide insulation or dielectric, and offer passive diffusion barrier characteristics as well. An exemplary system is the following material stack: plated copper/displacement plated silver followed by displacement palladium/selective electroless plated Ru/light oxidation. This system requires no overlying dielectric diffusion barrier; thus the ILD dielectric constant is minimized.
  • FIGS. 7 and 8 show the expected metallurgy of the system upon annealing. A copper interconnect surface such as that of copper region 3 prior to application of film 5 is represented in FIG. 7 as electroplated copper 35. Approximately 20 Å of immersion silver 36, approximately 10 Å of immersion palladium 37, and approximately 100 Å of electroless Ru 38 are applied over copper layer 35. Upon annealing, at about 400° C. in a mixture of N2 and O2, solid state diffusion and oxidation of the Ru occurs producing the structure of FIG. 8 represented by Cu phase 41, Cu+Pd solid solution 42, Ag+Pd solid solution 39, 1-2% Ru in Pd2 phase 43, and conductive RuO2 surface layer 40. Grain boundaries 44 would contain some oxidized Ru in the remaining Ru layer derived from Ru 38.
  • Upon application of an overlying dielectric such as film 2, the system provides a firm and strongly bound transition from copper to diffusion barrier to overlying dielectric.
  • Using non-cyanide complexing agents, various solutions may be prepared for immersion plating bright films of silver to copper. Silver added to copper has the smallest known effect on resistivity of all the elements. Since reduction potentials increase as Cu>Ru>Rh>Ag>Pd>Pt>Au, Pd may be immersion plated over Ag. Such an action also fills any pinholes in the Ag layer making the final film more dense.
  • Solutions for immersion plating of palladium are known. Ru may be electroless plated using hydrazine as a reducing agent. The final film stack may be annealed in N2 followed by N2/O2 forming a conductive RuO2 layer and simultaneously stuffing the grain boundaries of the Ru passive barrier.
  • For the embodiments where the Ru and RuO2 layer were at the base of a via, the films could be removed or thinned. Since Ru forms volatile fluorides, this can be accomplished during the anisotropic plasma etching through the via ILD. This provides a more direct Cu to Cu connection from via to interconnect.
  • In recent years, Ru based barriers have been under investigation as diffusion and oxidation barriers for very high-k, stacked, DRAM capacitor applications.
  • Many modifications and other embodiments of the invention will come to the mind of one skilled in the art having the benefit of the teachings presented in the foregoing descriptions and the associated drawings. Therefore, it is to be understood that the invention is not to be limited to the specific embodiments disclosed, and that other modifications and embodiments are intended to be included within the scope of the appended claims.

Claims (15)

1. An integrated circuit comprising:
a substrate;
a first dielectric layer disposed over the substrate;
a trench formed within the first dielectric layer;
a metal-based first liner disposed within the trench;
a first conductive region formed within the trench and over, at least in part, the first liner;
a metal-based cap layer disposed over the first conductive region;
an etch stop layer disposed over the cap layer and in direct contact with the first dielectric layer;
a second dielectric disposed over and in direct contact with the etch stop layer;
a via opening passing through the second dielectric layer and the cap layer;
a metal-based second liner disposed on the sidewalls of the via opening; and
a second conductive region formed within the via opening, wherein the second conductive region is in electrical contact with the first conductive region.
2. The integrated circuit of claim 1, further comprising:
an alloy seed layer disposed on the sidewalls and bottom of the via opening between the second liner and the second conductive region.
3. The integrated circuit of claim 2, wherein the alloy seed layer comprises Cu.
4. The integrated circuit of claim 1, wherein the first conductive region comprises Cu.
5. The integrated circuit of claim 1, wherein the metal-based cap layer comprises a Co-based alloy.
6. The integrated circuit of claim 5, wherein the Co-based alloy comprises at least one of Co—W—P or Co—W—B.
7. The integrated circuit of claim 5, wherein the Co-based alloy comprises an electroless Co-based alloy.
8. The integrated circuit of claim 1, wherein the metal-based cap layer comprises at least one of Co, B, W, P, or Ru.
9. The integrated circuit of claim 1, wherein the metal-based cap layer is a selectively-deposited cap layer.
10. The integrated circuit of claim 1, wherein the second conductive region comprises Cu.
11. The integrated circuit of claim 1, wherein the etch stop layer comprises at least one of the following materials: silicon nitride, Si—C, Si—C—N—O, Si—O—N, or Si—C—N.
12. The integrated circuit of claim 1, wherein the first liner comprises at least one of the following materials: Ta, Ta/TaN, Ta/TaN/Ta, or other combinations thereof.
13. The integrated circuit of claim 1, wherein the first liner comprises an alloy or mixture including Ti added to Ta, Cr, Mo, W, Rh, Ru or Re.
14. The integrated circuit of claim 1, wherein the second liner comprises at least one of the following materials: Ta, Ta/TaN, Ta/TaN/Ta or other combinations thereof.
15. The integrated circuit of claim 1, wherein the second liner comprises an alloy or mixture including Ti added to Ta, Cr, Mo, W, Rh, Ru or Re.
US12/782,092 2003-03-18 2010-05-18 Methods of manufacturing copper interconnect systems Abandoned US20100224996A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/782,092 US20100224996A1 (en) 2003-03-18 2010-05-18 Methods of manufacturing copper interconnect systems

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US45549603P 2003-03-18 2003-03-18
US10/803,475 US7026714B2 (en) 2003-03-18 2004-03-18 Copper interconnect systems which use conductive, metal-based cap layers
US11/278,914 US7372152B2 (en) 2003-03-18 2006-04-06 Copper interconnect systems
US12/056,163 US7585766B2 (en) 2003-03-18 2008-03-26 Methods of manufacturing copper interconnect systems
US12/554,137 US20090321938A1 (en) 2003-03-18 2009-09-04 Methods of Manufacturing Copper Interconnect Systems
US12/782,092 US20100224996A1 (en) 2003-03-18 2010-05-18 Methods of manufacturing copper interconnect systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/554,137 Continuation US20090321938A1 (en) 2003-03-18 2009-09-04 Methods of Manufacturing Copper Interconnect Systems

Publications (1)

Publication Number Publication Date
US20100224996A1 true US20100224996A1 (en) 2010-09-09

Family

ID=33456843

Family Applications (7)

Application Number Title Priority Date Filing Date
US10/803,475 Expired - Lifetime US7026714B2 (en) 2003-03-18 2004-03-18 Copper interconnect systems which use conductive, metal-based cap layers
US11/278,914 Expired - Lifetime US7372152B2 (en) 2003-03-18 2006-04-06 Copper interconnect systems
US11/468,998 Expired - Lifetime US7361589B2 (en) 2003-03-18 2006-08-31 Copper interconnect systems which use conductive, metal-based cap layers
US11/469,003 Expired - Lifetime US7351655B2 (en) 2003-03-18 2006-08-31 Copper interconnect systems which use conductive, metal-based cap layers
US12/056,163 Expired - Lifetime US7585766B2 (en) 2003-03-18 2008-03-26 Methods of manufacturing copper interconnect systems
US12/554,137 Abandoned US20090321938A1 (en) 2003-03-18 2009-09-04 Methods of Manufacturing Copper Interconnect Systems
US12/782,092 Abandoned US20100224996A1 (en) 2003-03-18 2010-05-18 Methods of manufacturing copper interconnect systems

Family Applications Before (6)

Application Number Title Priority Date Filing Date
US10/803,475 Expired - Lifetime US7026714B2 (en) 2003-03-18 2004-03-18 Copper interconnect systems which use conductive, metal-based cap layers
US11/278,914 Expired - Lifetime US7372152B2 (en) 2003-03-18 2006-04-06 Copper interconnect systems
US11/468,998 Expired - Lifetime US7361589B2 (en) 2003-03-18 2006-08-31 Copper interconnect systems which use conductive, metal-based cap layers
US11/469,003 Expired - Lifetime US7351655B2 (en) 2003-03-18 2006-08-31 Copper interconnect systems which use conductive, metal-based cap layers
US12/056,163 Expired - Lifetime US7585766B2 (en) 2003-03-18 2008-03-26 Methods of manufacturing copper interconnect systems
US12/554,137 Abandoned US20090321938A1 (en) 2003-03-18 2009-09-04 Methods of Manufacturing Copper Interconnect Systems

Country Status (1)

Country Link
US (7) US7026714B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100200991A1 (en) * 2007-03-15 2010-08-12 Rohan Akolkar Dopant Enhanced Interconnect
US20160293484A1 (en) * 2015-04-02 2016-10-06 Jong-Jin Lee Methods of forming wiring structures
US20170194247A1 (en) * 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co.,Ltd. Interconnection structure and method of forming the same

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US6927493B2 (en) * 2003-10-03 2005-08-09 Texas Instruments Incorporated Sealing and protecting integrated circuit bonding pads
US7573133B2 (en) 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
DE102004021239B4 (en) * 2004-04-30 2017-04-06 Infineon Technologies Ag Long annealed integrated circuit arrangements and their manufacturing processes
US7709958B2 (en) * 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
US7199021B2 (en) * 2004-06-22 2007-04-03 Texas Instruments Incorporated Methods and systems to mitigate etch stop clipping for shallow trench isolation fabrication
US7217651B2 (en) * 2004-07-28 2007-05-15 Intel Corporation Interconnects with interlocks
KR100668833B1 (en) * 2004-12-17 2007-01-16 주식회사 하이닉스반도체 Emthod for fabricating capacitor in semiconductor device
JP2006210508A (en) * 2005-01-26 2006-08-10 Sony Corp Semiconductor device and its manufacturing method
US20060205204A1 (en) * 2005-03-14 2006-09-14 Michael Beck Method of making a semiconductor interconnect with a metal cap
JP2006324414A (en) * 2005-05-18 2006-11-30 Toshiba Corp Semiconductor device and method for manufacturing same
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
DE102006056626A1 (en) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Conductive barrier layer producing method for manufacturing integrated circuit, involves depositing layer on exposed surfaces by self-restricted deposition technique, and providing surface with characteristics at reduced deposition rate
KR100853098B1 (en) * 2006-12-27 2008-08-19 동부일렉트로닉스 주식회사 Metal line in semiconductor device and method of manufacturing the metal line
DE102007004860B4 (en) 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale A method of making a copper-based metallization layer having a conductive overcoat by an improved integration scheme
DE102007009912B4 (en) * 2007-02-28 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale A method of making a copper-based metallization layer having a conductive cap layer by an advanced integration scheme
US9385034B2 (en) * 2007-04-11 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Carbonization of metal caps
JP2008294211A (en) * 2007-05-24 2008-12-04 Toshiba Corp Semiconductor device, and manufacturing method thereof
US8138604B2 (en) * 2007-06-21 2012-03-20 International Business Machines Corporation Metal cap with ultra-low k dielectric material for circuit interconnect applications
US7884018B2 (en) * 2007-06-21 2011-02-08 International Business Machines Corporation Method for improving the selectivity of a CVD process
KR100861306B1 (en) * 2007-06-26 2008-10-01 주식회사 하이닉스반도체 Line of semiconductor device and method for manufacturing the same
JP5165287B2 (en) * 2007-06-27 2013-03-21 オンセミコンダクター・トレーディング・リミテッド Wiring structure and manufacturing method thereof
KR100884987B1 (en) * 2007-08-27 2009-02-23 주식회사 동부하이텍 Method for forming cu metal line of semiconductor device
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
DE102007046846A1 (en) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Sidewall protection layer
US8772933B2 (en) 2007-12-12 2014-07-08 International Business Machines Corporation Interconnect structure and method of making same
US7932176B2 (en) * 2008-03-21 2011-04-26 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects
DE102008021568B3 (en) 2008-04-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale A method of reducing erosion of a metal cap layer during via formation in semiconductor devices and semiconductor device with a protective material for reducing erosion of the metal cap layer
US8420537B2 (en) * 2008-05-28 2013-04-16 International Business Machines Corporation Stress locking layer for reliable metallization
US20090321934A1 (en) * 2008-06-30 2009-12-31 Lavoie Adrien R Self-aligned cap and barrier
US7879720B2 (en) * 2008-09-30 2011-02-01 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
DE102008049775B4 (en) * 2008-09-30 2018-08-09 Globalfoundries Inc. A method of fabricating a metal capping layer having improved etch resistance for copper-based metal regions in semiconductor devices
US8734659B2 (en) * 2008-10-09 2014-05-27 Bandgap Engineering Inc. Process for structuring silicon
JP2010123586A (en) * 2008-11-17 2010-06-03 Nec Electronics Corp Semiconductor device, and method of manufacturing the same
US8053861B2 (en) * 2009-01-26 2011-11-08 Novellus Systems, Inc. Diffusion barrier layers
US8095765B2 (en) * 2009-03-04 2012-01-10 Micron Technology, Inc. Memory block management
US20110045171A1 (en) * 2009-08-19 2011-02-24 International Business Machines Corporation Multi-Step Method to Selectively Deposit Ruthenium Layers of Arbitrary Thickness on Copper
IL200772A0 (en) * 2009-09-07 2010-06-30 J G Systems Inc A method and composition to repair pinholes and microvoids in immersion silver plated pwb's
CN105304479B (en) 2009-10-23 2018-06-01 哈佛大学校长及研究员协会 For the self-aligned barrier layers and capping layer of interconnection
US8492878B2 (en) 2010-07-21 2013-07-23 International Business Machines Corporation Metal-contamination-free through-substrate via structure
US8569888B2 (en) * 2011-05-24 2013-10-29 International Business Machines Corporation Wiring structure and method of forming the structure
CN102332425A (en) * 2011-09-23 2012-01-25 复旦大学 Method for enhancing electromigration resistance property in copper interconnection technology
CN102593097A (en) * 2012-02-27 2012-07-18 北京大学 Integrated circuit metal interconnecting structure and manufacture method thereof
JP6225453B2 (en) * 2012-05-24 2017-11-08 日亜化学工業株式会社 Semiconductor device
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US20140138837A1 (en) * 2012-11-20 2014-05-22 Stmicroelectronics, Inc. Sandwiched diffusion barrier and metal liner for an interconnect structure
US8729702B1 (en) 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
US9076847B2 (en) 2013-01-18 2015-07-07 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US9123726B2 (en) 2013-01-18 2015-09-01 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US20140220777A1 (en) * 2013-02-05 2014-08-07 International Business Machines Corporation Processing system for combined metal deposition and reflow anneal for forming interconnect structures
US9295163B2 (en) * 2013-05-30 2016-03-22 Dyi-chung Hu Method of making a circuit board structure with embedded fine-pitch wires
US8906799B1 (en) * 2013-07-29 2014-12-09 International Business Machines Corporation Random local metal cap layer formation for improved integrated circuit reliability
US8993442B2 (en) * 2013-08-23 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
US9349691B2 (en) 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US10304773B2 (en) 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
PL232559B1 (en) * 2017-07-17 2019-06-28 Instytut Tech Elektronowej Method for producing multi-layered metallization, multi-layered metallization and application of multi-layered metallization
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10361119B1 (en) * 2018-04-30 2019-07-23 International Business Machines Corporation Enlarged contact area structure using noble metal cap and noble metal liner
US11114382B2 (en) 2018-10-19 2021-09-07 International Business Machines Corporation Middle-of-line interconnect having low metal-to-metal interface resistance
US11127676B2 (en) 2020-01-16 2021-09-21 International Business Machines Corporation Removal or reduction of chamfer for fully-aligned via
US11171051B1 (en) 2020-05-06 2021-11-09 International Business Machines Corporation Contacts and liners having multi-segmented protective caps

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510651A (en) * 1993-06-07 1996-04-23 Motorola, Inc. Semiconductor device having a reducing/oxidizing conductive material
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6037664A (en) * 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6066892A (en) * 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US20010030366A1 (en) * 2000-03-08 2001-10-18 Hiroshi Nakano Semiconducting system and production method
US6380075B1 (en) * 2000-09-29 2002-04-30 International Business Machines Corporation Method for forming an open-bottom liner for a conductor in an electronic structure and device formed
US6403925B1 (en) * 1995-07-10 2002-06-11 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US20020093098A1 (en) * 1999-04-05 2002-07-18 Barr Alexander L. Semiconductor device and method of formation
US20020106895A1 (en) * 2001-02-08 2002-08-08 Macronix International Co., Ltd. Method for forming copper interconnect and enhancing electromigration resistance
US6461675B2 (en) * 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
US20030190826A1 (en) * 2002-04-08 2003-10-09 Ultratera Corporation Test fixture for semiconductor packages and test method of using the same
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US6674170B1 (en) * 2000-12-18 2004-01-06 Advanced Micro Devices, Inc. Barrier metal oxide interconnect cap in integrated circuits
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
US6767812B2 (en) * 2001-06-14 2004-07-27 Oki Electric Industry Co., Ltd. Method of forming CVD titanium film
US20040173908A1 (en) * 2002-01-15 2004-09-09 Edward Barth Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6821879B2 (en) * 2002-10-30 2004-11-23 Xerox Corporation Copper interconnect by immersion/electroless plating in dual damascene process
US6908847B2 (en) * 2001-11-15 2005-06-21 Renesas Technology Corp. Method of manufacturing a semiconductor device having an interconnect embedded in an insulating film
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2774013B1 (en) * 1998-01-23 2000-04-07 Jean Paul Garidel PROCESS FOR HEAT TREATING A WORKPIECE USING AT LEAST ONE THERMAL TRANSFER LIQUID AND CONDENSING OVEN FOR IMPLEMENTING IT
US6436285B1 (en) * 1999-12-22 2002-08-20 William B. Kerfoot Laminated microporous diffuser
US20030190829A1 (en) 2002-04-05 2003-10-09 Brennan Kenneth D. Dual damascene barrier structures and preferential etching method

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510651A (en) * 1993-06-07 1996-04-23 Motorola, Inc. Semiconductor device having a reducing/oxidizing conductive material
US6403925B1 (en) * 1995-07-10 2002-06-11 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6066892A (en) * 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6037664A (en) * 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6461675B2 (en) * 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
US20020093098A1 (en) * 1999-04-05 2002-07-18 Barr Alexander L. Semiconductor device and method of formation
US6713381B2 (en) * 1999-04-05 2004-03-30 Motorola, Inc. Method of forming semiconductor device including interconnect barrier layers
US20010030366A1 (en) * 2000-03-08 2001-10-18 Hiroshi Nakano Semiconducting system and production method
US6680540B2 (en) * 2000-03-08 2004-01-20 Hitachi, Ltd. Semiconductor device having cobalt alloy film with boron
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
US6380075B1 (en) * 2000-09-29 2002-04-30 International Business Machines Corporation Method for forming an open-bottom liner for a conductor in an electronic structure and device formed
US6674170B1 (en) * 2000-12-18 2004-01-06 Advanced Micro Devices, Inc. Barrier metal oxide interconnect cap in integrated circuits
US20020106895A1 (en) * 2001-02-08 2002-08-08 Macronix International Co., Ltd. Method for forming copper interconnect and enhancing electromigration resistance
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
US6767812B2 (en) * 2001-06-14 2004-07-27 Oki Electric Industry Co., Ltd. Method of forming CVD titanium film
US6908847B2 (en) * 2001-11-15 2005-06-21 Renesas Technology Corp. Method of manufacturing a semiconductor device having an interconnect embedded in an insulating film
US20040173908A1 (en) * 2002-01-15 2004-09-09 Edward Barth Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6939797B2 (en) * 2002-01-15 2005-09-06 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
US20030190826A1 (en) * 2002-04-08 2003-10-09 Ultratera Corporation Test fixture for semiconductor packages and test method of using the same
US6821879B2 (en) * 2002-10-30 2004-11-23 Xerox Corporation Copper interconnect by immersion/electroless plating in dual damascene process
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100200991A1 (en) * 2007-03-15 2010-08-12 Rohan Akolkar Dopant Enhanced Interconnect
US20160293484A1 (en) * 2015-04-02 2016-10-06 Jong-Jin Lee Methods of forming wiring structures
US9773699B2 (en) * 2015-04-02 2017-09-26 Samsung Electronics Co., Ltd. Methods of forming wiring structures including a plurality of metal layers
US20170194247A1 (en) * 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co.,Ltd. Interconnection structure and method of forming the same
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
TWI611510B (en) * 2015-12-30 2018-01-11 台灣積體電路製造股份有限公司 Interconnection structure and method of forming the same
US11075112B2 (en) 2015-12-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure

Also Published As

Publication number Publication date
US20060163732A1 (en) 2006-07-27
US7372152B2 (en) 2008-05-13
US20040238961A1 (en) 2004-12-02
US20080176395A1 (en) 2008-07-24
US20070184650A1 (en) 2007-08-09
US7351655B2 (en) 2008-04-01
US7361589B2 (en) 2008-04-22
US20070184651A1 (en) 2007-08-09
US20090321938A1 (en) 2009-12-31
US7026714B2 (en) 2006-04-11
US7585766B2 (en) 2009-09-08

Similar Documents

Publication Publication Date Title
US7372152B2 (en) Copper interconnect systems
US6468906B1 (en) Passivation of copper interconnect surfaces with a passivating metal layer
US6271591B1 (en) Copper-aluminum metallization
EP1346408B1 (en) Method of electroless introduction of interconnect structures
EP1233448B1 (en) Reliable interconnects with low via/contact resistance
US8264046B2 (en) Synergy effect of alloying materials in interconnect structures
USRE41538E1 (en) Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US7205666B2 (en) Interconnections having double capping layer and method for forming the same
US20050009333A1 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses
US20050263902A1 (en) Barrier free copper interconnect by multi-layer copper seed
US20100078820A1 (en) Semiconductor device and method of manufacturing the same
Simon et al. Electromigration comparison of selective CVD cobalt capping with PVD Ta (N) and CVD cobalt liners on 22nm-groundrule dual-damascene Cu interconnects
US7763537B2 (en) Metal interconnection of semiconductor device and method for forming the same
US6479389B1 (en) Method of doping copper metallization
US7247565B2 (en) Methods for fabricating a copper interconnect
US20050277292A1 (en) Method for fabricating low resistivity barrier for copper interconnect
US6723634B1 (en) Method of forming interconnects with improved barrier layer adhesion
US7144811B2 (en) Method of forming a protective layer over Cu filled semiconductor features
US7157795B1 (en) Composite tantalum nitride/tantalum copper capping layer
KR102192311B1 (en) Copper interconnector, method of manufacturing the copper interconnector, and semiconductor device having the copper interconnector
JP3014887B2 (en) Semiconductor device and manufacturing method thereof
CN114946019A (en) Ruthenium liner and cap for back-end-of-line applications

Legal Events

Date Code Title Description
AS Assignment

Owner name: BECK SEMICONDUCTOR LLC, NEVADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CUNNINGHAM, JAMES A.;REEL/FRAME:025053/0090

Effective date: 20050304

AS Assignment

Owner name: INTELLECTUAL VENTURES I LLC, DELAWARE

Free format text: MERGER;ASSIGNOR:BECK SEMICONDUCTOR LLC;REEL/FRAME:025466/0973

Effective date: 20101207

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: INTELLECTUAL VENTURES ASSETS 185, DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTELLECTUAL VENTURES I LLC;REEL/FRAME:060423/0937

Effective date: 20220629