US20100275846A1 - Plasma processing method, plasma processing apparatus, and computer recording medium - Google Patents

Plasma processing method, plasma processing apparatus, and computer recording medium Download PDF

Info

Publication number
US20100275846A1
US20100275846A1 US12/836,875 US83687510A US2010275846A1 US 20100275846 A1 US20100275846 A1 US 20100275846A1 US 83687510 A US83687510 A US 83687510A US 2010275846 A1 US2010275846 A1 US 2010275846A1
Authority
US
United States
Prior art keywords
plasma
insulating film
gas
plasma processing
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/836,875
Inventor
Junichi Kitagawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US12/836,875 priority Critical patent/US20100275846A1/en
Publication of US20100275846A1 publication Critical patent/US20100275846A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61PSPECIFIC THERAPEUTIC ACTIVITY OF CHEMICAL COMPOUNDS OR MEDICINAL PREPARATIONS
    • A61P31/00Antiinfectives, i.e. antibiotics, antiseptics, chemotherapeutics
    • A61P31/04Antibacterial agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/28Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/34Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in more than one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/005Oxydation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon

Definitions

  • the present invention relates to a plasma processing method forming an insulating film on the surface of a substrate by using plasma, a plasma processing apparatus for performing the plasma processing method, and a computer recording medium.
  • a thermal oxide film formed by performing oxidation processing at high temperature of 1000° C. or higher has been often used conventionally.
  • a leakage current and the like increase as the thickness decreases and it is difficult to obtain the insulating film with high reliability.
  • a nonvolatile memory which performs reading and writing by passing a current through the insulating film has the problem of deterioration in its memory characteristic due to holes or electrons trapped in the insulating film. It is considered that the hole traps particularly affect reliability of a product.
  • oxygen radical active atomic oxygen
  • plasma whose reaction mechanism is different from that of the thermal oxidation method
  • Japanese Patent Application No. Tokukai-Hei 11-293470 discloses the formation method of the oxide film using the plasma. This is a method of forming a silicon oxide film by introducing a silicon-containing gas and an oxygen-containing gas into a process chamber, and generating plasma of these gases, to deposit the silicon oxide film on the substrate. Besides the aforesaid silicon-containing gas and the oxygen-containing gas, a hydrogen gas is introduced into the process chamber to generate the plasma containing hydrogen in the process chamber. Thereby, it is possible to obtain the excellent film quality equivalent to the thermal oxide film.
  • the oxide film (insulating film) formed on the surface of the substrate using the plasma has a worse electron trap characteristic than the oxide film formed by the oxidation processing at high temperature. Hence, it is considered that it is weak in electrical stress and its characteristic as a product (e.g. semiconductor device) deteriorates.
  • thermal nitridation is sometimes performed after the thermal oxidation in order to improve various characteristics of the thermal oxide film. It is considered that the various characteristic are improved because the nitridation compensates defective binding of Si—O which is generated during the thermal oxidation.
  • the thermal nitridation method nitrogen distribution in the direction of the depth deviates to a substrate interface part, and hence it is not good enough to improve the oxide film uniformly. Therefore, in order to improve the characteristic of the plasma oxidation film which is weak in the electrical stress, the method of performing nitridation processing after plasma oxidation processing, similarly to a thermal oxide nitride film, has been studied.
  • the present invention is made in view of the circumstances described above, and it is an object of the present invention to provide a method of forming an insulating film (silicon oxynitride film, for example) with an excellent electrical characteristic, a plasma processing apparatus, and a computer recording medium.
  • an insulating film silicon oxynitride film, for example
  • a plasma processing method applies plasma oxidation processing and plasma nitridation processing at the same time to a surface of a semiconductor substrate.
  • a trap characteristic of the formed insulating film is improved.
  • performing the oxidation and the nitridation at the same time means that at least main processing periods during which the respective processing is stably performed are the same, and the beginnings and the ends of the respective processing may be temporally different.
  • electron density of the plasma is 1.0 ⁇ 10 12 (1/cm 3 ) or more and electron temperature of the plasma is 1.0 (eV) or less. It is possible for a plasma source using a microwave to easily generate the plasma having the electron density and the electron temperature of these values. Additionally, an uniform plasma region (i.e. uniform quality plasma) can be formed by the plasma using the microwave, and hence it is suitable to form the oxynitride film as in the present invention.
  • the mixing ratio that is, a flow rate ratio of the oxygen to the nitrogen gas
  • a peak of nitrogen concentration in the insulating film can be changed.
  • the flow rate ratio of the oxygen to the nitrogen gas is preferably set to 1:4 to 1:6 range
  • peaks of the nitrogen concentration can be formed on a side of an interface with the substrate and a side of a surface of the insulating film, respectively, as will be described later.
  • the nitrogen diffuses in the direction of the thickness from the surface of the insulating film to the interface with the substrate, and the nitrogen distribution has its peak at the position closer to the interface than the surface of the insulating film.
  • the nitrogen distribution has two peaks which are near the surface of the insulating film and near the interface.
  • a plasma processing apparatus applying plasma processing to a substrate comprises a process vessel containing the semiconductor substrate, a microwave introducing portion introducing a microwave to the process vessel, and a gas supply portion supplying process gases to the process vessel, wherein the oxygen and the nitrogen are supplied at the same time by the gas supply portion into the process vessel, and oxidation processing and oxidation processing are applied at the same time to a surface of the semiconductor substrate to form an insulating film.
  • the gas supply portion may be structured so as to supply the nitrogen into the process vessel to further apply the nitridation processing to the insulating film after the oxidation and the nitridation processing of the semiconductor substrate.
  • the plasma processing apparatus structured as above, it is possible to perform the aforesaid plasma processing method suitably, and to fabricate the excellent semiconductor device.
  • FIG. 1 is a schematic view (sectional view) showing an example of the structure of a plasma processing apparatus according to an embodiment of the present invention
  • FIG. 2A and FIG. 2B are schematic views showing a part of the flow of plasma processing according to an embodiment 1;
  • FIG. 3A is a view explaining a trap characteristic and FIG. 3B is a view showing the outline of how to measure the trap characteristic as an example;
  • FIG. 4A and FIG. 4B are graphs showing the trap characteristics of various insulating films
  • FIG. 5 is a chart showing a secular change characteristic until dielectric breakdown
  • FIG. 6A and FIG. 6B are schematic views showing a part of the flow of plasma processing according to an embodiment 2;
  • FIG. 7 is a graph showing distribution of nitrogen concentration in the insulating film according to the embodiment 1 of the present invention.
  • FIG. 8 is a graph showing the distribution of the nitrogen concentration in the insulating film according to the embodiment 2 of the present invention.
  • FIG. 9 is a table showing the change of a peak of the nitrogen concentration in the insulating film when a flow rate of an oxygen gas is changed, according to another embodiment of the present invention.
  • FIG. 10 is a graph showing peak distribution of the nitrogen concentration based on the result of FIG. 9 ;
  • FIG. 11 is a table showing the change of the peak of the nitrogen concentration in the insulating film when the flow rate and processing time of a nitrogen gas are changed;
  • FIG. 12 is a graph showing the peak distribution of the nitrogen concentration based on the result of FIG. 11 ;
  • FIG. 13 is a table showing the change of the peak of the nitrogen concentration in the insulating film when the processing time and a processing pressure are changed.
  • FIG. 14 is a graph showing the peak distribution of the nitrogen concentration based on the result of FIG. 13 .
  • FIG. 1 shows a schematic structure of a plasma processing apparatus 10 according to an embodiment of the present invention.
  • the plasma processing apparatus 10 has a process vessel 11 in which a substrate holding table 12 for holding a silicon wafer W as a substrate is formed, and air (gas) inside the process vessel 11 is exhausted by an exhaust device 51 through exhaust ports 11 A and 11 B.
  • the substrate holding table 12 has a heater function for heating the silicon wafer W (a heater itself is not shown).
  • the process vessel 11 has an opening formed in an upper portion at a position corresponding to the silicon wafer W on the substrate holding table 12 .
  • This opening is closed by a dielectric plate 13 made of quartz, Al 2 O 3 , AIN, or the like.
  • quartz is used for the dielectric plate 13 .
  • the dielectric plate 13 is supported by a support portion 61 projected toward the inside of the vessel 11 .
  • a slot plate 14 composed of a planar antenna to function as an antenna is provided on (on an outer side of) the dielectric plate 13 .
  • the slot plate 14 is made of a plate (like a thin disk) of a conductive material, for example, copper or aluminum plated with silver or gold, and has a large number of slits 14 a .
  • the disk may have rectangle shape or polygon shape. These slits 14 a are arranged spirally or coaxially as a whole.
  • a dielectric plate 15 made of, for example, quartz, alumina, aluminum nitride, or the like. This dielectric plate 15 is sometimes called a retardation plate or a wavelength shortening plate. In this embodiment, quartz is used for the dielectric plate 15 .
  • a cooling plate 16 is disposed on (on an outer side of) the dielectric plate 15 .
  • the cooling plate 16 has therein a refrigerant path 16 a in which a refrigerant flows. Cooling a microwave introducing portion prevents the dielectric plate 13 , the slot plate 14 , the dielectric plate 15 and the like from being broken by heat, and makes a contribution to maintain stability of plasma.
  • a rectangular waveguide 18 and a coaxial waveguide 19 which introduce a microwave of, for example, 2.45 GHz generated by a microwave supply device 17 are provided in an upper edge center of the process vessel 11 .
  • the coaxial waveguide 19 is composed of an outer conductor 19 a and an inner conductor 19 b .
  • these dielectric plate 13 and slot plate 14 constitute a plasma generating part. The aforesaid microwave is introduced into the process vessel 11 through the slot plate 14 and the dielectric plate 13 to generate the plasma.
  • a gas baffle plate 28 made of aluminum is disposed around the substrate holding table 12 .
  • a quartz cover 26 is provided on an upper face of the gas baffle plate 28 .
  • the gas baffle plate 28 is supported by a support portion 27 .
  • a gas nozzle 22 as a gas introducing part for introducing gas is provided.
  • an inert gas supply source 65 a nitrogen gas supply source 66 , and an oxygen gas supply source 67 are prepared as gas supply sources, and they are connected to the gas nozzle 22 via valves 65 a , 66 a , 67 a , mass flow controllers 65 b , 66 b , 67 b , and valves 65 c , 66 c , 67 c , respectively.
  • a flow rate of gas supplied from the gas nozzle 22 is controlled by the mass flow controllers 65 b , 66 b , 67 b .
  • a refrigerant path 24 is formed to surround the entire vessel.
  • a controller 52 controls ON-OFF and output control of the aforesaid microwave supply device 17 , the flow rate adjustment by the mass flow controllers 65 b , 66 b , 67 b , the adjustment of an exhaust amount of the exhaust device 51 , the heater function of the substrate holding table 12 , and the like, so as to allow the plasma processing apparatus 10 to perform optimum processing.
  • the controller 52 controls the plasma processing apparatus 10 .
  • the controller 52 has a central processing unit 52 a , a support circuit 52 b , and a storage medium 52 c in which relevant control software is contained.
  • a processor of a general-purpose computer may be used as the central processing unit 52 a of the controller 52 .
  • Various types of the storage medium such as a RAM, ROM, flexible disk, hard disk, for example, may be used as the storage medium 52 c .
  • the support circuit 52 b is connected to the central processing unit 52 a to support the processor in various ways.
  • the storage medium 52 c stores various control programs which are necessary for thus-structured plasma processing apparatus 10 according to the present invention to carry out a plasma processing method, and other software are stored.
  • An example to work the present invention using the plasma processing apparatus 10 is as follows. First, the silicon wafer W as a semiconductor substrate is set in the process vessel 11 of the plasma processing apparatus 10 and then the air inside the process vessel 11 is exhausted through the exhaust ports 11 A and 11 B so that the inside of the process vessel 11 is set to a predetermined process pressure. Thereafter, an inert gas, an oxygen gas, and a nitrogen gas are mixed in advance and introduced from the gas nozzle 62 into the process vessel 11 in which the silicon wafer W is set. It is suitable to provide a plurality of the gas nozzles 62 and separately introduce the respective gases to the process vessel 11 through the respective nozzles.
  • the gases may be supplied in any way as long as they exist at the same time in the same plasma processing.
  • the microwave with the frequency of 2.45 GHz supplied through the rectangular waveguide 18 and the coaxial waveguide 19 is introduced into the process vessel 11 through the dielectric plate 15 , the slot plate 14 , and the dielectric plate 13 .
  • This microwave excites the plasma, and oxygen radicals and nitrogen radicals are generated from the mixed gas of the inert gas, the oxygen, and the nitrogen.
  • electron density of the plasma at this time is 1.0 ⁇ 10 12 (1/cm 3 ) or more, and electron temperature thereof is 1.0 (eV) or less. Thereby, it is possible to reduce damage to an oxynitride film to be formed.
  • an ECR plasma for example, has the high possibility of giving plasma damage to the substrate. Namely, there is the possibility that the substrate stores unnecessary charge or a formed bond of Si—N— 0 is broken and hence, it is impossible to form the fine oxynitride film.
  • the plasma has the high density and the low electron temperature, it is possible to dispose the silicon wafer W close to the plasma, and suppress a drop of a film formation rate.
  • the conventional plasma source for example, the ECR plasma has high energy, it is necessary to dispose the silicon wafer W comparatively separately from a plasma region. In this case, there is the high possibility that lives of the generated oxygen radicals end before they reach the silicon wafer W (what is called “deactivation”), thereby dropping the film formation rate.
  • the silicon wafer W can be disposed close to the plasma because the processing is performed by the plasma using the microwave. As a result of this, a large number of the oxygen radicals can reach the silicon wafer W before the lives of the oxygen radicals end. Thus, it is possible to suppress the drop of the film formation rate and to form the excellent oxide film and oxynitride film.
  • the oxygen radicals and the nitrogen radicals reaching the surface of a silicon substrate 21 subject the surface of the silicon substrate 21 to oxidation and nitridation processing as shown in FIG. 2B , to form a silicon oxynitride film 22 having a desired thickness (10 nm or less, for example).
  • a semiconductor substrate having an insulating film formed by performing the oxidation and the nitridation at the same time is obtained.
  • a semiconductor substrate having a thermal oxide film (conventional example); a semiconductor substrate having an insulating film which is subjected to plasma nitridation after plasma oxidation (comparative example 1); and a semiconductor substrate having a plasma oxidation film only (comparative example 2) are prepared for comparison purposes. Then, trap characteristics thereof, together with the aforesaid embodiment 1, are measured and compared for evaluation. The results are shown in FIGS. 4A , and 4 B.
  • the mechanism of the trap of electrons is exemplified in FIG. 3A .
  • the electrons are drawn from a semiconductor substrate 31 through an insulating film (oxide film) 32 to a floating gate 33 .
  • a large number of the electrons 35 stay inside the insulating film, and the electrons (e) passing therethrough are gradually reduced in number. This phenomenon is called the trap.
  • a writing characteristic of the memory deteriorates due to the trapped electrons 35 .
  • the trap characteristic is measured by making the semiconductor substrate a MOS capacitor 36 as shown in FIG. 3B , for example.
  • This MOS capacitor is formed by providing the insulating film (oxide film) 22 on the silicon substrate 21 formed as above, and providing an electrode 37 thereon for passing a certain current.
  • the trap characteristic is measured by measuring a potential difference between the electrode 37 and the semiconductor substrate 21 .
  • FIGS. 4A and 4B When an N-MOS capacitor is used, negative voltage is applied to the electrode 37 to measure secular change of the voltage. In general, measured data is roughly classified into FIGS. 4A and 4B .
  • FIG. 4B At an early stage of the measurement ( FIG. 4B ), hole traps are observable, and from the subsequent measurement ( FIG. 4A ), electron traps are observable.
  • An initial voltage value which is applied between the semiconductor substrate and the electrode gradually shifts toward the negative side over time. The larger the voltage value shifts, the more the electrons are trapped and the lower the possibility that the electrons pass through the insulating film. In applying this to the flash memory as described above, the more the electrons are trapped in writing, the lower the possibility that the electrons pass therethrough. Therefore, it is hard to perform writing and as a result, its writing characteristic appears to be deteriorated.
  • FIGS. 4A and 4B show thus-measured trap characteristic of the electrons by showing relationship of a deviation from the initial voltage value.
  • the horizontal axis denotes standardized time
  • the vertical axis denotes the deviation from the initial voltage value (Vg-shift).
  • This deviation is a difference between the applied initial voltage value and a measurement voltage between both ends of the insulating film which changes over time. Therefore, the increase of the electron traps means the shift toward the negative side.
  • the solid line shows the thermal oxide film of the conventional example
  • a chain line shows the semiconductor substrate having the plasma oxynitride film (insulating film) which is formed by performing the plasma oxidation and the plasma nitridation at the same time according to the embodiment 1 of the present invention.
  • the chain double-dashed line shows the semiconductor substrate having the insulating film which is formed by performing the plasma nitridation after the plasma oxidation according to the comparative example 1
  • the broken line shows the semiconductor substrate having the plasma oxide film according to the comparative example 2, respectively.
  • the embodiment 1 has the excellent characteristic which is almost the same as that of the conventional thermal oxide film, whereas both of the comparative examples have a large number of the electron traps and their performance as the insulating film is not enough.
  • FIG. 5 is a chart showing a secular change characteristic until dielectric breakdown (TDDB: Time Dependent Dielectric Breakdown) when the charge is applied and the current is passed, and a leakage current of the respective samples described above, for the purpose of comparing general electrical characteristics thereof.
  • TDDB Time Dependent Dielectric Breakdown
  • FIGS. 4A and 4B qualitative representation of the trap characteristics shown in FIGS. 4A and 4B is added to this chart in order to grasp the characteristics of the insulating films more comprehensively.
  • the insulating film obtained by the plasma oxynitridation according to the present invention shows the characteristics which are relatively equal to or greater than those of the conventional thermal oxide film in terms of the leakage current, the electron traps and the hole traps.
  • the TDDB in particular, its result is superior to the conventional example. It is considered that the hole trap is the dominant factor of the life of the insulating film (TDDB), and the insulating film is excellent as the number of the hole traps and the electron traps is smaller.
  • the semiconductor substrate obtained as the embodiment 1 described above is continuously set in the process vessel 11 without being removed from the process vessel 11 .
  • the gasses and the like which are used in the embodiment 1 are exhausted from the process vessel 11 through the exhaust ports 11 A and 11 B. Thereafter, the inert gas and the nitrogen gas which are mixed in advance are introduced through the gas nozzle 62 into the process vessel 11 .
  • the microwave with the frequency of 2.45 GHz supplied through the rectangular waveguide 18 and the coaxial waveguide 19 is introduced into the process vessel 11 through the dielectric plate 15 , the slot plate antenna 14 , and the dielectric plate 13 .
  • This microwave excites the mixed gas of the inert gas and the nitrogen gas into a plasma state to generate the nitrogen radicals.
  • the nitrogen radicals reaching the surface of the semiconductor substrate subject the insulating film 22 which is on the surface of the semiconductor substrate to the further nitridation processing, to form a silicon oxynitride film 22 A having a desired thickness (10 nm or less, for example), as shown in FIG. 6B .
  • a semiconductor substrate having the insulating film 22 A formed by performing the further nitridation processing after the oxidation and the nitridation are performed at the same time is obtained.
  • FIG. 7 shows distribution of the nitrogen in the direction of the thickness of the insulating film of thus-obtained semiconductor substrate according to the present invention.
  • the horizontal axis denotes etching time. It is shown that it corresponds to the distance in the direction of the thickness of the insulating film, and more etching is applied as time passes to increase the distance and depth from the surface of the insulating film.
  • the vertical axis denotes relative spectral intensity of the nitrogen, and it shows that a large quantity of the nitrogen distributes and exists as the intensity increases.
  • FIG. 7 shows the nitrogen distribution of the embodiment 1.
  • the nitrogen distributes in the direction of the thickness at least from the surface of the insulating film to an interface with the semiconductor substrate, and the nitrogen distribution has its peak at the position closer to the interface than the surface.
  • the semiconductor substrate having the insulating film with the excellent trap characteristic.
  • FIG. 8 shows the nitrogen distribution of the semiconductor substrate according to the embodiment 2, and it is confirmed that the nitrogen distribution in the insulating film has two peaks which are near the surface and near the interface. Thereby, it is possible to improve the trap characteristic of the insulating film formed by the plasma, avoid reoxidation in its post-process, and pose a barrier to injected impurities. Thus, the semiconductor substrate having the stable insulating film which is hardly affected by conditions of semiconductor fabrication process can be obtained.
  • the peak positions of the nitrogen distribution can be changed by setting process conditions appropriately.
  • the oxygen and the inert gas are first made into plasma in the process vessel 11 to form the oxide film on the silicon wafer W.
  • the inert gas and the mixed gas of the oxygen and the nitrogen gas are introduced into the process vessel 11 and made into plasma.
  • the silicon wafer W is subjected to the oxynitridation processing to form the oxynitride film.
  • the insulating film having the thickness of 8 nm is formed, for example, it is supposed that the thickness of the oxide film is 6 nm and the thickness of the oxynitride film is 2 nm.
  • a flow rate ratio of the mixed gas of the oxygen to the nitrogen gas is set to be approximately 1:4 to 1:6.
  • the insulating film having the two peaks of the nitrogen distribution in the insulating film which are near the surface and near the interface.
  • FIG. 9 shows the result of the experiment of a peak value of the nitrogen concentration when the nitrogen gas and an argon gas as the inert gas are fixed and a flow rate of the oxygen gas is changed.
  • FIG. 10 is a graph showing depth in the direction of the thickness of the insulating film (the surface of the insulating film) and intensity of the nitrogen concentration, based on FIG. 9 .
  • processing conditions are as shown in the table of FIG. 9 , and the peak value of the nitrogen concentration (atoms/cm 3 ) is a reduced value from GDS.
  • a partial pressure of the oxygen gas is preferably 0.4 or less, and more preferably 0.35 or less.
  • the flow rate ratio of N 2 :O 2 is preferably 1:4 to 1:16, and more preferably 1:5 to 1:10.
  • Binding energy of Si—O and Si—N is 106 kcal/mol (4.6 eV) and 80 kcal/mol (3.5 eV), respectively, and the binding energy of Si—O is larger.
  • the partial pressure of the oxygen is made lower than the partial pressure of the nitrogen
  • reaction of Si—O precedes and takes the lead although N reacts at the same time in some degree.
  • the insulating film (SiON) having a certain film thickness is formed as the reaction progresses further, the reaction of Si—O does not progress further and N is dosed to the surface side. Therefore, by controlling the flow rate of N 2 and O 2 , it is possible to realize such a characteristic that the two peaks of the nitrogen concentration appear near the interface of the insulating film and near the surface thereof.
  • FIG. 11 is a table showing experimental data for optimally controlling the nitrogen distribution on the interface side, and showing the change of the nitrogen concentration peak in the insulating film when the flow rate and the processing time of the nitrogen gas are changed separately.
  • FIG. 12 shows the peak distribution of the nitrogen concentration based on the results of FIG. 11 .
  • No. 7 is the case of a thermal SiON film.
  • the partial pressure of the nitrogen gas is higher than 1 Pa, and the ratio of the flow rate of the nitrogen gas to the flow rate of the oxygen gas is 0.7:1 to 1:2.
  • FIG. 13 is a table showing the change of the nitrogen concentration peak in the insulating film, when the processing time and the processing pressure are changed.
  • FIG. 14 shows the peak distribution of the nitrogen concentration based on the results of FIG. 13 . From these results, the control of the nitrogen concentration on the surface side is possible according to the processing pressure, the processing time, and Ar/N2 (flow rate ratio), and preferably, the case of No. 5 is the most suitable because it has the excellent electrical characteristic of the device.
  • Ar/N2 flow rate ratio
  • the plasma oxidation processing and the plasma nitridation processing are performed at the same time to the surface of the semiconductor substrate, and therefore the trap characteristic of the insulating film to be formed is improved. Moreover, it is possible to obtain the insulating film far exceeding the conventional one in terms of the TDDB and the leakage current.
  • the plasma nitridation processing is further performed after the plasma oxidation and nitridation processing as described above, it is possible to control the distribution of the nitrogen in the direction of the depth, which has been difficult according to the oxynitridation processing at thermal processing of high temperature. As a result of this, it is possible to obtain the oxynitride film having the characteristic suitable for its use.
  • the present invention it is possible to obtain the semiconductor substrate having the electrical characteristic and reliability which are equivalent to or greater than the thermal oxide film, even though the insulating film is formed at low temperature.
  • the present invention is useful in forming the insulating film of the semiconductor devices, particularly the nonvolatile memory.

Abstract

According to the present invention, plasma oxidation processing and plasma nitridation processing are applied at the same time to the surface of a semiconductor substrate by plasma using a microwave. After forming an insulating film by the plasma oxynitridation processing as described above, the plasma nitridation processing is further applied to the insulating film as necessary. Thereby, it is possible to form the insulating film with an excellent electrical characteristic.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a Divisional of and claims the benefit of priority under 35 U.S.C. §120 from U.S. Ser. No. 11/197,554, filed Aug. 5, 2005, the entire contents of which are incorporated herein by reference. U.S. application Ser. No. 11/197,554 claims the benefit of priority from PCT Application No. PCT/JP2004/001180, filed Feb. 5, 2004, which claims the benefit of a Japanese Patent Application No. 2003-029530, filed Feb. 6, 2003, all of which are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a plasma processing method forming an insulating film on the surface of a substrate by using plasma, a plasma processing apparatus for performing the plasma processing method, and a computer recording medium.
  • 2. Description of the Related Art
  • As an insulating film formed on a silicon substrate, a thermal oxide film formed by performing oxidation processing at high temperature of 1000° C. or higher has been often used conventionally. As microfabrication technology advances in recent years, it becomes necessary to reduce the thickness of this kind of oxide film (insulating film) in which reaction of Si and O progresses only by thermal energy.
  • However, according to the formation method of the insulating film by thermal oxidation processing at high temperature, a leakage current and the like increase as the thickness decreases and it is difficult to obtain the insulating film with high reliability. Further, a nonvolatile memory which performs reading and writing by passing a current through the insulating film has the problem of deterioration in its memory characteristic due to holes or electrons trapped in the insulating film. It is considered that the hole traps particularly affect reliability of a product.
  • In order to solve the above problem, oxidation processing by active atomic oxygen (hereinafter referred to as the “oxygen radical”) using plasma, whose reaction mechanism is different from that of the thermal oxidation method, has been realized. According to this method, it is possible to form the oxide film while electron temperature of the plasma is kept at low temperature, which results in reducing damages to the substrate to be processed and an inner wall of a processing apparatus. Further, since the hole traps are reduced, it becomes possible to form the thin oxide film without affecting the reliability.
  • Japanese Patent Application No. Tokukai-Hei 11-293470 discloses the formation method of the oxide film using the plasma. This is a method of forming a silicon oxide film by introducing a silicon-containing gas and an oxygen-containing gas into a process chamber, and generating plasma of these gases, to deposit the silicon oxide film on the substrate. Besides the aforesaid silicon-containing gas and the oxygen-containing gas, a hydrogen gas is introduced into the process chamber to generate the plasma containing hydrogen in the process chamber. Thereby, it is possible to obtain the excellent film quality equivalent to the thermal oxide film.
  • SUMMARY OF THE INVENTION
  • However, the oxide film (insulating film) formed on the surface of the substrate using the plasma has a worse electron trap characteristic than the oxide film formed by the oxidation processing at high temperature. Hence, it is considered that it is weak in electrical stress and its characteristic as a product (e.g. semiconductor device) deteriorates.
  • Meanwhile, thermal nitridation is sometimes performed after the thermal oxidation in order to improve various characteristics of the thermal oxide film. It is considered that the various characteristic are improved because the nitridation compensates defective binding of Si—O which is generated during the thermal oxidation. However, according to the thermal nitridation method, nitrogen distribution in the direction of the depth deviates to a substrate interface part, and hence it is not good enough to improve the oxide film uniformly. Therefore, in order to improve the characteristic of the plasma oxidation film which is weak in the electrical stress, the method of performing nitridation processing after plasma oxidation processing, similarly to a thermal oxide nitride film, has been studied.
  • DISCLOSURE OF THE INVENTION
  • The present invention is made in view of the circumstances described above, and it is an object of the present invention to provide a method of forming an insulating film (silicon oxynitride film, for example) with an excellent electrical characteristic, a plasma processing apparatus, and a computer recording medium.
  • In order to achieve the above object, a plasma processing method according to the present invention applies plasma oxidation processing and plasma nitridation processing at the same time to a surface of a semiconductor substrate. Thereby, a trap characteristic of the formed insulating film is improved. Incidentally, performing the oxidation and the nitridation at the same time means that at least main processing periods during which the respective processing is stably performed are the same, and the beginnings and the ends of the respective processing may be temporally different.
  • It is preferable that electron density of the plasma is 1.0×1012 (1/cm3) or more and electron temperature of the plasma is 1.0 (eV) or less. it is possible for a plasma source using a microwave to easily generate the plasma having the electron density and the electron temperature of these values. Additionally, an uniform plasma region (i.e. uniform quality plasma) can be formed by the plasma using the microwave, and hence it is suitable to form the oxynitride film as in the present invention.
  • It is suitable to further apply the plasma nitridation processing to the insulating film, after forming the insulating film by the plasma oxynitridation processing as described above. Thereby, it is possible to control the distribution of the nitrogen in the direction of the depth, which has been difficult according to the thermal nitridation or thermal oxynitridation processing. Further, in the oxidation and the nitridation performed at the same time, it is possible to control the nitrogen distribution by controlling a mixing ratio of an oxygen gas.
  • By changing the mixing ratio, that is, a flow rate ratio of the oxygen to the nitrogen gas, a peak of nitrogen concentration in the insulating film can be changed. Hence, in this case, it is unnecessary to apply the plasma nitridation processing after forming the insulating film as described above. According to knowledge of the present inventor, when the flow rate ratio of the oxygen to the nitrogen gas is preferably set to 1:4 to 1:6 range, peaks of the nitrogen concentration can be formed on a side of an interface with the substrate and a side of a surface of the insulating film, respectively, as will be described later.
  • According to another aspect of the present invention, the nitrogen diffuses in the direction of the thickness from the surface of the insulating film to the interface with the substrate, and the nitrogen distribution has its peak at the position closer to the interface than the surface of the insulating film. Alternatively, the nitrogen distribution has two peaks which are near the surface of the insulating film and near the interface. Thus, it is possible to obtain the semiconductor substrate having the insulating film formed by the plasma, whose trap characteristic is improved. Thus-structured semiconductor substrate avoids unnecessary reoxidation in its post-process, and poses a barrier to injected impurities. Thus, the semiconductor substrate having the stable insulating film which is hardly affected by conditions of semiconductor fabrication process can be obtained.
  • According to another aspect of the present invention, a plasma processing apparatus applying plasma processing to a substrate, comprises a process vessel containing the semiconductor substrate, a microwave introducing portion introducing a microwave to the process vessel, and a gas supply portion supplying process gases to the process vessel, wherein the oxygen and the nitrogen are supplied at the same time by the gas supply portion into the process vessel, and oxidation processing and oxidation processing are applied at the same time to a surface of the semiconductor substrate to form an insulating film.
  • In this case, the gas supply portion may be structured so as to supply the nitrogen into the process vessel to further apply the nitridation processing to the insulating film after the oxidation and the nitridation processing of the semiconductor substrate.
  • According to the plasma processing apparatus structured as above, it is possible to perform the aforesaid plasma processing method suitably, and to fabricate the excellent semiconductor device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic view (sectional view) showing an example of the structure of a plasma processing apparatus according to an embodiment of the present invention;
  • FIG. 2A and FIG. 2B are schematic views showing a part of the flow of plasma processing according to an embodiment 1;
  • FIG. 3A is a view explaining a trap characteristic and FIG. 3B is a view showing the outline of how to measure the trap characteristic as an example;
  • FIG. 4A and FIG. 4B are graphs showing the trap characteristics of various insulating films;
  • FIG. 5 is a chart showing a secular change characteristic until dielectric breakdown;
  • FIG. 6A and FIG. 6B are schematic views showing a part of the flow of plasma processing according to an embodiment 2;
  • FIG. 7 is a graph showing distribution of nitrogen concentration in the insulating film according to the embodiment 1 of the present invention;
  • FIG. 8 is a graph showing the distribution of the nitrogen concentration in the insulating film according to the embodiment 2 of the present invention;
  • FIG. 9 is a table showing the change of a peak of the nitrogen concentration in the insulating film when a flow rate of an oxygen gas is changed, according to another embodiment of the present invention;
  • FIG. 10 is a graph showing peak distribution of the nitrogen concentration based on the result of FIG. 9;
  • FIG. 11 is a table showing the change of the peak of the nitrogen concentration in the insulating film when the flow rate and processing time of a nitrogen gas are changed;
  • FIG. 12 is a graph showing the peak distribution of the nitrogen concentration based on the result of FIG. 11;
  • FIG. 13 is a table showing the change of the peak of the nitrogen concentration in the insulating film when the processing time and a processing pressure are changed; and
  • FIG. 14 is a graph showing the peak distribution of the nitrogen concentration based on the result of FIG. 13.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 shows a schematic structure of a plasma processing apparatus 10 according to an embodiment of the present invention. The plasma processing apparatus 10 has a process vessel 11 in which a substrate holding table 12 for holding a silicon wafer W as a substrate is formed, and air (gas) inside the process vessel 11 is exhausted by an exhaust device 51 through exhaust ports 11A and 11B. Note that the substrate holding table 12 has a heater function for heating the silicon wafer W (a heater itself is not shown).
  • The process vessel 11 has an opening formed in an upper portion at a position corresponding to the silicon wafer W on the substrate holding table 12. This opening is closed by a dielectric plate 13 made of quartz, Al2O3, AIN, or the like. In this embodiment, quartz is used for the dielectric plate 13. The dielectric plate 13 is supported by a support portion 61 projected toward the inside of the vessel 11. On (on an outer side of) the dielectric plate 13, a slot plate 14 composed of a planar antenna to function as an antenna is provided. The slot plate 14 is made of a plate (like a thin disk) of a conductive material, for example, copper or aluminum plated with silver or gold, and has a large number of slits 14 a. The disk may have rectangle shape or polygon shape. These slits 14 a are arranged spirally or coaxially as a whole.
  • On (on an outer side of) the slot plate 14, disposed is a dielectric plate 15 made of, for example, quartz, alumina, aluminum nitride, or the like. This dielectric plate 15 is sometimes called a retardation plate or a wavelength shortening plate. In this embodiment, quartz is used for the dielectric plate 15. On (on an outer side of) the dielectric plate 15, a cooling plate 16 is disposed. The cooling plate 16 has therein a refrigerant path 16 a in which a refrigerant flows. Cooling a microwave introducing portion prevents the dielectric plate 13, the slot plate 14, the dielectric plate 15 and the like from being broken by heat, and makes a contribution to maintain stability of plasma. Further, a rectangular waveguide 18 and a coaxial waveguide 19 which introduce a microwave of, for example, 2.45 GHz generated by a microwave supply device 17 are provided in an upper edge center of the process vessel 11. The coaxial waveguide 19 is composed of an outer conductor 19 a and an inner conductor 19 b. In this embodiment, these dielectric plate 13 and slot plate 14 constitute a plasma generating part. The aforesaid microwave is introduced into the process vessel 11 through the slot plate 14 and the dielectric plate 13 to generate the plasma.
  • Around the substrate holding table 12, a gas baffle plate 28 made of aluminum is disposed. On an upper face of the gas baffle plate 28, a quartz cover 26 is provided. The gas baffle plate 28 is supported by a support portion 27.
  • On the inner wall of the process vessel 11, a gas nozzle 22 as a gas introducing part for introducing gas is provided. In this embodiment, an inert gas supply source 65, a nitrogen gas supply source 66, and an oxygen gas supply source 67 are prepared as gas supply sources, and they are connected to the gas nozzle 22 via valves 65 a, 66 a, 67 a, mass flow controllers 65 b, 66 b, 67 b, and valves 65 c, 66 c, 67 c, respectively.
  • A flow rate of gas supplied from the gas nozzle 22 is controlled by the mass flow controllers 65 b, 66 b, 67 b. In the sidewalls of the process vessel 11, a refrigerant path 24 is formed to surround the entire vessel.
  • A controller 52 controls ON-OFF and output control of the aforesaid microwave supply device 17, the flow rate adjustment by the mass flow controllers 65 b, 66 b, 67 b, the adjustment of an exhaust amount of the exhaust device 51, the heater function of the substrate holding table 12, and the like, so as to allow the plasma processing apparatus 10 to perform optimum processing.
  • This controller 52 controls the plasma processing apparatus 10. The controller 52 has a central processing unit 52 a, a support circuit 52 b, and a storage medium 52 c in which relevant control software is contained. A processor of a general-purpose computer may be used as the central processing unit 52 a of the controller 52. Various types of the storage medium, such as a RAM, ROM, flexible disk, hard disk, for example, may be used as the storage medium 52 c. The support circuit 52 b is connected to the central processing unit 52 a to support the processor in various ways.
  • The storage medium 52 c stores various control programs which are necessary for thus-structured plasma processing apparatus 10 according to the present invention to carry out a plasma processing method, and other software are stored.
  • An example to work the present invention using the plasma processing apparatus 10 is as follows. First, the silicon wafer W as a semiconductor substrate is set in the process vessel 11 of the plasma processing apparatus 10 and then the air inside the process vessel 11 is exhausted through the exhaust ports 11A and 11B so that the inside of the process vessel 11 is set to a predetermined process pressure. Thereafter, an inert gas, an oxygen gas, and a nitrogen gas are mixed in advance and introduced from the gas nozzle 62 into the process vessel 11 in which the silicon wafer W is set. It is suitable to provide a plurality of the gas nozzles 62 and separately introduce the respective gases to the process vessel 11 through the respective nozzles. Alternatively, it is also suitable to bring a plurality of pipes for the respective gases together near the gas nozzle 62 and introduce the gases while mixing them near the nozzle. Whichever the case may be, the gases may be supplied in any way as long as they exist at the same time in the same plasma processing.
  • Meanwhile, the microwave with the frequency of 2.45 GHz supplied through the rectangular waveguide 18 and the coaxial waveguide 19 is introduced into the process vessel 11 through the dielectric plate 15, the slot plate 14, and the dielectric plate 13. This microwave excites the plasma, and oxygen radicals and nitrogen radicals are generated from the mixed gas of the inert gas, the oxygen, and the nitrogen.
  • It is preferable that electron density of the plasma at this time is 1.0×1012 (1/cm3) or more, and electron temperature thereof is 1.0 (eV) or less. Thereby, it is possible to reduce damage to an oxynitride film to be formed.
  • In this regard, for example, another plasma source for generating high density plasma, an ECR plasma, for example, has the high possibility of giving plasma damage to the substrate. Namely, there is the possibility that the substrate stores unnecessary charge or a formed bond of Si—N—0 is broken and hence, it is impossible to form the fine oxynitride film.
  • Therefore, when the processing is performed by the plasma with high density and low electron temperature using the microwave as in this embodiment, there is no such possibility that the substrate stores the unnecessary charge or the formed bond of Si—N—O is broken and hence, it is possible to form the fine oxynitride film.
  • Because the plasma has the high density and the low electron temperature, it is possible to dispose the silicon wafer W close to the plasma, and suppress a drop of a film formation rate.
  • Namely, since the conventional plasma source, for example, the ECR plasma has high energy, it is necessary to dispose the silicon wafer W comparatively separately from a plasma region. In this case, there is the high possibility that lives of the generated oxygen radicals end before they reach the silicon wafer W (what is called “deactivation”), thereby dropping the film formation rate.
  • However, according to this embodiment, the silicon wafer W can be disposed close to the plasma because the processing is performed by the plasma using the microwave. As a result of this, a large number of the oxygen radicals can reach the silicon wafer W before the lives of the oxygen radicals end. Thus, it is possible to suppress the drop of the film formation rate and to form the excellent oxide film and oxynitride film.
  • The oxygen radicals and the nitrogen radicals reaching the surface of a silicon substrate 21 subject the surface of the silicon substrate 21 to oxidation and nitridation processing as shown in FIG. 2B, to form a silicon oxynitride film 22 having a desired thickness (10 nm or less, for example). Thus, a semiconductor substrate having an insulating film formed by performing the oxidation and the nitridation at the same time (embodiment 1) is obtained.
  • Meanwhile, a semiconductor substrate having a thermal oxide film (conventional example); a semiconductor substrate having an insulating film which is subjected to plasma nitridation after plasma oxidation (comparative example 1); and a semiconductor substrate having a plasma oxidation film only (comparative example 2) are prepared for comparison purposes. Then, trap characteristics thereof, together with the aforesaid embodiment 1, are measured and compared for evaluation. The results are shown in FIGS. 4A, and 4B.
  • The mechanism of the trap of electrons is exemplified in FIG. 3A. For example, in rewriting a flash memory 30, the electrons are drawn from a semiconductor substrate 31 through an insulating film (oxide film) 32 to a floating gate 33. At this time, when film quality of the insulating film 32 is poor, a large number of the electrons 35 stay inside the insulating film, and the electrons (e) passing therethrough are gradually reduced in number. This phenomenon is called the trap. In this example, after the flash memory 30 is rewritten, a writing characteristic of the memory deteriorates due to the trapped electrons 35.
  • The trap characteristic is measured by making the semiconductor substrate a MOS capacitor 36 as shown in FIG. 3B, for example. This MOS capacitor is formed by providing the insulating film (oxide film) 22 on the silicon substrate 21 formed as above, and providing an electrode 37 thereon for passing a certain current. The trap characteristic is measured by measuring a potential difference between the electrode 37 and the semiconductor substrate 21.
  • When an N-MOS capacitor is used, negative voltage is applied to the electrode 37 to measure secular change of the voltage. In general, measured data is roughly classified into FIGS. 4A and 4B. At an early stage of the measurement (FIG. 4B), hole traps are observable, and from the subsequent measurement (FIG. 4A), electron traps are observable. An initial voltage value which is applied between the semiconductor substrate and the electrode gradually shifts toward the negative side over time. The larger the voltage value shifts, the more the electrons are trapped and the lower the possibility that the electrons pass through the insulating film. In applying this to the flash memory as described above, the more the electrons are trapped in writing, the lower the possibility that the electrons pass therethrough. Therefore, it is hard to perform writing and as a result, its writing characteristic appears to be deteriorated.
  • FIGS. 4A and 4B show thus-measured trap characteristic of the electrons by showing relationship of a deviation from the initial voltage value. The horizontal axis denotes standardized time, and the vertical axis denotes the deviation from the initial voltage value (Vg-shift). This deviation is a difference between the applied initial voltage value and a measurement voltage between both ends of the insulating film which changes over time. Therefore, the increase of the electron traps means the shift toward the negative side.
  • The solid line shows the thermal oxide film of the conventional example, and a chain line shows the semiconductor substrate having the plasma oxynitride film (insulating film) which is formed by performing the plasma oxidation and the plasma nitridation at the same time according to the embodiment 1 of the present invention. Further, the chain double-dashed line shows the semiconductor substrate having the insulating film which is formed by performing the plasma nitridation after the plasma oxidation according to the comparative example 1, and the broken line (dotted line) shows the semiconductor substrate having the plasma oxide film according to the comparative example 2, respectively. As is clear from the graphs, the embodiment 1 has the excellent characteristic which is almost the same as that of the conventional thermal oxide film, whereas both of the comparative examples have a large number of the electron traps and their performance as the insulating film is not enough.
  • Meanwhile, FIG. 5 is a chart showing a secular change characteristic until dielectric breakdown (TDDB: Time Dependent Dielectric Breakdown) when the charge is applied and the current is passed, and a leakage current of the respective samples described above, for the purpose of comparing general electrical characteristics thereof. In this case, relative evaluation is made with reference to values of the thermal oxide film. Note that qualitative representation of the trap characteristics shown in FIGS. 4A and 4B is added to this chart in order to grasp the characteristics of the insulating films more comprehensively.
  • As is clear from the result, the insulating film obtained by the plasma oxynitridation according to the present invention shows the characteristics which are relatively equal to or greater than those of the conventional thermal oxide film in terms of the leakage current, the electron traps and the hole traps. As to the TDDB in particular, its result is superior to the conventional example. It is considered that the hole trap is the dominant factor of the life of the insulating film (TDDB), and the insulating film is excellent as the number of the hole traps and the electron traps is smaller.
  • Next, another example to work the present invention using the plasma processing apparatus 10 is shown as follows.
  • The semiconductor substrate obtained as the embodiment 1 described above is continuously set in the process vessel 11 without being removed from the process vessel 11. The gasses and the like which are used in the embodiment 1 are exhausted from the process vessel 11 through the exhaust ports 11A and 11B. Thereafter, the inert gas and the nitrogen gas which are mixed in advance are introduced through the gas nozzle 62 into the process vessel 11.
  • Meanwhile, the microwave with the frequency of 2.45 GHz supplied through the rectangular waveguide 18 and the coaxial waveguide 19 is introduced into the process vessel 11 through the dielectric plate 15, the slot plate antenna 14, and the dielectric plate 13. This microwave excites the mixed gas of the inert gas and the nitrogen gas into a plasma state to generate the nitrogen radicals.
  • The nitrogen radicals reaching the surface of the semiconductor substrate subject the insulating film 22 which is on the surface of the semiconductor substrate to the further nitridation processing, to form a silicon oxynitride film 22A having a desired thickness (10 nm or less, for example), as shown in FIG. 6B. Thus, a semiconductor substrate having the insulating film 22A formed by performing the further nitridation processing after the oxidation and the nitridation are performed at the same time (embodiment 2) is obtained.
  • FIG. 7 shows distribution of the nitrogen in the direction of the thickness of the insulating film of thus-obtained semiconductor substrate according to the present invention. In FIG. 7, the horizontal axis denotes etching time. It is shown that it corresponds to the distance in the direction of the thickness of the insulating film, and more etching is applied as time passes to increase the distance and depth from the surface of the insulating film. The vertical axis denotes relative spectral intensity of the nitrogen, and it shows that a large quantity of the nitrogen distributes and exists as the intensity increases.
  • FIG. 7 shows the nitrogen distribution of the embodiment 1. The nitrogen distributes in the direction of the thickness at least from the surface of the insulating film to an interface with the semiconductor substrate, and the nitrogen distribution has its peak at the position closer to the interface than the surface. Thus, it is possible to obtain the semiconductor substrate having the insulating film with the excellent trap characteristic.
  • FIG. 8 shows the nitrogen distribution of the semiconductor substrate according to the embodiment 2, and it is confirmed that the nitrogen distribution in the insulating film has two peaks which are near the surface and near the interface. Thereby, it is possible to improve the trap characteristic of the insulating film formed by the plasma, avoid reoxidation in its post-process, and pose a barrier to injected impurities. Thus, the semiconductor substrate having the stable insulating film which is hardly affected by conditions of semiconductor fabrication process can be obtained.
  • According to the embodiment 2, the peak positions of the nitrogen distribution can be changed by setting process conditions appropriately. Thus, it is possible to control the nitrogen distribution in the direction of the thickness of the insulating film, which has been difficult to control in the conventional oxynitridation processing at high temperature.
  • Next, it is possible to form the insulating film having the two peaks of the nitrogen distribution in the insulating film, which are near the surface and near the interface as shown in FIG. 8, without performing the further nitridation processing after the oxidation and the nitridation are performed at the same time, as in the embodiment 2.
  • Namely, the oxygen and the inert gas are first made into plasma in the process vessel 11 to form the oxide film on the silicon wafer W. Next, the inert gas and the mixed gas of the oxygen and the nitrogen gas are introduced into the process vessel 11 and made into plasma. Then, the silicon wafer W is subjected to the oxynitridation processing to form the oxynitride film. When the insulating film having the thickness of 8 nm is formed, for example, it is supposed that the thickness of the oxide film is 6 nm and the thickness of the oxynitride film is 2 nm. At this time, a flow rate ratio of the mixed gas of the oxygen to the nitrogen gas is set to be approximately 1:4 to 1:6.
  • Then, it is possible to form the insulating film having the two peaks of the nitrogen distribution in the insulating film, which are near the surface and near the interface.
  • Moreover, an explanation is made based on an experiment in FIG. 9 made by the present inventors. FIG. 9 shows the result of the experiment of a peak value of the nitrogen concentration when the nitrogen gas and an argon gas as the inert gas are fixed and a flow rate of the oxygen gas is changed. FIG. 10 is a graph showing depth in the direction of the thickness of the insulating film (the surface of the insulating film) and intensity of the nitrogen concentration, based on FIG. 9. Incidentally, processing conditions are as shown in the table of FIG. 9, and the peak value of the nitrogen concentration (atoms/cm3) is a reduced value from GDS.
  • As is clear from the result, there are two peaks of the nitrogen concentration which are near the interface of the insulating film and its surface. From these results, a partial pressure of the oxygen gas is preferably 0.4 or less, and more preferably 0.35 or less. Further, the flow rate ratio of N2:O2 is preferably 1:4 to 1:16, and more preferably 1:5 to 1:10.
  • Binding energy of Si—O and Si—N is 106 kcal/mol (4.6 eV) and 80 kcal/mol (3.5 eV), respectively, and the binding energy of Si—O is larger. Hence, when the partial pressure of the oxygen is made lower than the partial pressure of the nitrogen, reaction of Si—O precedes and takes the lead although N reacts at the same time in some degree. When the insulating film (SiON) having a certain film thickness is formed as the reaction progresses further, the reaction of Si—O does not progress further and N is dosed to the surface side. Therefore, by controlling the flow rate of N2 and O2, it is possible to realize such a characteristic that the two peaks of the nitrogen concentration appear near the interface of the insulating film and near the surface thereof.
  • FIG. 11 is a table showing experimental data for optimally controlling the nitrogen distribution on the interface side, and showing the change of the nitrogen concentration peak in the insulating film when the flow rate and the processing time of the nitrogen gas are changed separately. FIG. 12 shows the peak distribution of the nitrogen concentration based on the results of FIG. 11. Note that, in FIG. 11 and FIG. 12, No. 7 is the case of a thermal SiON film. As is clear from the results, it is possible for this embodiment to realize the nitrogen concentration which is equivalent to the thermal SiON film by the plasma processing at low temperature. In this case, it is preferable that the partial pressure of the nitrogen gas is higher than 1 Pa, and the ratio of the flow rate of the nitrogen gas to the flow rate of the oxygen gas is 0.7:1 to 1:2.
  • FIG. 13 is a table showing the change of the nitrogen concentration peak in the insulating film, when the processing time and the processing pressure are changed. FIG. 14 shows the peak distribution of the nitrogen concentration based on the results of FIG. 13. From these results, the control of the nitrogen concentration on the surface side is possible according to the processing pressure, the processing time, and Ar/N2 (flow rate ratio), and preferably, the case of No. 5 is the most suitable because it has the excellent electrical characteristic of the device.
  • As has been explained so far, according to the present invention, the plasma oxidation processing and the plasma nitridation processing are performed at the same time to the surface of the semiconductor substrate, and therefore the trap characteristic of the insulating film to be formed is improved. Moreover, it is possible to obtain the insulating film far exceeding the conventional one in terms of the TDDB and the leakage current.
  • Furthermore, when the plasma nitridation processing is further performed after the plasma oxidation and nitridation processing as described above, it is possible to control the distribution of the nitrogen in the direction of the depth, which has been difficult according to the oxynitridation processing at thermal processing of high temperature. As a result of this, it is possible to obtain the oxynitride film having the characteristic suitable for its use.
  • As described thus far, according to the present invention, it is possible to obtain the semiconductor substrate having the electrical characteristic and reliability which are equivalent to or greater than the thermal oxide film, even though the insulating film is formed at low temperature.
  • The present invention is useful in forming the insulating film of the semiconductor devices, particularly the nonvolatile memory.

Claims (5)

1. A plasma processing apparatus applying plasma processing to a substrate, comprising:
a process vessel containing the semiconductor substrate;
a microwave introducing portion introducing a microwave through an antenna and a dielectric which are provided to said process vessel; and
a gas supply portion supplying an inert gas, an oxygen gas, and a nitrogen gas to said process vessel,
wherein the inert gas, the oxygen gas, and the nitrogen gas are supplied by said gas supply portion into said process vessel, the microwave is introduced through said microwave introducing portion into said process vessel to make the gases into plasma, and oxidation processing and nitridation processing are applied at the same time to a surface of the substrate by the plasma to form an insulating film.
2. The plasma processing apparatus according to claim 1,
wherein the antenna is a slot antenna.
3. The plasma processing apparatus according to claim 1, further comprising
a controller controlling a flow rate ratio of the oxygen gas to the nitrogen gas so that nitrogen concentration on a surface side of the insulating film and an interface side between the substrate and the insulating film are controlled.
4. The plasma processing apparatus according to claim 3,
wherein the flow rate ratio of the oxygen gas to the nitrogen gas is 1:4 to 1:16.
5. A computer recording medium containing software allowing a plasma processing apparatus to execute a plasma processing method,
wherein the plasma processing method comprises the steps of:
introducing an inert gas, an oxygen gas, and a nitrogen gas into a process vessel to form plasma of the inert gas, the oxygen gas, and the nitrogen gas; and
applying oxidation processing and nitridation processing at the same time to a surface of the substrate by the plasma to form an insulating film on the substrate,
wherein electron temperature of the plasma is 1.0 (eV) or less.
US12/836,875 2003-02-06 2010-07-15 Plasma processing method, plasma processing apparatus, and computer recording medium Abandoned US20100275846A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/836,875 US20100275846A1 (en) 2003-02-06 2010-07-15 Plasma processing method, plasma processing apparatus, and computer recording medium

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2003029530 2003-02-06
JP2003-029530 2003-02-06
PCT/JP2004/001180 WO2004070816A1 (en) 2003-02-06 2004-02-05 Plasma processing method, semiconductor substrate and plasma processing system
US11/197,554 US7807234B2 (en) 2003-02-06 2005-08-05 Plasma processing method, plasma processing apparatus, and computer recording medium
US12/836,875 US20100275846A1 (en) 2003-02-06 2010-07-15 Plasma processing method, plasma processing apparatus, and computer recording medium

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/197,554 Division US7807234B2 (en) 2003-02-06 2005-08-05 Plasma processing method, plasma processing apparatus, and computer recording medium

Publications (1)

Publication Number Publication Date
US20100275846A1 true US20100275846A1 (en) 2010-11-04

Family

ID=32844238

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/197,554 Expired - Fee Related US7807234B2 (en) 2003-02-06 2005-08-05 Plasma processing method, plasma processing apparatus, and computer recording medium
US12/836,875 Abandoned US20100275846A1 (en) 2003-02-06 2010-07-15 Plasma processing method, plasma processing apparatus, and computer recording medium

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/197,554 Expired - Fee Related US7807234B2 (en) 2003-02-06 2005-08-05 Plasma processing method, plasma processing apparatus, and computer recording medium

Country Status (6)

Country Link
US (2) US7807234B2 (en)
JP (1) JP4402044B2 (en)
KR (1) KR100800639B1 (en)
CN (1) CN100429753C (en)
TW (1) TW200423825A (en)
WO (1) WO2004070816A1 (en)

Cited By (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4579637B2 (en) * 2004-10-01 2010-11-10 東京エレクトロン株式会社 Semiconductor memory device and manufacturing method thereof
JP2006310736A (en) * 2005-03-30 2006-11-09 Tokyo Electron Ltd Manufacturing method of gate insulating film and of semiconductor device
KR100790779B1 (en) * 2006-06-09 2008-01-02 주식회사 아이피에스 Method of depositing dielectric layer with increased gap-fill ability
KR100796742B1 (en) * 2006-08-02 2008-01-22 삼성전자주식회사 Method of manufacturing a semiconductor device
CN101405846B (en) * 2006-08-28 2010-09-29 国立大学法人名古屋大学 Method and device of plasma oxidation processing
JP2008192975A (en) * 2007-02-07 2008-08-21 Hitachi Kokusai Electric Inc Method for processing substrate
JP2010171359A (en) * 2008-04-07 2010-08-05 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device and substrate processing apparatus
JP5421551B2 (en) * 2008-06-11 2014-02-19 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US9281276B2 (en) * 2013-11-08 2016-03-08 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
JP6225837B2 (en) 2014-06-04 2017-11-08 東京エレクトロン株式会社 Film forming apparatus, film forming method, storage medium
JP6354539B2 (en) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP6536629B2 (en) * 2017-06-13 2019-07-03 株式会社デンソー Semiconductor device and method of manufacturing the same
CN108166066A (en) * 2017-12-25 2018-06-15 天津大学 Laser crystal plasma modification etching auxiliary polishing processing method

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218314B1 (en) * 1999-04-01 2001-04-17 Taiwan Semiconductor Manufacturing Company Silicon dioxide-oxynitride continuity film as a passivation film
US6245616B1 (en) * 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
US20010021592A1 (en) * 2000-03-08 2001-09-13 Jusung Engineering Co., Ltd. High density plasma chemical vapor deposition apparatus and gap filling method using the same
US20010034107A1 (en) * 1999-09-14 2001-10-25 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor devices
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
US20020025691A1 (en) * 2000-03-13 2002-02-28 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
US20020096721A1 (en) * 2000-11-30 2002-07-25 Nec Corporation Semiconductor device including a MIS transistor
US6470824B2 (en) * 1999-03-10 2002-10-29 Tokyo Electron Limited Semiconductor manufacturing apparatus
US20020177270A1 (en) * 2001-05-23 2002-11-28 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6544900B2 (en) * 1999-12-23 2003-04-08 Asm America, Inc. In situ dielectric stacks
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030181012A1 (en) * 2002-03-20 2003-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an ultrathin silicon dioxide gate with improved dielectric properties using NH3 nitridation and post-deposition rapid thermal annealing
US20030224616A1 (en) * 2002-03-26 2003-12-04 Unryu Ogawa Semiconductor device producing method and semiconductor device producing apparatus
US20040248392A1 (en) * 2003-02-04 2004-12-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US6933225B2 (en) * 2000-03-07 2005-08-23 Asm International N.V. Graded thin films

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69433836D1 (en) * 1993-12-28 2004-07-15 Applied Materials Inc Process for plasma-assisted chemical vapor deposition of silicon oxynitride layers
JP4255563B2 (en) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 Semiconductor manufacturing method and semiconductor manufacturing apparatus
JP3399413B2 (en) * 1999-09-13 2003-04-21 日本電気株式会社 Oxynitride film and method for forming the same
JP2001332724A (en) * 2000-05-25 2001-11-30 Fujitsu Ltd Insulated gate semiconductor device and its manufacturing method
JP4713752B2 (en) 2000-12-28 2011-06-29 財団法人国際科学振興財団 Semiconductor device and manufacturing method thereof
JP5068402B2 (en) * 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 Dielectric film and method for forming the same, semiconductor device, nonvolatile semiconductor memory device, and method for manufacturing semiconductor device
KR100994387B1 (en) * 2001-01-22 2010-11-16 도쿄엘렉트론가부시키가이샤 Method for producing material of electronic device and method for plaza processing
TW557514B (en) * 2001-08-02 2003-10-11 Tokyo Electron Ltd Method for processing a substrate and material for electronic devices
JP2004047948A (en) 2002-03-26 2004-02-12 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and semiconductor manufacturing device
JP3594947B2 (en) * 2002-09-19 2004-12-02 東京エレクトロン株式会社 Method for forming insulating film, method for manufacturing semiconductor device, substrate processing apparatus

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245616B1 (en) * 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
US6470824B2 (en) * 1999-03-10 2002-10-29 Tokyo Electron Limited Semiconductor manufacturing apparatus
US6218314B1 (en) * 1999-04-01 2001-04-17 Taiwan Semiconductor Manufacturing Company Silicon dioxide-oxynitride continuity film as a passivation film
US20010034107A1 (en) * 1999-09-14 2001-10-25 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor devices
US6544900B2 (en) * 1999-12-23 2003-04-08 Asm America, Inc. In situ dielectric stacks
US6933225B2 (en) * 2000-03-07 2005-08-23 Asm International N.V. Graded thin films
US20010021592A1 (en) * 2000-03-08 2001-09-13 Jusung Engineering Co., Ltd. High density plasma chemical vapor deposition apparatus and gap filling method using the same
US20020025691A1 (en) * 2000-03-13 2002-02-28 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
US20020096721A1 (en) * 2000-11-30 2002-07-25 Nec Corporation Semiconductor device including a MIS transistor
US6459126B1 (en) * 2000-11-30 2002-10-01 Nec Corporation Semiconductor device including a MIS transistor
US20020177270A1 (en) * 2001-05-23 2002-11-28 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030181012A1 (en) * 2002-03-20 2003-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an ultrathin silicon dioxide gate with improved dielectric properties using NH3 nitridation and post-deposition rapid thermal annealing
US20030224616A1 (en) * 2002-03-26 2003-12-04 Unryu Ogawa Semiconductor device producing method and semiconductor device producing apparatus
US20040248392A1 (en) * 2003-02-04 2004-12-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure

Cited By (444)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US20140159170A1 (en) * 2012-05-07 2014-06-12 Asm Ip Holding B.V. Semiconductor device dielectric interface layer
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9177784B2 (en) * 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
TW200423825A (en) 2004-11-01
CN1748296A (en) 2006-03-15
WO2004070816A1 (en) 2004-08-19
JPWO2004070816A1 (en) 2006-05-25
KR100800639B1 (en) 2008-02-01
KR20050094477A (en) 2005-09-27
TWI319694B (en) 2010-01-11
JP4402044B2 (en) 2010-01-20
US7807234B2 (en) 2010-10-05
CN100429753C (en) 2008-10-29
US20050287725A1 (en) 2005-12-29

Similar Documents

Publication Publication Date Title
US7807234B2 (en) Plasma processing method, plasma processing apparatus, and computer recording medium
US9070554B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US8158535B2 (en) Method for forming insulating film and method for manufacturing semiconductor device
TWI402912B (en) Manufacturing method of insulating film and manufacturing method of semiconductor device
US20060003603A1 (en) Method and apparatus for processing
US7820557B2 (en) Method for nitriding substrate and method for forming insulating film
US20060024864A1 (en) Substrate processing method
US20080093658A1 (en) Method for Nitriding Tunnel Oxide Film, Method for Manufacturing Non-Volatile Memory Device, Non-Volatile Memory Device, Control Program and Computer-Readable Recording Medium
EP1786030A1 (en) Silicon oxide film forming method, semiconductor device manufacturing method and computer storage medium
US20090291549A1 (en) Metal film decarbonizing method, film forming method and semiconductor device manufacturing method
US20060269694A1 (en) Plasma processing method
JP5339327B2 (en) Plasma nitriding method and semiconductor device manufacturing method
JPWO2004047157A1 (en) Plasma processing apparatus and plasma processing method
US20130022760A1 (en) Plasma nitriding method
JPWO2008146805A1 (en) Pre-treatment method in chamber, plasma treatment method, and plasma treatment apparatus in plasma nitriding treatment
WO2008038787A1 (en) Method for forming silicon oxide film, plasma processing apparatus and storage medium
US8389420B2 (en) Method and apparatus for forming silicon oxide film
KR102085199B1 (en) Method for manufacturing semiconductor device, recording medium and substrate processing apparatus
US7910493B2 (en) Semiconductor device manufacturing method, semiconductor device, plasma nitriding treatment method, control program and computer storage medium
WO2004070815A1 (en) Method of plasma oxidation and semiconductor substrate

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION