US20100297832A1 - Semiconductor device manufacturing method, substrate processing apparatus, substrate manufacturing method - Google Patents

Semiconductor device manufacturing method, substrate processing apparatus, substrate manufacturing method Download PDF

Info

Publication number
US20100297832A1
US20100297832A1 US12/782,090 US78209010A US2010297832A1 US 20100297832 A1 US20100297832 A1 US 20100297832A1 US 78209010 A US78209010 A US 78209010A US 2010297832 A1 US2010297832 A1 US 2010297832A1
Authority
US
United States
Prior art keywords
gas
gas supply
reaction chamber
chlorine
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/782,090
Inventor
Yoshinori Imai
Hideji SHIBATA
Takafumi Sasaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Assigned to HITACHI KOKUSAI ELECTRIC, INC. reassignment HITACHI KOKUSAI ELECTRIC, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHIBATA, HIDEJI, IMAI, YOSHINORI, SASAKI, TAKAFUMI
Publication of US20100297832A1 publication Critical patent/US20100297832A1/en
Priority to US13/732,460 priority Critical patent/US9177799B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • the present invention relates to a semiconductor device manufacturing method, substrate processing apparatus, and substrate manufacturing method.
  • silicon carbide has advantageous characteristics such as a wide energy band gap, a high dielectric strength voltage, and a high heat conductivity as compared with silicon (Si), silicon carbide attracts attention as an element material, particularly for an element of a power device.
  • SiC silicon carbide
  • a SiC epitaxial film is formed in a high temperature range of about 1500° C. to about 1800° C. as compared with a temperature range of 900° C. to 1200° C.
  • Epitaxial films can be formed by arranging several substrates to about tens of substrates on a susceptor which is heated to a film forming temperature, for example, by high-frequency waves, and supplying a silicon-containing gas (hereinafter also referred to as a Si source gas), a carbon-containing gas (hereinafter also referred to as a C source gas), and a carrier gas to the substrates.
  • a silicon-containing gas hereinafter also referred to as a Si source gas
  • C source gas carbon-containing gas
  • Propane (C 3 H 8 ) gas or ethylene (C 2 H 4 ) gas is widely used as a C source gas
  • monosilane (SiH 4 ) gas is widely used as a Si source gas
  • hydrogen (H 2 ) gas is widely used as a carrier gas.
  • hydrogen chloride (HCl) gas may be added to a source gas, or a material including chlorine (Cl) in its formula such as trichlorosilane (SiHCl 3 ) gas or tetrachlorosilane (SiCl 4 , silicon tetrachloride) gas may be used as a Si source (for example, refer to Non-patent Document 1).
  • FIG. 1 is an exemplary schematic view illustrating a relationship between a structure of a pancake type susceptor and positions of substrates.
  • the diameter and number of substrates that can be placed on the susceptor are limited to the diameter of the susceptor. Therefore, if the diameter of the substrates is large, the number and total area of the substrates that can be processed at a time are reduced. For example, in the case of FIG.
  • the number of the substrates that can be processed at a time is reduced from twenty to eight, and the total area that can be processed at a time is reduced by about 10%.
  • a gas supply nozzle is installed in a reaction chamber to introduce a source gas and uniformly supply the source gas to stacked substrates.
  • the source gas can be efficiently and uniformly supplied to a plurality of substrates which are vertically stacked, and thus the uniformity of a film thickness can be improved between the substrates and in the surfaces of the substrates.
  • Non-patent Document 1 P. VAN DER PUTTE, L. J. GILING and J. BLOEM, ⁇ GROWTH AND ETCHING OF SILICON IN CHEMICAL VAPOUR DEPOSITION SYSTEMS; THE INFLUENCE OF THERMAL DIFFUSION AND TEMPERATURE GRADIENT ⁇ , Journal of Crystal growth, vol. 31, 1975, pp. 299-307.
  • the thermal decomposition temperature of a Si source gas is known to be about 950° C. to 1050° C., and even tetrachlorosilane (SiCl 4 ) including chlorine is known to be thermally decomposed at about 1150° C. to 1250° C.
  • SiC is epitaxially grown at about 1500° C. to about 1800° C. Properties of Si source gases are exemplarily shown in FIG. 9 .
  • a gas supply nozzle for supplying a Si source gas is installed in a reaction chamber in a manner such that the gas supply nozzle extends along a region where substrates are stacked for a batch process, that is, a region where substrates are arranged.
  • the inside temperature of the gas supply nozzle becomes equal to the inside temperature of the reaction chamber, that is, the inside temperature of the gas supply nozzle becomes higher than the decomposition temperature of the Si source gas.
  • the Si source gas when the Si source gas is supplied into the reaction chamber, the Si source gas may decompose while passing through the gas supply nozzle, and thus the Si source gas may be insufficiently supplied into the reaction chamber.
  • Si due to the decomposition of the Si source gas, Si may be deposited on the inner wall of the gas supply nozzle; the inside of the gas supply nozzle may be clogged by deposited Si; an ejection hole formed in the gas supply nozzle may be clogged by deposited Si; and thus the Si source gas may not be supplied into the reaction chamber.
  • Si deposited on the gas supply nozzle may enter the inside of the reaction chamber to contaminate the inside of the reaction chamber.
  • the inside temperature of the gas supply nozzle is higher than the melting point of extracted deposited Si, some of deposited Si may melt and flow to a substrate to cause a crystalline defect on the surface of the substrate.
  • An object of the present invention is to provide a substrate processing apparatus, a semiconductor device manufacturing method, and a substrate manufacturing method that are designed to suppress decomposition of a silicon (Si) source gas in a gas supply nozzle and deposition of Si on a part such as the inner wall of the gas supply nozzle when silicon carbide (SiC) epitaxial films are grown in a vertical type substrate processing apparatus, in which a plurality of substrates are vertically stacked in a reaction chamber and the gas supply nozzle disposed at the inside of the reaction chamber in a region where the substrates are arranged is heated to a temperature higher than the decomposition temperature of the Si source gas.
  • Si silicon
  • SiC silicon carbide
  • a substrate processing apparatus comprising: a reaction chamber configured to process a plurality of substrates stacked at predetermined intervals; a first gas supply system configured to supply at least a silicon-containing gas and a chlorine-containing gas or supply at least a gas containing silicon and chlorine; a first gas supply unit connected to the first gas supply system and comprising a first gas supply outlet supplying a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber; a second gas supply system configured to supply at least a reducing gas; a second gas supply unit connected to the second gas supply system and comprising at least a second gas supply outlet; a third gas supply system configured to supply at least a carbon-containing gas and connected to at least one of the first gas supply unit and the second gas supply unit; and a control unit configured to control the first gas supply system so that at least the silicon-containing gas and the chlorine-containing gas or at least the gas containing silicon and chlorine is supplied into the reaction chamber from the first gas supply outlet of the
  • a semiconductor device manufacturing method comprising: loading a plurality of substrates stacked at predetermined intervals into a reaction chamber; forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and unloading the substrates from the reaction chamber.
  • a substrate manufacturing method comprising: loading a plurality of substrates stacked at predetermined intervals into a reaction chamber; forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and unloading the substrates from the reaction chamber.
  • FIG. 1 is an exemplary schematic view illustrating a relationship between a structure of a pancake type susceptor and positions of substrates.
  • FIG. 2 is a perspective view illustrating a substrate processing apparatus 10 according to a first embodiment of the present invention.
  • FIG. 3 is a side sectional view illustrating a process furnace 40 of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 4 is a cross-sectional view illustrating the process furnace 40 of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 5 is a schematic view illustrating the process furnace 40 of the substrate processing apparatus 10 and the peripheral structure of the process furnace 40 according to the first embodiment of the present invention.
  • FIG. 6 is an exemplary block diagram illustrating a configuration for controlling each part of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 7 is an exemplary graph showing a relationship between a growth rate and a gas concentration in a SiCl 4 gas-H 2 gas reaction system.
  • FIG. 8 is an exemplary graph showing calculation results of equilibrium concentrations of a SiCl 4 gas-H 2 gas reaction system.
  • FIG. 9 is an exemplary table showing properties of various Si source gases.
  • FIG. 10 is an exemplary graph showing calculation results of equilibrium concentrations of reaction species when H 2 gas is used as a carrier gas.
  • FIG. 11 is an exemplary graph showing calculation results of equilibrium concentrations of reaction species when argon (Ar) gas is used as a carrier gas.
  • FIG. 12 is an exemplary view illustrating a gas supply system of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 13 is an exemplary view illustrating a gas supply system of a substrate processing apparatus 10 according to a third embodiment of the present invention.
  • FIG. 2 is a perspective view illustrating an example of a substrate processing apparatus 10 configured to form a silicon carbide (SiC) according to the current embodiment.
  • the substrate processing apparatus 10 is configured as a batch type vertical heat treatment apparatus.
  • the substrate processing apparatus 10 includes a case 12 in which main parts such as a process furnace 40 are disposed.
  • FOUPs Front Opening Unified Pods, hereinafter referred to as pods
  • pods 16 which are substrate containers configured to accommodate substrates such as wafers 14 (refer to FIG. 2 ) made of silicon (Si) or silicon carbide (SiC), are used as wafer carriers.
  • a pod stage 18 is disposed at the front side of the case 12 (right in FIG. 2 ).
  • Pods 16 are carried to and placed on the pod stage 18 .
  • twenty five wafers 14 are accommodated in each pod 16 .
  • Each of the pods 16 is configured to be placed on the pod stage 18 in a state where a cap (not shown) of the pod 16 is closed.
  • a pod carrying device 20 is disposed. Near the pod carrying device 20 , a pod shelf 22 , a pod opener 24 , and a substrate counter 26 are disposed.
  • the pod shelf 22 is disposed above the pod opener 24 and is configured such that a plurality of pods 16 can be placed and held on the pod shelf 22 .
  • the substrate counter 26 is disposed close to the pod opener 24 .
  • the pod carrying device 20 is configured to carry a pod 16 among the pod stage 18 , the pod shelf 22 , and the pod opener 24 .
  • the pod opener 24 is used to open a cap of a pod 16 . After the cap of the pod 16 is opened, the substrate counter 26 is used to count the number of wafers 14 disposed in the pod 16 .
  • a substrate transfer machine 28 and a boat 30 being a substrate holding tool are disposed.
  • the substrate transfer machine 28 includes an arm (tweezers) 32 capable of picking up wafers 14 , for example, five wafers 14 .
  • wafers 14 can be carried between a pod 16 placed at the pod opener 24 and the boat 30 .
  • the boat 30 is made of a heat-resistant material such as graphite or silicon carbide and is configured to hold a plurality of vertically stacked wafers 14 in a manner such that the wafers 14 are horizontally oriented and vertically arranged with the centers of the wafers 14 being aligned with each other.
  • a boat insulating part 34 is disposed as a circular disk shaped insulating member made of a heat-resistant material such as quartz or silicon carbide, so as to prevent heat transfer from a susceptor 48 (described later) to the lower side of a process furnace 40 (refer to FIG. 3 ).
  • the process furnace 40 is disposed.
  • the process furnace 40 is configured so that the boat 30 in which a plurality of wafers 14 are held can be loaded into the process furnace 40 through the bottom side of the process furnace 40 .
  • FIG. 3 is a side sectional view illustrating the process furnace 4 of the substrate processing apparatus 10 according to the current embodiment
  • FIG. 4 is a cross-sectional view illustrating the process furnace 4
  • the process furnace 40 includes an outer tube 42 as a cylindrical reaction tube.
  • the outer tube 42 is made of a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC) and has a cylindrical shape with a closed top side and an opened bottom side.
  • a reaction chamber 44 is formed in the cylindrical hollow part of the inside of the outer tube 42 .
  • the reaction chamber 44 is configured to accommodate vertically stacked wafers 14 made of a material such as silicon or silicon carbide, in a state where the wafers 14 are horizontally oriented and vertically arranged in the boat 30 with the centers of the wafers 14 being aligned with each other.
  • a manifold 46 is installed concentrically with the outer tube 42 .
  • the manifold 46 is made of a material such as stainless steel and has a cylindrical shape with opened top and bottom sides.
  • the manifold 46 is installed to support the outer tube 42 from the bottom side of the outer tube 42 .
  • an O-ring is installed as a seal member.
  • the manifold 46 is supported by a holder (not shown) so that the outer tube 42 can be vertically fixed.
  • a reaction vessel is mainly constituted by the outer tube 42 and the manifold 46 .
  • the process furnace 40 includes the susceptor 48 as a heating part, and a magnetic coil (induction coil) 50 as a magnetic field generating unit.
  • the susceptor 48 has a cylindrical shape and is installed in the reaction chamber 44 in a manner such that the susceptor 48 surrounds the wafers 14 held in the boat 30 .
  • the magnetic coil 50 is installed outside the outer tube 42 to surround the outer tube 42 .
  • the susceptor 48 is configured to generate heat in response to a magnetic field generated by the magnetic coil 50 . As the susceptor 48 generates heat, the inside of the reaction chamber 44 is heated by radiation heat.
  • a temperature sensor (not shown) is installed as a temperature detector configured to detect the inside temperature of the reaction chamber 44 .
  • the magnetic coil 50 and the temperature sensor are electrically connected to a temperature control unit 52 (refer to FIG. 6 ).
  • the temperature control unit 52 is configured to adjust power supply to the magnetic coil 50 based on temperature information detected by the temperature sensor so as to obtain desired temperature distribution in the reaction chamber 44 at a desired time.
  • an inner insulating wall 54 to prevent heat transfer from the susceptor 48 to the outer tube 42 or/and the outside of the outer tube 42 .
  • an outer insulating wall 56 having a structure such as a water cooling structure is installed in a manner such that the outer insulating wall 56 encloses the reaction chamber 44 so as to prevent heat transfer from the inside of the reaction chamber 44 to the outside area.
  • an electric field seal 58 is installed at the outside of the outer insulating wall 56 to prevent leakage of a magnetic field generated by the magnetic coil 50 to the outside area.
  • a first gas supply nozzle 60 a , a second gas supply nozzle 60 b , and a fourth gas supply nozzle 60 d are connected to the manifold 46 as a first gas supply unit, a second gas supply unit, and a fourth gas supply unit.
  • Each of the first gas supply nozzle 60 a , the second gas supply nozzle 60 b , and the fourth gas supply nozzle 60 d is L-shaped.
  • Each of the upstream sides of the first gas supply nozzle 60 a , the second gas supply nozzle 60 b , and the fourth gas supply nozzle 60 d penetrates the sidewall of the manifold 46 in a horizontal direction.
  • the downstream sides of the first and second gas supply nozzles 60 a and 60 b are erected along the inner wall of the susceptor 48 and extended to about the upper end of the boat 30 .
  • the downstream side of the fourth gas supply nozzle 60 d is erected along the inner wall of the outer tube 42 and extended to about the lower end of the boat 30 .
  • Gas flow passages are formed in the first gas supply nozzle 60 a , the second gas supply nozzle 60 b , and the fourth gas supply nozzle 60 d , respectively.
  • first gas supply holes 68 a and second gas supply holes 68 b are formed as first gas supply outlets and second gas supply outlets in a manner such that the first and second gas supply holes 68 a and 68 b are vertically arranged, so as to supply gas to main surfaces of the wafers 14 held in the boat 30 in a horizontal direction.
  • a fourth gas supply hole 68 d is formed as a fourth gas supply outlet to supply gas in a vertical direction.
  • first gas supply holes 68 a as the number of the wafers 14 held in the boat 30 and as many second gas supply holes 68 b as the number of the wafers 14 held in the boat 30 , the uniformity of in-surface film thicknesses of the wafers 14 can be easily controlled, and thus this structure may be preferable.
  • the downstream side of a first gas supply pipe 62 a which is configured to supply at least tetrachlorosilane (SiCl 4 ) gas as a gas containing silicon and chlorine is joined with the downstream side of a third gas supply pipe 62 c which is configured to supply at least propane (C 3 H 8 ) gas as a gas containing carbon, and the joined first and third gas supply pipes 62 a and 62 c are connected to the upstream side of the first gas supply nozzle 60 a .
  • the downstream side of a second gas supply pipe 62 b which is configured to supply at least hydrogen (H 2 ) gas as a reducing gas is connected to the upstream side of the second gas supply nozzle 60 b .
  • the downstream side of a fourth gas supply pipe 62 d which is configured to supply at least a rare gas such as argon (Ar) as a purge gas is connected to the upstream side of the fourth gas supply nozzle 60 d.
  • the structures of the first to fourth gas supply pipes 62 a , 62 b , 62 c , and 62 d are illustrated in FIG. 12 .
  • an Ar gas supply pipe 72 a configured to supply Ar gas as a carrier gas
  • the downstream end of a H 2 gas supply pipe 82 a configured to supply a gas containing hydrogen such as H 2 gas as a carrier gas (or a reducing gas)
  • the downstream end of a SiCl 4 gas supply pipe 92 a configured to supply SiCl 4 gas as a gas containing silicon and chlorine are connected to the upstream side of the first gas supply pipe 62 a .
  • an Ar gas supply source 75 a a mass flow controller (MFC) 74 a as a gas flow rate control device, and a valve 73 a are sequentially installed from the upstream side of the Ar gas supply pipe 72 a .
  • MFC mass flow controller
  • a H 2 gas supply source 85 a At the H 2 gas supply pipe 82 a , a H 2 gas supply source 85 a , an MFC 84 a , and a valve 83 a are sequentially installed from the upstream side of the H 2 gas supply pipe 82 a .
  • a SiCl 4 gas supply source 95 a At the SiCl 4 gas supply pipe 92 a , a SiCl 4 gas supply source 95 a , an MFC 94 a , and a valve 93 a are sequentially installed from the upstream side of the SiCl 4 gas supply pipe 92 a.
  • a H 2 gas supply source 65 b As a gas flow rate control device, and a valve 63 b are sequentially installed from the upstream side of the second gas supply pipe 62 b.
  • H 2 gas supply pipe 72 c configured to supply a gas containing hydrogen such as H 2 gas as a carrier gas (or a reducing gas), and the downstream end of a C 3 H 8 gas supply pipe 82 c configured to supply propane (C 3 H 8 ) gas as a gas containing carbon are connected to the upstream side of the third gas supply pipe 62 c .
  • a H 2 gas supply source 75 c an MFC 74 c as a gas flow rate control device, and a valve 73 c are sequentially installed from the upstream side of the H 2 gas supply pipe 72 c .
  • a C 3 H 8 gas supply source 85 c is sequentially installed from the upstream side of the C 3 H 8 gas supply pipe 82 c.
  • an Ar gas supply source 65 d an MFC 64 d as a gas flow rate control device, and a valve 63 d are installed sequentially from the upstream side of the fourth gas supply pipe 62 d.
  • a gas flow rate control unit 78 (refer to FIG. 6 ) is electrically connected to the valves 73 a , 83 a , 93 a , 63 b , 73 c , 83 c , and 63 d , and the MFCs 74 a , 84 a , 94 a , 64 b , 74 c , 84 c , and 64 d .
  • the gas flow rate control unit 78 is configured to control the supply flow rates of gases so as to obtain desired flow rates at desired times (refer to FIG. 6 ).
  • a first gas supply system of the current embodiment is constituted mainly by the first gas supply pipe 62 a , the Ar gas supply pipe 72 a , the H 2 gas supply pipe 82 a , the SiCl 4 gas supply pipe 92 a , the Ar gas supply source 75 a , the H 2 gas supply source 85 a , the SiCl 4 gas supply source 95 a , the MFCs 74 a , 84 a , and 94 a , and the valves 73 a , 83 a , and 93 a .
  • a second gas supply system of the current embodiment is constituted mainly by the second gas supply pipe 62 b , the H 2 gas supply source 65 b , the MFC 64 b , and the valve 63 b .
  • a third gas supply system of the current embodiment is constituted mainly by the third gas supply pipe 62 c , the H 2 gas supply pipe 72 c , the C 3 H 8 gas supply pipe 82 c , the Ar gas supply source 75 a , the H 2 gas supply source 75 c , the C 3 H 8 gas supply source 85 c , the MFCs 74 c and 84 c , and the valves 73 c and 83 c .
  • a fourth gas supply system of the current embodiment is constituted mainly by the fourth gas supply pipe 62 d , the Ar gas supply source 65 d , the MFC 64 d , and the valve 63 d .
  • the third gas supply pipe 62 c which constitutes the third gas supply system configured to supply at least a gas containing carbon, is connected to the first gas supply nozzle 60 a which is a first gas supply unit.
  • an exhaust pipe 92 is installed in the manifold 46 at a position facing the first and second gas supply nozzles 60 a and 60 b .
  • the exhaust pipe 92 penetrates the sidewall of the manifold 46 .
  • the downstream end of a first gas exhaust nozzle 90 a and the downstream end of a second gas exhaust nozzle 90 b are connected to the upstream side of the exhaust pipe 92 .
  • a first gas exhaust outlet 98 a is formed in a manner such that the first gas exhaust outlet 98 a is opened toward the lower region of the inner space of the susceptor 48 .
  • a second gas exhaust outlet 98 b is formed in a manner such that the second gas exhaust outlet 98 b is opened toward the lower region of a space between the inner insulating wall 54 and the outer tube 42 .
  • a pressure sensor (not shown) is installed as a pressure detector
  • an auto pressure controller (APC) valve 94 is installed as a pressure regulator
  • a vacuum exhaust device 86 such as a vacuum pump is installed.
  • a pressure control unit 98 (refer to FIG. 6 ) is electrically connected to the pressure sensor and the APC valve 94 . Based on a pressure detected by the pressure sensor, the pressure control unit 98 controls the opening degree of the APC valve 94 so as to keep the inside of the reaction chamber 44 at a desired pressure at a desire time.
  • gases supplied through the first and second gas supply nozzles 60 a and 60 b can flow in parallel with the main surfaces of the wafers 14 , and then the gases can be discharged to the outside of the reaction chamber 44 mainly through the first gas exhaust outlet 98 a . Therefore, the wafers 14 made of a material such as silicon or silicon carbide can be efficiently and uniformly exposed to the gases.
  • Gas supplied through the second gas supply nozzle 60 b is diffused in the reaction chamber 44 and is then discharged to the outside of the reaction chamber 44 mainly through the first gas exhaust outlet 98 a .
  • gas composition ratios in the first gas supply nozzle 60 a and the reaction chamber 44 can be properly adjusted. That is, as described later, the ratio of SiCl 4 gas partial pressure/H 2 gas partial pressure (P SiCl4 /P H2 ) can be adjusted to a proper value independently in the first gas supply nozzle 60 a and the reaction chamber 44 .
  • gas ejected through the fourth gas supply hole 68 d purges the space between the outer tube 42 and the inner insulating wall 54 and is then discharged to the outside of the reaction chamber 44 mainly through the second gas exhaust outlet 98 b .
  • gases supplied through the first and second gas supply nozzles 60 a and 60 b can be prevented from flowing into the space between the outer tube 42 and the inner insulating wall 54 , and adhesion of unnecessary byproducts onto the inner wall of the outer tube 42 can be prevented.
  • FIG. 5 is a schematic view illustrating the process furnace 40 and the peripheral structure of the process furnace 40 according to the current embodiment.
  • a loadlock chamber 110 is installed as a preliminary chamber.
  • a boat elevator 115 is installed at the outer surface of the sidewall of the loadlock chamber 110 .
  • the boat elevator 115 includes a lower base plate 112 , a guide shaft 116 , a ball screw 118 , an upper base plate 120 , an elevating motor 122 , an elevating base plate 130 , and a bellows 128 .
  • the lower base plate 112 is horizontally fixed to the outer surface of the sidewall of the loadlock chamber 110 .
  • the guide shaft 116 fitted in the elevating table 114 , and the ball screw 118 screw-coupled with the elevating table 114 are installed on the lower base plate 112 in perpendicular to the lower base plate 112 .
  • the upper base plate 120 is horizontally fixed to the upper ends of the guide shaft 116 and the ball screw 118 .
  • the ball screw 118 is configured to be rotated by the elevating motor 122 installed on the upper base plate 120 .
  • the guide shaft 116 is configured to allow vertical movements of the elevating table 114 and restrict horizontal rotation of the elevating table 114 . By rotating the ball screw 118 , the elevating table 114 can be raised and lowered.
  • a hollow elevating shaft 124 is vertically fixed to the elevating table 114 .
  • a joint part between the elevating table 114 and the elevating shaft 124 is hermetically kept.
  • the elevating shaft 124 and the elevating table 114 are configured to be lifted and lowered together with each other.
  • the lower end of the elevating shaft 124 penetrates a top plate 126 of the loadlock chamber 110 .
  • the inner diameter of a penetration hole formed in the top plate 126 of the loadlock chamber 110 is greater than the outer diameter of the elevating shaft 124 so as to prevent the elevating shaft 124 and the top plate 126 from making contact with each other.
  • the stretchy bellows 128 is installed so as to enclose the elevating shaft 124 as a hollow stretchy part.
  • a joint part between the elevating table 114 and the bellows 128 and a joint part between the top plate 126 and the bellows 128 are hermetically kept so that the inside of the loadlock chamber 110 can be hermetically maintained.
  • the bellows 128 can be sufficiently expanded and contracted in accordance with ascending and descending motions of the elevating table 114 .
  • the bellows 128 has an inner diameter sufficiently greater than the outer diameter of the elevating shaft 124 so as not to make contact with the elevating shaft 124 .
  • the elevating base plate 130 is horizontally fixed to the lower end of the elevating shaft 124 protruding into the loadlock chamber 110 .
  • a joint part between the elevating shaft 124 and the elevating base plate 130 is hermetically kept.
  • a seal cap 102 is hermetically installed on the top surface of the elevating base plate 130 in a state where a seal member such as an O-ring is disposed between the seal cap 102 and the elevating base plate 130 .
  • the seal cap 102 is made of a metal such as stainless steel and has a circular disk shape.
  • a driving control unit 108 (refer to FIG. 6 ) is electrically connected to the elevating motor 122 .
  • the driving control unit 108 controls the boat elevator 115 so that a desired operation of the boat elevator 115 can be performed at a desired time.
  • a driving unit cover 132 is hermetically attached to the bottom surface of the elevating base plate 130 with a seal member such as an O-ring being disposed therebetween.
  • the elevating base plate 130 and the driving unit cover 132 constitute a driving unit accommodation case 134 .
  • the inside of the driving unit accommodation case 134 is isolated from the inside atmosphere of the loadlock chamber 110 .
  • a rotary mechanism 104 is installed in the driving unit accommodation case 134 .
  • a power supply cable 138 is connected to the rotary mechanism 104 .
  • the power supply cable 138 extends from the upper end of the elevating shaft 124 to the rotary mechanism 104 through the inside of the elevating shaft 124 , so as to supply power to the rotary mechanism 104 .
  • the upper end part of a rotation shaft 106 of the rotary mechanism 104 penetrates the seal cap 102 and supports the bottom side of the boat 30 functioning as a substrate holding tool.
  • the driving control unit 108 (refer to FIG. 6 ) is electrically connected to the rotary mechanism 104 .
  • the driving control unit 108 controls the rotary mechanism 104 so that a desired operation of the rotary mechanism 104 can be performed at a desired time.
  • a cooling mechanism 136 is installed around the rotary mechanism 104 in the driving unit accommodation case 134 .
  • Cooling passages 140 are formed in the cooling mechanism 136 and the seal cap 102 .
  • Coolant conduits 142 are connected to the cooling passages 140 to supply coolant.
  • the coolant conduits 142 extend from the upper end of the elevating shaft 124 to the cooling passages 140 through the inside of the elevating shaft 124 , so as to supply coolant to the cooling passages 140 , respectively.
  • FIG. 6 is a block diagram illustrating a configuration for controlling each part of the substrate processing apparatus 10 .
  • a controller (control unit) 152 includes the temperature control unit 52 , the gas flow rate control unit 78 , the pressure control unit 98 , the driving control unit 108 , and a main control unit 150 .
  • the main control unit 150 constitutes a manipulation unit and an input/output unit and controls the overall operation of the substrate processing apparatus 10 .
  • the temperature control unit 52 , the gas flow rate control unit 78 , the pressure control unit 98 , and the driving control unit 108 are electrically connected to the main control unit 150 .
  • a SiC film is formed by supplying a gas mixture (first gas mixture) of SiCl 4 gas, H 2 gas, and C 3 H 8 gas through the first gas supply nozzle 60 a and supplying H 2 gas through the second gas supply nozzle 60 b .
  • a gas mixture first gas mixture
  • H 2 gas H 2 gas
  • C 3 H 8 gas H 2 gas
  • operations of parts of the substrate processing apparatus 10 are controlled by the controller 152 .
  • a pod 16 accommodating a plurality of wafers 14 is placed on the pod stage 18 .
  • the pod carrying device 20 transfers the pod 16 from the pod stage 18 to the pod shelf 22 .
  • the pod carrying device 20 carries the pod 16 from the pod shelf 22 to the pod opener 24 .
  • the pod opener 24 opens a cap of the pod 16 , and the substrate counter 26 detects the number of the wafers 14 accommodated in the pod 16 .
  • the substrate transfer machine 28 picks up wafers 14 from the pod 16 placed on the pod opener 24 and transfers the wafers 14 to the boat 30 .
  • the boat 30 in which the wafers 14 is held is loaded into the reaction chamber 44 (boat loading) as the elevating table 114 and the elevating shaft 124 are lifted by the elevating motor 122 .
  • the bottom side of the manifold 46 is sealed by the seal cap 102 in a state where the O-ring being disposed between the manifold 46 and the seal cap 102 .
  • the inside of the reaction chamber 44 is vacuum-evacuated by the vacuum exhaust device 86 to a predetermined pressure (vacuum degree). At this time, the inside pressure of the reaction chamber 44 is measured by using the pressure sensor, and based on the measured pressure, the APC valve 94 installed at the exhaust pipe 92 is feedback-controlled (S 2 ).
  • alternating current (AC) power (for example, 10 KHz to 100 KHz, 10 KW to 200 KW) is supplied from an AC power supply (not shown) to the magnetic coil 50 to apply an AC magnetic field to the susceptor 48 and thus to generate an induction current in the susceptor 48 for heating the susceptor 48 .
  • AC alternating current
  • the wafers 14 held in the boat 30 and the inside of the reaction chamber 44 are heated to a temperature ranging from 1500° C. to 1800° C. by radiation heat from the susceptor 48 .
  • power to the magnetic coil 50 is feedback-controlled based on temperature information detected by the temperature sensor (S 3 ).
  • the insides of the first and second gas supply nozzles 60 a and 60 b are also heated to, for example, 1500° C. to 1800° C.
  • the rotary mechanism 104 starts to rotate the boat 30 and the wafers 14 .
  • the wafers 14 are rotated until the wafers 14 are unloaded in a later-described unloading operation.
  • valves 83 a and 93 a are opened, and hydrogen (H 2 ) gas which is a reducing gas and tetrachlorosilane (SiCl 4 ) gas which is a gas containing silicon and chlorine are introduced into the reaction chamber 44 through the first gas supply pipe 62 a , the first gas supply nozzle 60 a , and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 84 a and 94 a .
  • hydrogen (H 2 ) gas which is a reducing gas
  • SiCl 4 tetrachlorosilane
  • valves 73 c and 83 c are opened, and hydrogen (H 2 ) gas which is a reducing gas and propane (C 3 H 8 ) gas which is a carbon-containing gas are supplied into the reaction chamber 44 through the third gas supply pipe 62 c , the first gas supply nozzle 60 a , and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 74 c and 84 c.
  • hydrogen (H 2 ) gas which is a reducing gas
  • propane (C 3 H 8 ) gas which is a carbon-containing gas
  • valve 63 b is opened, and H 2 gas which is a reducing gas is supplied into the reaction chamber 44 through the second gas supply pipe 62 b , the second gas supply nozzle 60 b , and the second gas supply holes 68 b while controlling the flow rate of the H 2 gas by using the MFC 64 b.
  • the gases supplied into the reaction chamber 44 through the first and second gas supply nozzles 60 a and 60 b are mixed with each other and flow in parallel with main surfaces of the wafers 14 , and then the gases are discharged to the outside of the reaction chamber 44 mainly through the first gas exhaust outlet 98 a .
  • the gases supplied through the first and second gas supply nozzles 60 a and 60 b flow through the inside of the reaction chamber 44 , the gases make contact with the wafers 14 , and thus SiC films are epitaxially grown on the wafers 14 .
  • the valve 63 d is opened, so as to supply Ar gas to a space between the outer tube 42 and the inner insulating wall 54 through the fourth gas supply pipe 62 d and the fourth gas supply nozzle 60 d while controlling the flow rate of the Ar gas by using the MFC 64 d .
  • the Ar gas supplied through the fourth gas supply nozzle 60 d flows through an inner region of the reaction chamber 44 located outside of the inner insulating wall 54 , and then the Ar gas is discharged to the outside of the reaction chamber 44 mainly through the second gas exhaust outlet 98 b .
  • the gases supplied through the first and second gas supply nozzles 60 a and 60 b can be prevented from flowing into the space between the outer tube 42 and the inner insulating wall 54 , and adhesion of unnecessary byproducts onto parts such as the inner wall of the outer tube 42 can be prevented.
  • valves 83 a , 93 a , 63 b , 73 c , and 83 c are closed to stop supply of SiCl 4 gas, H 2 gas, and C 3 H 8 gas, and along with this, the valve 73 a is opened to start supply of inert gas such as Ar gas into the reaction chamber 44 so as to replace the inside atmosphere of the reaction chamber 44 with the Ar gas and adjust the inside pressure of the reaction chamber 44 to atmospheric pressure (S 6 ).
  • inert gas such as Ar gas
  • the seal cap 102 is lowered by using the elevating motor 122 so as to open the bottom side of the manifold 46 and unload the boat 30 in which the processed wafers 14 are held to the outside of the outer tube 42 through the opened bottom side of the manifold 46 (boat unloading). Then, the boat 30 is left at a predetermined position until all the wafers 14 held in the boat 30 are cooled to a predetermined temperature (for example, about room temperature). If the wafers 14 are cooled to the predetermined temperature, the substrate transfer machine 28 picks up the wafers 14 from the boat 30 and carries the wafers 14 into an empty pod 16 placed on the pod opener 24 . Thereafter, the pod carrying device 20 carries the pod 16 in which the wafers 14 are accommodated to the pod shelf 22 or the pod stage 18 . In this way, the substrate processing process of the current embodiment is completed.
  • a predetermined temperature for example, about room temperature
  • Process temperature 1500° C. to 1700° C.
  • Process pressure 10 Torr to 200 Torr
  • SiC films are epitaxially grown on the wafers 14 .
  • the following exemplary process conditions may be used.
  • Process temperature 1500° C. to 1700° C.
  • Process pressure 1 Torr to 100 Torr
  • SiC films are epitaxially grown on the wafers 14 .
  • the process pressure is set to the above-mentioned pressure.
  • FIG. 7 is an exemplary graph showing a relationship between a growth rate and a gas concentration in a SiCl 4 gas-H 2 gas reaction system.
  • the horizontal axis denotes a Cl/H value of a gas mixture
  • the vertical axis denotes a film growth rate caused by Si deposition.
  • the film growth rate decreases gradually in the negative ( ⁇ ) direction (in the range (c) of FIG. 7 ), that is, a Si film formed by deposition is etched (in the range (c) in FIG. 7 ).
  • This reaction can be expressed by the reaction formula: SiCl 4 +2H 2 ⁇ Si+4HCl.
  • a predetermined value for example, in the ranges (a) and (b) of FIG. 7
  • decomposition of SiCl 4 caused by a hydrogen reduction reaction proceeds at a higher rate so that the chemical equilibrium shifts in a direction where Si deposition and deposition occurs.
  • the Cl/H value is higher than the predetermined value (for example, in the range (c) of FIG.
  • a SiC film can be grown on a wafer 14 while suppressing decomposition of SiCl 4 gas in the first gas supply nozzle 60 a and Si deposition on the inner wall of the first gas supply nozzle 60 a.
  • the concentration or supply flow rate of the H 2 gas is kept small relative to that of the SiCl 4 gas.
  • the Cl/H value of the inside of the first gas supply nozzle 60 a is set to be greater than the Cl/H value of the inside of the reaction chamber 44 , like in the range (c) of FIG. 7 .
  • decomposition of SiCl 4 caused by a hydrogen reduction reaction may be suppressed, and an etching reaction of Si by HCl may occur at a higher rate than the rate of Si deposition, so that deposition of Si on the inner wall of the first gas supply nozzle 60 a can be suppressed.
  • the Cl/H value of the inside of the reaction chamber 44 is set to be smaller than the Cl/H value of the inside of the first gas supply nozzle 60 a , like in the ranges (a) and (b) of FIG. 7 .
  • the Cl/H value of the inside of the reaction chamber 44 is set to a vale where a maximum film growth rate can be obtained.
  • reaction chamber 44 decomposition of SiCl 4 gas caused by a hydrogen reduction reaction may be facilitated, and a Si deposition reaction may occur at a rate higher than the rate of a Si etching reaction, so that a SiC epitaxial film can be efficiently grown on a wafer 14 .
  • the above-described Cl/H value is varied according to SiC epitaxial film growth conditions such as the temperature and the inside pressure of the reaction chamber 44 of epitaxial film growth.
  • a gas not containing hydrogen atoms in its molecules such as SiCl 4 gas
  • thermal decomposition of the gas containing silicon and chlorine can be suppressed, and Si deposition can be suppressed inside the first gas supply nozzle 60 a .
  • a gas such as SiH 4 gas containing hydrogen atoms in its molecules is supplied through the first gas supply nozzle 60 a , since the SiH 4 gas is thermally decomposed at a relatively low temperature (for example, in the range from 950° C.
  • FIG. 8 is an exemplary graph showing calculation results of equilibrium concentrations of a SiCl 4 gas-H 2 gas reaction system
  • FIG. 9 is an exemplary table showing properties of various Si source gases.
  • the first gas supply nozzle 60 a can be prevented from being clogged by deposited Si, and gas can be stably supplied into the reaction chamber 44 .
  • SiCl 4 gas which is a gas containing silicon and chlorine is preliminarily mixed with C 3 H 8 gas which is a carbon-containing gas. Therefore, the SiCl 4 gas and the C 3 H 8 gas can be sufficiently mixed with each other before they reach a wafer 14 , and thus a SiC film having a uniform SiC composition ratio can be formed.
  • a hydrogen reduction reaction of the gas containing silicon and chlorine due to the hydrogen component of the carbon-containing gas it is preferable to additionally supply a chlorine-containing gas (for example, HCl gas or Cl 2 gas) to the insides of the first gas supply pipe 62 a and the first gas supply nozzle 60 a .
  • a chlorine-containing gas for example, HCl gas or Cl 2 gas
  • the downstream side of the first gas supply nozzle 60 a extends upward along the inner wall of the susceptor 48 to a position close to the upper end of the boat 30 . Furthermore, the first gas supply holes 68 a is formed in the lateral wall of the vertical part of the first gas supply nozzle 60 a in a manner such that the first gas supply holes 68 a are vertically arranged, so as to supply gas in a direction parallel with main surfaces of wafers 14 held in the boat 30 .
  • gas can be efficiently and uniformly supplied to the surfaces of the wafers 14 that are arranged at predetermined intervals, and thus the film thickness uniformity can be improved between the wafers 14 and in the surface of each wafer 14 .
  • the current embodiment is different from the above-described embodiment, in that a gas mixture (second gas mixture) of SiCl 4 gas, Ar gas, and C 3 H 8 gas is supplied through the first gas supply nozzle 60 a , and H 2 gas is supplied through the second gas supply nozzle 60 b . That is, instead of supplying H 2 gas into the first gas supply nozzle 60 a as a carrier gas (or reducing gas), Ar gas is supplied as a carrier gas in the current embodiment.
  • a substrate processing apparatus of the current embodiment has the same structure as the structure of the substrate processing apparatus 10 of the first embodiment, and thus a description thereof will not be repeated.
  • a gas supply operation S 4 of the current embodiment the valves 73 a and 93 a are opened, and Argon (Ar) gas which is a carrier gas and tetrachlorosilane (SiCl 4 ) gas which is a gas containing silicon and chlorine are introduced into the reaction chamber 44 through the first gas supply pipe 62 a , the first gas supply nozzle 60 a , and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 74 a and 94 a .
  • Argon (Ar) gas which is a carrier gas and tetrachlorosilane (SiCl 4 ) gas which is a gas containing silicon and chlorine
  • valve 83 c is opened, and propane (C 3 H 8 ) gas which is a carbon-containing gas is supplied into the reaction chamber 44 through the third gas supply pipe 62 c , the first gas supply nozzle 60 a , and the first gas supply holes 68 a while controlling the flow rate of the propane gas by using the MFC 84 c .
  • the valves 83 a and 73 c are kept in a closed state. That is, in the current embodiment, H 2 gas is not supplied into the first gas supply nozzle 60 a.
  • the valve 63 b is opened, and H 2 gas which is a reducing gas is supplied into the reaction chamber 44 through the second gas supply pipe 62 b , the second gas supply nozzle 60 b , and the second gas supply holes 68 b while controlling the flow rate of the H 2 gas by using the MFC 64 b .
  • H 2 gas which is a reducing gas
  • the second gas supply pipe 62 b By supplying the H 2 gas through the second gas supply pipe 62 b , a hydrogen reduction reaction of the SiCl 4 gas can occur in the reaction chamber 44 , and thus SiC epitaxial films can be efficiently grown on wafers 14 .
  • Process temperature 1500° C. to 1700° C.
  • Process pressure 10 Torr to 200 Torr
  • SiC films are epitaxially grown on the wafers 14 .
  • the following exemplary process conditions may be used.
  • Process temperature 1500° C. to 1700° C.
  • Process pressure 1 Torr to 100 Torr
  • SiC films are epitaxially grown on the wafers 14 .
  • the process pressure is set to the above-mentioned pressure.
  • the Cl/H value of the inside of the first gas supply nozzle 60 a is also kept greater than the Cl/H value of the inside of the reaction chamber 44 , so that the same effects as in the first embodiment can be attained.
  • FIG. 10 is a graph showing calculation results of equilibrium concentrations of reaction species when H 2 gas is used as a carrier gas, and FIG.
  • FIG. 11 is a graph showing calculation results of equilibrium concentrations of reaction species when Ar gas is used as a carrier gas. As it can be understood by comparing the graphs, when Ar gas is used as a carrier gas, decomposition of SiCl 4 gas can be more effectively suppressed even in a high temperature range, for example, from 1500° C. to 1700° C., as compared with the case where H 2 gas is used as a carrier gas.
  • a substrate processing apparatus of the current embodiment is different from the substrate processing apparatuses of the previous embodiments, in that a third gas supply system is not connected to the first gas supply unit but the third gas supply system is connected to a second gas supply unit. That is, the current embodiment is different from the previous embodiments, in that the downstream end of a third gas supply pipe 62 c is not connected to the upstream end of a first gas supply nozzle 60 a but connected to the upstream end of a second gas supply nozzle 60 b .
  • FIG. 13 is an exemplary view illustrating a gas supply system of a substrate processing apparatus 10 of the current embodiment.
  • the other structures of the substrate processing apparatus 10 of the current embodiment are the same as those of the substrate processing apparatus 10 of the first embodiment, and thus detailed descriptions thereof will not be repeated.
  • the current embodiment is different from the previous embodiments, in that a gas mixture (third gas mixture) of SiCl 4 gas and Ar gas is supplied through the first gas supply nozzle 60 a , and a gas mixture (fourth gas mixture) of C 3 H 8 gas and H 2 gas is supplied through the second gas supply nozzle 60 b . That is, the current embodiment is different from the previous embodiments in that C 3 H 8 gas including hydrogen atoms in its molecules is supplied through the second gas supply nozzle 60 b instead of being supplied through the first gas supply nozzle 60 a.
  • a gas supply operation S 4 of the current embodiment the valves 73 a and 93 a are opened, and Argon (Ar) gas which is a carrier gas and tetrachlorosilane (SiCl 4 ) gas which is a gas containing silicon and chlorine are introduced into the reaction chamber 44 through the first gas supply pipe 62 a , the first gas supply nozzle 60 a , and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 74 a and 94 a.
  • Argon (Ar) gas which is a carrier gas and tetrachlorosilane (SiCl 4 ) gas which is a gas containing silicon and chlorine
  • H 2 gas which is a reducing gas and propane (C 3 H 8 ) gas which is a carbon-containing gas are supplied into the reaction chamber 44 through the second gas supply pipe 62 b , the second gas supply nozzle 60 b , and the second gas supply holes 68 b while controlling the flow rates of the gases by using the MFCs 64 b , 74 c , and 84 c.
  • Process temperature 1500° C. to 1700° C.
  • Process pressure 10 Torr to 200 Torr
  • SiC films are epitaxially grown on wafers 14 .
  • the following exemplary process conditions may be used.
  • Process temperature 1500° C. to 1700° C.
  • Process pressure 1 Torr to 100 Torr
  • SiC films are epitaxially grown on the wafers 14 .
  • the process pressure is set to the above-mentioned pressure.
  • the Cl/H value of the inside of the first gas supply nozzle 60 a is also kept greater than the Cl/H value of the inside of the reaction chamber 44 , and thus the same effects as those of the first and second embodiments can be attained.
  • H 2 gas functioning as a carrier gas is not supplied through the first gas supply nozzle 60 a , and C 3 H 8 gas including hydrogen atoms in its molecules is also not supplied through the first gas supply nozzle 60 a . Therefore, a hydrogen reduction reaction of the SiCl 4 gas caused by the hydrogen of the C 3 H 8 gas can be prevented, and thus decomposition of the SiCl 4 gas and deposition of Si can be effectively suppressed in the first gas supply nozzle 60 a.
  • the valve 73 a is also opened to additionally supply Ar gas from the first gas supply nozzle 60 a into the reaction chamber 44 .
  • the total flow rate of gases supplied from the first gas supply nozzle 60 a into the reaction chamber 44 can be changed without having to vary the Cl/H value of the inside of the first gas supply nozzle 60 a , so that process conditions such as the velocity of gases in the reaction chamber 44 can be freely adjusted.
  • the flow rate of Ar gas may be set, for example, in the range from 10 SLM to 20 SLM.
  • Gases supplied through the first and second gas supply nozzles 60 a and 60 b are not limited to the above-mentioned gases. That is, other proper gases may be used according to purposes.
  • HCl hydrogen chloride
  • the downstream side of the gas supply pipe for HCl gas is connected to the downstream side of the valve 63 b of the second gas supply pipe 62 b .
  • a HCl gas supply source, MFC, and valve are sequentially installed from the upstream side of the gas supply pipe for HCl gas.
  • tetrachlorosilane (SiCl 4 ) gas as a gas containing silicon and chlorine
  • trichlorosilane (SiHCl 3 ) gas or dichlorosilane (SiH 2 Cl 2 ) gas may be used.
  • a gas mixture of a silicon-containing gas and a chlorine-containing gas may be used.
  • a silicon-containing gas a gas such as disilane (Si 2 H 6 ) gas or trisilane (Si 3 H 8 ) gas may be supplied.
  • a gas such as hydrogen chloride (HCl) gas or chlorine (Cl 2 ) gas may be supplied.
  • HCl hydrogen chloride
  • Cl 2 chlorine
  • H 2 gas instead of using H 2 gas as a reducing gas, another hydrogen-containing gas such as ammonia (NH 3 ) gas may be used.
  • NH 3 ammonia
  • C 3 H 8 gas is used as a carbon-containing gas in the above-described embodiments
  • another gas such as ethylene (C 2 H 4 ) gas or propylene (C 3 H 6 ) gas may be used as a carbon-containing gas.
  • argon (Ar) gas which is a rare gas is used as a carrier or purge gas
  • another gas such as helium (He) gas, neon (Ne) gas, krypton (Kr) gas, or xenon (Xe) gas
  • a rare gas having a low atomic weight such as helium (He) gas, neon (Ne) gas, or argon (Ar) may be used, and more preferably, inexpensive argon (Ar) gas may be used.
  • each of the first and second gas supply nozzles 60 a and 60 b is one in number.
  • a plurality of first gas supply nozzles 60 a and a plurality of second gas supply nozzles 60 b may be provided.
  • the second gas supply nozzle 60 b is not limited to the above-described structure.
  • the second gas supply nozzle 60 b may extend only up to a position close to the lower end of the boat 30 .
  • the dispositions of the first and second gas supply nozzles 60 a and 60 b may be changed each other.
  • a dopant gas supply nozzle (not shown) may be installed in the reaction chamber 44 to supply a dopant gas for forming an n-type doped layer.
  • the dopant gas supply nozzle may have the same structure as that of the first gas supply nozzle 60 a or the second gas supply nozzle 60 b .
  • nitrogen (N 2 ) gas may be used as a dopant gas for forming an n-type doped layer
  • a boron-containing gas or an aluminum-containing gas may be used as a dopant gas for forming a p-type doped layer.
  • the first gas supply holes 68 a are provided for wafers 14 held in the boat 30 , respectively, and the second gas supply holes 68 b are provided for the wafers 14 held in the boat 30 , respectively.
  • the present invention is not limited thereto.
  • at least one first gas supply hole 68 a or at least one second gas supply hole 68 b may be provided at least at a lateral side of the wafers 14 held in the boat 30 , for example, in a region between the magnetic coil 50 and the wafers 14 .
  • at least one first gas supply hole 68 a or at least one second gas supply hole 68 b may be provided at least at a lateral side of the wafers 14 held in the boat 30 for every several wafers 14 .
  • the present invention is not limited to the embodiments. That is, the present invention may suitably be applied to other cases where epitaxial films, CVD films, ALD films, or other films are grown by using a silicon-containing gas as a source gas.
  • the substrate processing apparatus when silicon carbide (SiC) epitaxial films are grown in a vertical type substrate processing apparatus in which a plurality of substrates are vertically stacked in a reaction chamber and a gas supply nozzle disposed at the inside of the reaction chamber in a region where the substrates are arranged is heated to a temperature higher than the decomposition temperature of the Si source gas, decomposition of a silicon (Si) source gas in the gas supply nozzle and deposition of Si on a part such as the inner wall of the gas supply nozzle can be suppressed.
  • SiC silicon carbide
  • the present invention also includes the following embodiments.
  • a substrate processing apparatus comprising:
  • reaction chamber configured to process a plurality of substrates stacked at predetermined intervals
  • a first gas supply system configured to supply at least a silicon-containing gas and a chlorine-containing gas or supply at least a gas containing silicon and chlorine;
  • a first gas supply unit connected to the first gas supply system and comprising a first gas supply outlet for supplying a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber;
  • a second gas supply system configured to supply at least a reducing gas
  • a second gas supply unit connected to the second gas supply system and comprising at least a second gas supply outlet;
  • a third gas supply system configured to supply at least a carbon-containing gas and connected to at least one of the first gas supply unit and the second gas supply unit;
  • control unit configured to control the first gas supply system so that at least the silicon-containing gas and the chlorine-containing gas or at least the gas containing silicon and chlorine is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, the second gas supply system so that at least the reducing gas is supplied into the reaction chamber from the second gas supply outlet of the second gas supply unit, and the third gas supply system so that at least the carbon-containing gas is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit, so as to form silicon carbide films on the substrates.
  • a semiconductor device manufacturing method comprising:
  • silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and
  • a substrate manufacturing method comprising:
  • silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and
  • the first gas supply system may be configured to further supply a rare gas
  • control unit may be configured to control the first gas supply system, so that at least the silicon-containing gas, the chlorine-containing gas, and the rare gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, or at least the gas containing silicon and chlorine and the rare gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit.
  • the first gas supply system may be configured to further supply argon gas
  • control unit may be configured to control the first gas supply system, so that at least the silicon-containing gas, the chlorine-containing gas, and the argon gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, or at least the gas containing silicon and chlorine and the argon gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit.
  • the second gas supply unit may be configured so that a gas is supplied through the second gas supply outlet in a direction parallel with the main surfaces of the substrates disposed in the reaction chamber.
  • the second gas supply system may be configured to supply hydrogen gas as the reducing gas
  • the control unit may be configured to control the second gas supply system so that at least the hydrogen gas is supplied into the reaction chamber from the second gas supply outlet of the second gas supply unit.
  • the first gas supply unit may comprise a first gas supply nozzle installed in the reaction chamber and comprising an inner gas flow passage
  • the second gas supply unit may comprise a second gas supply nozzle installed in the reaction chamber and comprising an inner gas flow passage
  • the silicon-containing gas may be silane gas, disilane gas, or trisilane gas,
  • the chlorine-containing gas may be hydrogen chloride gas or chlorine gas
  • the carbon-containing gas may be propane gas, ethylene gas, or propylene gas, and
  • the gas containing silicon and chlorine may be tetrachlorosilane gas, trichlorosilane gas, or dichlorosilane gas.
  • the inside of the reaction chamber may be kept at a temperature of 1500° C. to 1700° C. and a pressure of 10 Torr to 200 Torr during forming the silicon carbide films on the substrates.
  • a substrate manufacturing method comprising:
  • silicon carbide films on the substrates by supplying at least a silicon-containing gas, a carbon-containing gas, and a chlorine-containing gas through a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the reaction chamber, and supplying at least a reducing gas through a second gas supply outlet provided in the reaction chamber at a position different from a position where the gas supply nozzle is installed.
  • a substrate manufacturing method comprising:
  • silicon carbide films on the substrates by supplying at least a silicon-containing gas, a carbon-containing gas, a chlorine-containing gas, and a rare gas through a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the reaction chamber, and supplying at least a reducing gas through a second gas supply outlet provided in the reaction chamber at a position different from a position where the gas supply nozzle is installed.
  • a reducing gas may be further supplied through the first gas supply outlet.
  • the reducing gas may be hydrogen gas or a hydrogen-containing gas, and preferably, the reducing gas may be hydrogen gas.
  • the rare gas may be helium gas, neon gas, argon gas, or krypton gas, and preferably, the rare gas may be argon gas.
  • the substrates may be induction-heated.
  • the gas supply nozzle may extend to a region where the substrates are arranged, and the first gas supply outlet may be provided in the substrate-arrangement region.
  • the amount of the reducing gas supplied through the first gas supply outlet may be smaller than the amount of the reducing gas supplied through the second gas supply outlet.
  • the substrates are heated in the range from 1500° C. to 1700° C.
  • the inside pressure of the reaction chamber is kept in the range from 10 Torr to 200 Torr.
  • a substrate processing apparatus comprising: a reaction chamber configured to process a substrate; a first gas supply system configured to supply at least a silicon-containing gas, a carbon-containing gas, and a chlorine-containing gas into the reaction chamber; a second gas supply system configured to supply at least a reducing gas into the reaction chamber; a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the processing chamber; a second gas supply outlet provided in the reaction chamber at a position different a position where the gas supply nozzle is installed; and a controller configured to control the first gas supply system so that at least the silicon-containing gas, the carbon-containing gas, and the chlorine-containing gas are supplied into the reaction chamber through the first gas supply outlet, and the second gas supply system so that at least the reducing gas is supplied into the reaction chamber through the second gas supply outlet, so as to form a silicon carbide film on the substrate.
  • a substrate processing apparatus comprising: a reaction chamber configured to process a substrate; a first gas supply system configured to supply at least a silicon-containing gas, a carbon-containing gas, a chlorine-containing gas, and a rare gas into the reaction chamber; a second gas supply system configured to supply at least a reducing gas into the reaction chamber; a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the processing chamber; a second gas supply outlet provided in the reaction chamber at a position different a position where the gas supply nozzle is installed; and a controller configured to control the first gas supply system so that at least the silicon-containing gas, the carbon-containing gas, the chlorine-containing gas, and the rare gas are supplied into the reaction chamber through the first gas supply outlet, and the second gas supply system so that at least the reducing gas is supplied into the reaction chamber through the second gas supply outlet, so as to form a silicon carbide film on the substrate.

Abstract

Provided is a substrate processing apparatus, a semiconductor device manufacturing method, and a substrate manufacturing method. The substrate processing apparatus comprises: a reaction chamber configured to process substrates; a first gas supply system configured to supply at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine; a first gas supply unit connected to the first gas supply system; a second gas supply system configured to supply at least a reducing gas; a second gas supply unit connected to the second gas supply system; a third gas supply system configured to supply at least a carbon-containing gas and connected to at least one of the first gas supply unit and the second gas supply unit; and a control unit configured to control the first to third gas supply systems.

Description

    CROSS-REFERENCE TO RELATED PATENT APPLICATION
  • This U.S. non-provisional patent application claims priority under 35 U.S.C. §119 of Japanese Patent Application Nos. 2009-120882, filed on May 19, 2009, and 2010-086380, filed on Apr. 2, 2010, in the Japanese Patent Office, the entire contents of which are hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor device manufacturing method, substrate processing apparatus, and substrate manufacturing method.
  • 2. Description of the Related Art
  • Since silicon carbide (SiC) has advantageous characteristics such as a wide energy band gap, a high dielectric strength voltage, and a high heat conductivity as compared with silicon (Si), silicon carbide attracts attention as an element material, particularly for an element of a power device. However, due to other characteristics of SiC such as a non-liquid state at normal pressure and a low impurity diffusion coefficient, it is difficult, as is known, to fabricate a crystal substrate or a semiconductor device by using SiC as compared with the case of using Si. For example, since a SiC epitaxial film is formed in a high temperature range of about 1500° C. to about 1800° C. as compared with a temperature range of 900° C. to 1200° C. in which a Si epitaxial film is formed, it is necessary to study technology for heat-resistant structures of SiC epitaxial film forming apparatuses and source material decomposition preventing methods. Furthermore, in the case of a substrate processing apparatus configured to form a SiC epitaxial film, since two elements (silicon (Si) and carbon (C)) are used to form a film, additional studies which are not necessary for a silicon film forming apparatus are required for ensuring the uniformities of a film thickness and a composition ratio and controlling a doping level.
  • As mass-production SiC epitaxial film forming apparatuses, pancake type apparatuses are widely sold in the market. Epitaxial films can be formed by arranging several substrates to about tens of substrates on a susceptor which is heated to a film forming temperature, for example, by high-frequency waves, and supplying a silicon-containing gas (hereinafter also referred to as a Si source gas), a carbon-containing gas (hereinafter also referred to as a C source gas), and a carrier gas to the substrates. Propane (C3H8) gas or ethylene (C2H4) gas is widely used as a C source gas, monosilane (SiH4) gas is widely used as a Si source gas, and hydrogen (H2) gas is widely used as a carrier gas. To control formation of silicon nuclei in a gaseous phase and improve crystalline quality, hydrogen chloride (HCl) gas may be added to a source gas, or a material including chlorine (Cl) in its formula such as trichlorosilane (SiHCl3) gas or tetrachlorosilane (SiCl4, silicon tetrachloride) gas may be used as a Si source (for example, refer to Non-patent Document 1).
  • However, such mass-production substrate processing apparatuses configured to form SiC epitaxial films have the following problems. FIG. 1 is an exemplary schematic view illustrating a relationship between a structure of a pancake type susceptor and positions of substrates. As shown in FIG. 1, the diameter and number of substrates that can be placed on the susceptor are limited to the diameter of the susceptor. Therefore, if the diameter of the substrates is large, the number and total area of the substrates that can be processed at a time are reduced. For example, in the case of FIG. 1, if the diameter of the substrates arranged on the susceptor is increased by a factor of 1.5, the number of the substrates that can be processed at a time is reduced from twenty to eight, and the total area that can be processed at a time is reduced by about 10%. Thus, for mass production with such a pancake type susceptor, it is necessary to increase the number of substrate processing apparatuses or the area of a susceptor of a substrate processing apparatus (that is, the footprint of a substrate processing apparatus); otherwise, production costs are largely increased.
  • Therefore, the inventors considered that: like in the case of a substrate processing apparatus used for forming a Si film, if one hundred or more substrates having a diameter of, for example, 300 mm are vertically stacked and are batch-processed by employing a vertical structure, an increase of production costs can be prevented without having to increase the number of substrate processing apparatuses or the footprint of a substrate processing apparatus. In such a vertical type substrate processing apparatus, a gas supply nozzle is installed in a reaction chamber to introduce a source gas and uniformly supply the source gas to stacked substrates. In this way, the source gas can be efficiently and uniformly supplied to a plurality of substrates which are vertically stacked, and thus the uniformity of a film thickness can be improved between the substrates and in the surfaces of the substrates.
  • [Non-patent Document 1] P. VAN DER PUTTE, L. J. GILING and J. BLOEM, ┌GROWTH AND ETCHING OF SILICON IN CHEMICAL VAPOUR DEPOSITION SYSTEMS; THE INFLUENCE OF THERMAL DIFFUSION AND TEMPERATURE GRADIENT┘, Journal of Crystal growth, vol. 31, 1975, pp. 299-307.
  • However, if the above-described vertical structure is applied to a substrate processing apparatus configured to form a SiC epitaxial film so as to vertically stack a plurality of substrates and process the substrates at a time, there occur problems related with the decomposition temperature of a Si source gas. Although varying according to the composition of a Si source, the thermal decomposition temperature of monosilane (SiH4) generally used as a silicon source is known to be about 950° C. to 1050° C., and even tetrachlorosilane (SiCl4) including chlorine is known to be thermally decomposed at about 1150° C. to 1250° C. Generally, SiC is epitaxially grown at about 1500° C. to about 1800° C. Properties of Si source gases are exemplarily shown in FIG. 9.
  • In the case where the above-described vertical structure is applied to a substrate processing apparatus configured to form a SiC epitaxial film, a gas supply nozzle for supplying a Si source gas is installed in a reaction chamber in a manner such that the gas supply nozzle extends along a region where substrates are stacked for a batch process, that is, a region where substrates are arranged. For this reason, the inside temperature of the gas supply nozzle becomes equal to the inside temperature of the reaction chamber, that is, the inside temperature of the gas supply nozzle becomes higher than the decomposition temperature of the Si source gas. As a result, when the Si source gas is supplied into the reaction chamber, the Si source gas may decompose while passing through the gas supply nozzle, and thus the Si source gas may be insufficiently supplied into the reaction chamber. Moreover, due to the decomposition of the Si source gas, Si may be deposited on the inner wall of the gas supply nozzle; the inside of the gas supply nozzle may be clogged by deposited Si; an ejection hole formed in the gas supply nozzle may be clogged by deposited Si; and thus the Si source gas may not be supplied into the reaction chamber. In addition, Si deposited on the gas supply nozzle may enter the inside of the reaction chamber to contaminate the inside of the reaction chamber. In addition, since the inside temperature of the gas supply nozzle is higher than the melting point of extracted deposited Si, some of deposited Si may melt and flow to a substrate to cause a crystalline defect on the surface of the substrate.
  • SUMMARY OF THE INVENTION
  • An object of the present invention is to provide a substrate processing apparatus, a semiconductor device manufacturing method, and a substrate manufacturing method that are designed to suppress decomposition of a silicon (Si) source gas in a gas supply nozzle and deposition of Si on a part such as the inner wall of the gas supply nozzle when silicon carbide (SiC) epitaxial films are grown in a vertical type substrate processing apparatus, in which a plurality of substrates are vertically stacked in a reaction chamber and the gas supply nozzle disposed at the inside of the reaction chamber in a region where the substrates are arranged is heated to a temperature higher than the decomposition temperature of the Si source gas.
  • According to an aspect of the present invention, there is provided a substrate processing apparatus comprising: a reaction chamber configured to process a plurality of substrates stacked at predetermined intervals; a first gas supply system configured to supply at least a silicon-containing gas and a chlorine-containing gas or supply at least a gas containing silicon and chlorine; a first gas supply unit connected to the first gas supply system and comprising a first gas supply outlet supplying a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber; a second gas supply system configured to supply at least a reducing gas; a second gas supply unit connected to the second gas supply system and comprising at least a second gas supply outlet; a third gas supply system configured to supply at least a carbon-containing gas and connected to at least one of the first gas supply unit and the second gas supply unit; and a control unit configured to control the first gas supply system so that at least the silicon-containing gas and the chlorine-containing gas or at least the gas containing silicon and chlorine is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, the second gas supply system so that at least the reducing gas is supplied into the reaction chamber from the second gas supply outlet of the second gas supply unit, and the third gas supply system so that at least the carbon-containing gas is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit, so as to form silicon carbide films on the substrates.
  • According to another aspect of the present invention, there is a semiconductor device manufacturing method comprising: loading a plurality of substrates stacked at predetermined intervals into a reaction chamber; forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and unloading the substrates from the reaction chamber.
  • According to another aspect of the present invention, there is provided a substrate manufacturing method comprising: loading a plurality of substrates stacked at predetermined intervals into a reaction chamber; forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and unloading the substrates from the reaction chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an exemplary schematic view illustrating a relationship between a structure of a pancake type susceptor and positions of substrates.
  • FIG. 2 is a perspective view illustrating a substrate processing apparatus 10 according to a first embodiment of the present invention.
  • FIG. 3 is a side sectional view illustrating a process furnace 40 of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 4 is a cross-sectional view illustrating the process furnace 40 of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 5 is a schematic view illustrating the process furnace 40 of the substrate processing apparatus 10 and the peripheral structure of the process furnace 40 according to the first embodiment of the present invention.
  • FIG. 6 is an exemplary block diagram illustrating a configuration for controlling each part of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 7 is an exemplary graph showing a relationship between a growth rate and a gas concentration in a SiCl4 gas-H2 gas reaction system.
  • FIG. 8 is an exemplary graph showing calculation results of equilibrium concentrations of a SiCl4 gas-H2 gas reaction system.
  • FIG. 9 is an exemplary table showing properties of various Si source gases.
  • FIG. 10 is an exemplary graph showing calculation results of equilibrium concentrations of reaction species when H2 gas is used as a carrier gas.
  • FIG. 11 is an exemplary graph showing calculation results of equilibrium concentrations of reaction species when argon (Ar) gas is used as a carrier gas.
  • FIG. 12 is an exemplary view illustrating a gas supply system of the substrate processing apparatus 10 according to the first embodiment of the present invention.
  • FIG. 13 is an exemplary view illustrating a gas supply system of a substrate processing apparatus 10 according to a third embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS First Embodiment of Invention
  • Hereinafter, a first embodiment of the present invention will be described with reference to the attached drawings.
  • (1) Structure of Substrate Processing Apparatus
  • FIG. 2 is a perspective view illustrating an example of a substrate processing apparatus 10 configured to form a silicon carbide (SiC) according to the current embodiment. The substrate processing apparatus 10 is configured as a batch type vertical heat treatment apparatus. The substrate processing apparatus 10 includes a case 12 in which main parts such as a process furnace 40 are disposed. In the substrate processing apparatus 10, FOUPs (Front Opening Unified Pods, hereinafter referred to as pods) 16, which are substrate containers configured to accommodate substrates such as wafers 14 (refer to FIG. 2) made of silicon (Si) or silicon carbide (SiC), are used as wafer carriers. At the front side of the case 12 (right in FIG. 2), a pod stage 18 is disposed. Pods 16 are carried to and placed on the pod stage 18. For example, twenty five wafers 14 are accommodated in each pod 16. Each of the pods 16 is configured to be placed on the pod stage 18 in a state where a cap (not shown) of the pod 16 is closed.
  • At a front inner side of the case 12 opposite to the pod stage 18, a pod carrying device 20 is disposed. Near the pod carrying device 20, a pod shelf 22, a pod opener 24, and a substrate counter 26 are disposed. The pod shelf 22 is disposed above the pod opener 24 and is configured such that a plurality of pods 16 can be placed and held on the pod shelf 22. The substrate counter 26 is disposed close to the pod opener 24. The pod carrying device 20 is configured to carry a pod 16 among the pod stage 18, the pod shelf 22, and the pod opener 24. The pod opener 24 is used to open a cap of a pod 16. After the cap of the pod 16 is opened, the substrate counter 26 is used to count the number of wafers 14 disposed in the pod 16.
  • In the case 12, a substrate transfer machine 28 and a boat 30 being a substrate holding tool are disposed. The substrate transfer machine 28 includes an arm (tweezers) 32 capable of picking up wafers 14, for example, five wafers 14. By vertically rotating and horizontally rotating the arm 32 using a driving unit (not shown), wafers 14 can be carried between a pod 16 placed at the pod opener 24 and the boat 30.
  • The boat 30 is made of a heat-resistant material such as graphite or silicon carbide and is configured to hold a plurality of vertically stacked wafers 14 in a manner such that the wafers 14 are horizontally oriented and vertically arranged with the centers of the wafers 14 being aligned with each other. In addition, at the lower part of the boat 30, a boat insulating part 34 is disposed as a circular disk shaped insulating member made of a heat-resistant material such as quartz or silicon carbide, so as to prevent heat transfer from a susceptor 48 (described later) to the lower side of a process furnace 40 (refer to FIG. 3).
  • At the rear upper part in the case 12, the process furnace 40 is disposed. The process furnace 40 is configured so that the boat 30 in which a plurality of wafers 14 are held can be loaded into the process furnace 40 through the bottom side of the process furnace 40.
  • FIG. 3 is a side sectional view illustrating the process furnace 4 of the substrate processing apparatus 10 according to the current embodiment, and FIG. 4 is a cross-sectional view illustrating the process furnace 4
  • The process furnace 40 includes an outer tube 42 as a cylindrical reaction tube. The outer tube 42 is made of a heat-resistant material such as quartz (SiO2) or silicon carbide (SiC) and has a cylindrical shape with a closed top side and an opened bottom side. In the cylindrical hollow part of the inside of the outer tube 42, a reaction chamber 44 is formed. The reaction chamber 44 is configured to accommodate vertically stacked wafers 14 made of a material such as silicon or silicon carbide, in a state where the wafers 14 are horizontally oriented and vertically arranged in the boat 30 with the centers of the wafers 14 being aligned with each other.
  • At the lower side of the outer tube 42, a manifold 46 is installed concentrically with the outer tube 42. The manifold 46 is made of a material such as stainless steel and has a cylindrical shape with opened top and bottom sides. The manifold 46 is installed to support the outer tube 42 from the bottom side of the outer tube 42. In addition, between the manifold 46 and the outer tube 42, an O-ring is installed as a seal member. The manifold 46 is supported by a holder (not shown) so that the outer tube 42 can be vertically fixed. A reaction vessel is mainly constituted by the outer tube 42 and the manifold 46.
  • The process furnace 40 includes the susceptor 48 as a heating part, and a magnetic coil (induction coil) 50 as a magnetic field generating unit. The susceptor 48 has a cylindrical shape and is installed in the reaction chamber 44 in a manner such that the susceptor 48 surrounds the wafers 14 held in the boat 30. The magnetic coil 50 is installed outside the outer tube 42 to surround the outer tube 42. The susceptor 48 is configured to generate heat in response to a magnetic field generated by the magnetic coil 50. As the susceptor 48 generates heat, the inside of the reaction chamber 44 is heated by radiation heat.
  • Near the susceptor 48, a temperature sensor (not shown) is installed as a temperature detector configured to detect the inside temperature of the reaction chamber 44. The magnetic coil 50 and the temperature sensor are electrically connected to a temperature control unit 52 (refer to FIG. 6). The temperature control unit 52 is configured to adjust power supply to the magnetic coil 50 based on temperature information detected by the temperature sensor so as to obtain desired temperature distribution in the reaction chamber 44 at a desired time.
  • Between the susceptor 48 and the outer tube 42, an inner insulating wall 54 to prevent heat transfer from the susceptor 48 to the outer tube 42 or/and the outside of the outer tube 42. In addition, at the outside of the magnetic coil 50, an outer insulating wall 56 having a structure such as a water cooling structure is installed in a manner such that the outer insulating wall 56 encloses the reaction chamber 44 so as to prevent heat transfer from the inside of the reaction chamber 44 to the outside area. In addition, at the outside of the outer insulating wall 56, an electric field seal 58 is installed to prevent leakage of a magnetic field generated by the magnetic coil 50 to the outside area.
  • A first gas supply nozzle 60 a, a second gas supply nozzle 60 b, and a fourth gas supply nozzle 60 d are connected to the manifold 46 as a first gas supply unit, a second gas supply unit, and a fourth gas supply unit. Each of the first gas supply nozzle 60 a, the second gas supply nozzle 60 b, and the fourth gas supply nozzle 60 d is L-shaped. Each of the upstream sides of the first gas supply nozzle 60 a, the second gas supply nozzle 60 b, and the fourth gas supply nozzle 60 d penetrates the sidewall of the manifold 46 in a horizontal direction. The downstream sides of the first and second gas supply nozzles 60 a and 60 b are erected along the inner wall of the susceptor 48 and extended to about the upper end of the boat 30. The downstream side of the fourth gas supply nozzle 60 d is erected along the inner wall of the outer tube 42 and extended to about the lower end of the boat 30. Gas flow passages are formed in the first gas supply nozzle 60 a, the second gas supply nozzle 60 b, and the fourth gas supply nozzle 60 d, respectively.
  • In the sidewalls of the vertical parts of the first and second gas supply nozzles 60 a and 60 b, first gas supply holes 68 a and second gas supply holes 68 b are formed as first gas supply outlets and second gas supply outlets in a manner such that the first and second gas supply holes 68 a and 68 b are vertically arranged, so as to supply gas to main surfaces of the wafers 14 held in the boat 30 in a horizontal direction. In addition, at the downstream side of the fourth gas supply nozzle 60 d, a fourth gas supply hole 68 d is formed as a fourth gas supply outlet to supply gas in a vertical direction. By providing as many first gas supply holes 68 a as the number of the wafers 14 held in the boat 30 and as many second gas supply holes 68 b as the number of the wafers 14 held in the boat 30, the uniformity of in-surface film thicknesses of the wafers 14 can be easily controlled, and thus this structure may be preferable.
  • The downstream side of a first gas supply pipe 62 a which is configured to supply at least tetrachlorosilane (SiCl4) gas as a gas containing silicon and chlorine is joined with the downstream side of a third gas supply pipe 62 c which is configured to supply at least propane (C3H8) gas as a gas containing carbon, and the joined first and third gas supply pipes 62 a and 62 c are connected to the upstream side of the first gas supply nozzle 60 a. In addition, the downstream side of a second gas supply pipe 62 b which is configured to supply at least hydrogen (H2) gas as a reducing gas is connected to the upstream side of the second gas supply nozzle 60 b. In addition, the downstream side of a fourth gas supply pipe 62 d which is configured to supply at least a rare gas such as argon (Ar) as a purge gas is connected to the upstream side of the fourth gas supply nozzle 60 d.
  • The structures of the first to fourth gas supply pipes 62 a, 62 b, 62 c, and 62 d are illustrated in FIG. 12.
  • The downstream end of an Ar gas supply pipe 72 a configured to supply Ar gas as a carrier gas; the downstream end of a H2 gas supply pipe 82 a configured to supply a gas containing hydrogen such as H2 gas as a carrier gas (or a reducing gas); and the downstream end of a SiCl4 gas supply pipe 92 a configured to supply SiCl4 gas as a gas containing silicon and chlorine are connected to the upstream side of the first gas supply pipe 62 a. At the Ar gas supply pipe 72 a, an Ar gas supply source 75 a, a mass flow controller (MFC) 74 a as a gas flow rate control device, and a valve 73 a are sequentially installed from the upstream side of the Ar gas supply pipe 72 a. At the H2 gas supply pipe 82 a, a H2 gas supply source 85 a, an MFC 84 a, and a valve 83 a are sequentially installed from the upstream side of the H2 gas supply pipe 82 a. At the SiCl4 gas supply pipe 92 a, a SiCl4 gas supply source 95 a, an MFC 94 a, and a valve 93 a are sequentially installed from the upstream side of the SiCl4 gas supply pipe 92 a.
  • At the second gas supply pipe 62 b, a H2 gas supply source 65 b, an MFC 64 b as a gas flow rate control device, and a valve 63 b are sequentially installed from the upstream side of the second gas supply pipe 62 b.
  • The downstream end of a H2 gas supply pipe 72 c configured to supply a gas containing hydrogen such as H2 gas as a carrier gas (or a reducing gas), and the downstream end of a C3H8 gas supply pipe 82 c configured to supply propane (C3H8) gas as a gas containing carbon are connected to the upstream side of the third gas supply pipe 62 c. At the H2 gas supply pipe 72 c a H2 gas supply source 75 c, an MFC 74 c as a gas flow rate control device, and a valve 73 c are sequentially installed from the upstream side of the H2 gas supply pipe 72 c. At the C3H8 gas supply pipe 82 c, a C3H8 gas supply source 85 c, an MFC 84 c, and a valve 83 c are sequentially installed from the upstream side of the C3H8 gas supply pipe 82 c.
  • At the fourth gas supply pipe 62 d, an Ar gas supply source 65 d, an MFC 64 d as a gas flow rate control device, and a valve 63 d are installed sequentially from the upstream side of the fourth gas supply pipe 62 d.
  • A gas flow rate control unit 78 (refer to FIG. 6) is electrically connected to the valves 73 a, 83 a, 93 a, 63 b, 73 c, 83 c, and 63 d, and the MFCs 74 a, 84 a, 94 a, 64 b, 74 c, 84 c, and 64 d. The gas flow rate control unit 78 is configured to control the supply flow rates of gases so as to obtain desired flow rates at desired times (refer to FIG. 6).
  • A first gas supply system of the current embodiment is constituted mainly by the first gas supply pipe 62 a, the Ar gas supply pipe 72 a, the H2 gas supply pipe 82 a, the SiCl4 gas supply pipe 92 a, the Ar gas supply source 75 a, the H2 gas supply source 85 a, the SiCl4 gas supply source 95 a, the MFCs 74 a, 84 a, and 94 a, and the valves 73 a, 83 a, and 93 a. In addition, a second gas supply system of the current embodiment is constituted mainly by the second gas supply pipe 62 b, the H2 gas supply source 65 b, the MFC 64 b, and the valve 63 b. In addition, a third gas supply system of the current embodiment is constituted mainly by the third gas supply pipe 62 c, the H2 gas supply pipe 72 c, the C3H8 gas supply pipe 82 c, the Ar gas supply source 75 a, the H2 gas supply source 75 c, the C3H8 gas supply source 85 c, the MFCs 74 c and 84 c, and the valves 73 c and 83 c. In addition, a fourth gas supply system of the current embodiment is constituted mainly by the fourth gas supply pipe 62 d, the Ar gas supply source 65 d, the MFC 64 d, and the valve 63 d. Furthermore, in the current embodiment, the third gas supply pipe 62 c, which constitutes the third gas supply system configured to supply at least a gas containing carbon, is connected to the first gas supply nozzle 60 a which is a first gas supply unit.
  • As shown in FIG. 3, an exhaust pipe 92 is installed in the manifold 46 at a position facing the first and second gas supply nozzles 60 a and 60 b. The exhaust pipe 92 penetrates the sidewall of the manifold 46. The downstream end of a first gas exhaust nozzle 90 a and the downstream end of a second gas exhaust nozzle 90 b are connected to the upstream side of the exhaust pipe 92. At the upstream end of the first gas exhaust nozzle 90 a, a first gas exhaust outlet 98 a is formed in a manner such that the first gas exhaust outlet 98 a is opened toward the lower region of the inner space of the susceptor 48. At the upstream end of the second gas exhaust nozzle 90 b, a second gas exhaust outlet 98 b is formed in a manner such that the second gas exhaust outlet 98 b is opened toward the lower region of a space between the inner insulating wall 54 and the outer tube 42. At the downstream side of the exhaust pipe 92, a pressure sensor (not shown) is installed as a pressure detector, an auto pressure controller (APC) valve 94 is installed as a pressure regulator, and a vacuum exhaust device 86 such as a vacuum pump is installed. A pressure control unit 98 (refer to FIG. 6) is electrically connected to the pressure sensor and the APC valve 94. Based on a pressure detected by the pressure sensor, the pressure control unit 98 controls the opening degree of the APC valve 94 so as to keep the inside of the reaction chamber 44 at a desired pressure at a desire time.
  • Owing to the above-described structure, gases supplied through the first and second gas supply nozzles 60 a and 60 b can flow in parallel with the main surfaces of the wafers 14, and then the gases can be discharged to the outside of the reaction chamber 44 mainly through the first gas exhaust outlet 98 a. Therefore, the wafers 14 made of a material such as silicon or silicon carbide can be efficiently and uniformly exposed to the gases. Gas supplied through the second gas supply nozzle 60 b is diffused in the reaction chamber 44 and is then discharged to the outside of the reaction chamber 44 mainly through the first gas exhaust outlet 98 a. Owing to this, gas composition ratios in the first gas supply nozzle 60 a and the reaction chamber 44 can be properly adjusted. That is, as described later, the ratio of SiCl4 gas partial pressure/H2 gas partial pressure (PSiCl4/PH2) can be adjusted to a proper value independently in the first gas supply nozzle 60 a and the reaction chamber 44.
  • In addition, gas ejected through the fourth gas supply hole 68 d purges the space between the outer tube 42 and the inner insulating wall 54 and is then discharged to the outside of the reaction chamber 44 mainly through the second gas exhaust outlet 98 b. Owing to this, gases supplied through the first and second gas supply nozzles 60 a and 60 b can be prevented from flowing into the space between the outer tube 42 and the inner insulating wall 54, and adhesion of unnecessary byproducts onto the inner wall of the outer tube 42 can be prevented.
  • FIG. 5 is a schematic view illustrating the process furnace 40 and the peripheral structure of the process furnace 40 according to the current embodiment.
  • As shown in FIG. 5, under the process furnace 40, a loadlock chamber 110 is installed as a preliminary chamber. At the outer surface of the sidewall of the loadlock chamber 110, a boat elevator 115 is installed. The boat elevator 115 includes a lower base plate 112, a guide shaft 116, a ball screw 118, an upper base plate 120, an elevating motor 122, an elevating base plate 130, and a bellows 128. The lower base plate 112 is horizontally fixed to the outer surface of the sidewall of the loadlock chamber 110. The guide shaft 116 fitted in the elevating table 114, and the ball screw 118 screw-coupled with the elevating table 114 are installed on the lower base plate 112 in perpendicular to the lower base plate 112. The upper base plate 120 is horizontally fixed to the upper ends of the guide shaft 116 and the ball screw 118. The ball screw 118 is configured to be rotated by the elevating motor 122 installed on the upper base plate 120. The guide shaft 116 is configured to allow vertical movements of the elevating table 114 and restrict horizontal rotation of the elevating table 114. By rotating the ball screw 118, the elevating table 114 can be raised and lowered.
  • A hollow elevating shaft 124 is vertically fixed to the elevating table 114. A joint part between the elevating table 114 and the elevating shaft 124 is hermetically kept. The elevating shaft 124 and the elevating table 114 are configured to be lifted and lowered together with each other. The lower end of the elevating shaft 124 penetrates a top plate 126 of the loadlock chamber 110. The inner diameter of a penetration hole formed in the top plate 126 of the loadlock chamber 110 is greater than the outer diameter of the elevating shaft 124 so as to prevent the elevating shaft 124 and the top plate 126 from making contact with each other. Between the loadlock chamber 110 and the elevating table 114, the stretchy bellows 128 is installed so as to enclose the elevating shaft 124 as a hollow stretchy part. A joint part between the elevating table 114 and the bellows 128 and a joint part between the top plate 126 and the bellows 128 are hermetically kept so that the inside of the loadlock chamber 110 can be hermetically maintained. The bellows 128 can be sufficiently expanded and contracted in accordance with ascending and descending motions of the elevating table 114. The bellows 128 has an inner diameter sufficiently greater than the outer diameter of the elevating shaft 124 so as not to make contact with the elevating shaft 124.
  • The elevating base plate 130 is horizontally fixed to the lower end of the elevating shaft 124 protruding into the loadlock chamber 110. A joint part between the elevating shaft 124 and the elevating base plate 130 is hermetically kept. A seal cap 102 is hermetically installed on the top surface of the elevating base plate 130 in a state where a seal member such as an O-ring is disposed between the seal cap 102 and the elevating base plate 130. The seal cap 102 is made of a metal such as stainless steel and has a circular disk shape. By operating the elevating motor 122 to rotate the ball screw 118, the elevating table 114, the elevating shaft 124, the elevating base plate 130, and the seal cap 102 can be lifted in order to load the boat 30 into the reaction chamber 44 (boat loading) and close an opening part (furnace port) of the process furnace 40 by the seal cap 102. In addition, by operating the elevating motor 122 to rotate the ball screw 118, the elevating table 114, the elevating shaft 124, the elevating base plate 130, and the seal cap 102 can be lowered in order to unload the boat 30 from the inside of the reaction chamber 44 (boat unloading). A driving control unit 108 (refer to FIG. 6) is electrically connected to the elevating motor 122. The driving control unit 108 controls the boat elevator 115 so that a desired operation of the boat elevator 115 can be performed at a desired time.
  • A driving unit cover 132 is hermetically attached to the bottom surface of the elevating base plate 130 with a seal member such as an O-ring being disposed therebetween. The elevating base plate 130 and the driving unit cover 132 constitute a driving unit accommodation case 134. The inside of the driving unit accommodation case 134 is isolated from the inside atmosphere of the loadlock chamber 110. In the driving unit accommodation case 134, a rotary mechanism 104 is installed. A power supply cable 138 is connected to the rotary mechanism 104. The power supply cable 138 extends from the upper end of the elevating shaft 124 to the rotary mechanism 104 through the inside of the elevating shaft 124, so as to supply power to the rotary mechanism 104. The upper end part of a rotation shaft 106 of the rotary mechanism 104 penetrates the seal cap 102 and supports the bottom side of the boat 30 functioning as a substrate holding tool. By operating the rotary mechanism 104, the wafers 14 held in the boat 30 can be rotated in the reaction chamber 44. The driving control unit 108 (refer to FIG. 6) is electrically connected to the rotary mechanism 104. The driving control unit 108 controls the rotary mechanism 104 so that a desired operation of the rotary mechanism 104 can be performed at a desired time.
  • In addition, a cooling mechanism 136 is installed around the rotary mechanism 104 in the driving unit accommodation case 134. Cooling passages 140 are formed in the cooling mechanism 136 and the seal cap 102. Coolant conduits 142 are connected to the cooling passages 140 to supply coolant. The coolant conduits 142 extend from the upper end of the elevating shaft 124 to the cooling passages 140 through the inside of the elevating shaft 124, so as to supply coolant to the cooling passages 140, respectively.
  • FIG. 6 is a block diagram illustrating a configuration for controlling each part of the substrate processing apparatus 10. A controller (control unit) 152 includes the temperature control unit 52, the gas flow rate control unit 78, the pressure control unit 98, the driving control unit 108, and a main control unit 150. The main control unit 150 constitutes a manipulation unit and an input/output unit and controls the overall operation of the substrate processing apparatus 10. The temperature control unit 52, the gas flow rate control unit 78, the pressure control unit 98, and the driving control unit 108 are electrically connected to the main control unit 150.
  • (2) Substrate Processing Process
  • Next, an explanation will be given on a method of forming a film such as SiC (silicon carbide) film on a substrate such as a silicon or silicon carbide wafer 14 by using the above-described substrate processing apparatus 10 in one of semiconductor device manufacturing processes.
  • In the current embodiment, as described later, a SiC film is formed by supplying a gas mixture (first gas mixture) of SiCl4 gas, H2 gas, and C3H8 gas through the first gas supply nozzle 60 a and supplying H2 gas through the second gas supply nozzle 60 b. In the following description, operations of parts of the substrate processing apparatus 10 are controlled by the controller 152.
  • (Wafer Loading Operation S1)
  • First, a pod 16 accommodating a plurality of wafers 14 is placed on the pod stage 18. Next, the pod carrying device 20 transfers the pod 16 from the pod stage 18 to the pod shelf 22. Next, the pod carrying device 20 carries the pod 16 from the pod shelf 22 to the pod opener 24. Next, the pod opener 24 opens a cap of the pod 16, and the substrate counter 26 detects the number of the wafers 14 accommodated in the pod 16.
  • Next, the substrate transfer machine 28 picks up wafers 14 from the pod 16 placed on the pod opener 24 and transfers the wafers 14 to the boat 30. After a plurality of wafers 14 are charged into the boat 30, the boat 30 in which the wafers 14 is held is loaded into the reaction chamber 44 (boat loading) as the elevating table 114 and the elevating shaft 124 are lifted by the elevating motor 122. At this time, the bottom side of the manifold 46 is sealed by the seal cap 102 in a state where the O-ring being disposed between the manifold 46 and the seal cap 102.
  • (Pressure Adjusting Process S2 and Temperature Increasing Operation S3)
  • The inside of the reaction chamber 44 is vacuum-evacuated by the vacuum exhaust device 86 to a predetermined pressure (vacuum degree). At this time, the inside pressure of the reaction chamber 44 is measured by using the pressure sensor, and based on the measured pressure, the APC valve 94 installed at the exhaust pipe 92 is feedback-controlled (S2).
  • In addition, alternating current (AC) power (for example, 10 KHz to 100 KHz, 10 KW to 200 KW) is supplied from an AC power supply (not shown) to the magnetic coil 50 to apply an AC magnetic field to the susceptor 48 and thus to generate an induction current in the susceptor 48 for heating the susceptor 48. Then, the wafers 14 held in the boat 30 and the inside of the reaction chamber 44 are heated to a temperature ranging from 1500° C. to 1800° C. by radiation heat from the susceptor 48. At this time, to obtain desired temperature distribution in the reaction chamber 44, power to the magnetic coil 50 is feedback-controlled based on temperature information detected by the temperature sensor (S3). At this time, the insides of the first and second gas supply nozzles 60 a and 60 b are also heated to, for example, 1500° C. to 1800° C.
  • Subsequently, the rotary mechanism 104 starts to rotate the boat 30 and the wafers 14. The wafers 14 are rotated until the wafers 14 are unloaded in a later-described unloading operation.
  • (Gas Supply Operation S4)
  • Next, the valves 83 a and 93 a are opened, and hydrogen (H2) gas which is a reducing gas and tetrachlorosilane (SiCl4) gas which is a gas containing silicon and chlorine are introduced into the reaction chamber 44 through the first gas supply pipe 62 a, the first gas supply nozzle 60 a, and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 84 a and 94 a. In addition, the valves 73 c and 83 c are opened, and hydrogen (H2) gas which is a reducing gas and propane (C3H8) gas which is a carbon-containing gas are supplied into the reaction chamber 44 through the third gas supply pipe 62 c, the first gas supply nozzle 60 a, and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 74 c and 84 c.
  • Furthermore, at this time, the valve 63 b is opened, and H2 gas which is a reducing gas is supplied into the reaction chamber 44 through the second gas supply pipe 62 b, the second gas supply nozzle 60 b, and the second gas supply holes 68 b while controlling the flow rate of the H2 gas by using the MFC 64 b.
  • The gases supplied into the reaction chamber 44 through the first and second gas supply nozzles 60 a and 60 b are mixed with each other and flow in parallel with main surfaces of the wafers 14, and then the gases are discharged to the outside of the reaction chamber 44 mainly through the first gas exhaust outlet 98 a. When the gases supplied through the first and second gas supply nozzles 60 a and 60 b flow through the inside of the reaction chamber 44, the gases make contact with the wafers 14, and thus SiC films are epitaxially grown on the wafers 14.
  • As described above, according to the current embodiment, when a gas mixture of SiCl4 gas, H2 gas, and C3H8 gas is supplied into the reaction chamber 44 through the first gas supply nozzle 60 a, H2 gas is supplied into the reaction chamber 44 through the second gas supply nozzle 60 b. That is, the ratio of SiCl4 gas partial pressure/H2 gas partial pressure (PSiCl4/PH2) can be adjusted to a proper value independently in the first gas supply nozzle 60 a and the reaction chamber 44. As a result, it is possible to restrain decomposition of the SiCl4 gas in the first gas supply nozzle 60 a and deposition of Si on the inner wall of the first gas supply nozzle 60 a, and SiC films can be grown on the wafers 14. This will be described in more detail.
  • In addition, when gases are being supplied through the first and second gas supply nozzles 60 a and 60 b, the valve 63 d is opened, so as to supply Ar gas to a space between the outer tube 42 and the inner insulating wall 54 through the fourth gas supply pipe 62 d and the fourth gas supply nozzle 60 d while controlling the flow rate of the Ar gas by using the MFC 64 d. The Ar gas supplied through the fourth gas supply nozzle 60 d flows through an inner region of the reaction chamber 44 located outside of the inner insulating wall 54, and then the Ar gas is discharged to the outside of the reaction chamber 44 mainly through the second gas exhaust outlet 98 b. Owing to this, the gases supplied through the first and second gas supply nozzles 60 a and 60 b can be prevented from flowing into the space between the outer tube 42 and the inner insulating wall 54, and adhesion of unnecessary byproducts onto parts such as the inner wall of the outer tube 42 can be prevented.
  • (Temperature Decreasing Operation S5 and Atmospheric Pressure Return Operation S6)
  • After going through a predetermined epitaxial growth time, supply of AC power to the magnetic coil 50 is stopped. Thereafter, the susceptor 48, the boat 30, and the wafers 14 are cooled to a predetermined temperature (for example, about 600° C.) (S5).
  • In addition, the valves 83 a, 93 a, 63 b, 73 c, and 83 c are closed to stop supply of SiCl4 gas, H2 gas, and C3H8 gas, and along with this, the valve 73 a is opened to start supply of inert gas such as Ar gas into the reaction chamber 44 so as to replace the inside atmosphere of the reaction chamber 44 with the Ar gas and adjust the inside pressure of the reaction chamber 44 to atmospheric pressure (S6).
  • (Wafer Unloading Operation S7)
  • After that, the seal cap 102 is lowered by using the elevating motor 122 so as to open the bottom side of the manifold 46 and unload the boat 30 in which the processed wafers 14 are held to the outside of the outer tube 42 through the opened bottom side of the manifold 46 (boat unloading). Then, the boat 30 is left at a predetermined position until all the wafers 14 held in the boat 30 are cooled to a predetermined temperature (for example, about room temperature). If the wafers 14 are cooled to the predetermined temperature, the substrate transfer machine 28 picks up the wafers 14 from the boat 30 and carries the wafers 14 into an empty pod 16 placed on the pod opener 24. Thereafter, the pod carrying device 20 carries the pod 16 in which the wafers 14 are accommodated to the pod shelf 22 or the pod stage 18. In this way, the substrate processing process of the current embodiment is completed.
  • Furthermore, in the substrate processing process of the current embodiment, the following exemplary process conditions may be used.
  • Process temperature: 1500° C. to 1700° C.,
  • Process pressure: 10 Torr to 200 Torr,
  • SiCl4 gas supply rate (total): 0.1 SLM to 1.0 SLM,
  • C3H8 gas supply rate (total): 0.1 SLM to 1.0 SLM, and
  • H2 gas supply rate (total): 100 SLM to 200 SLM
  • While maintaining the respective process conditions at constant values within the respective ranges, SiC films are epitaxially grown on the wafers 14.
  • In the substrate processing process of the current embodiment, the following exemplary process conditions may be used.
  • Process temperature: 1500° C. to 1700° C.,
  • Process pressure: 1 Torr to 100 Torr,
  • SiCl4 gas supply rate (total): 0.1 SLM to 1.0 SLM,
  • C3H8 gas supply rate (total): 0.1 SLM to 1.0 SLM, and
  • H2 gas supply rate (total): 100 SLM to 200 SLM
  • While maintaining the respective process conditions at constant values within the respective ranges, SiC films are epitaxially grown on the wafers 14. In addition, it is possible to further increase the rate of the film formation if the process pressure is set to the above-mentioned pressure.
  • (3) Restraining of Decomposition of Gas and Deposition
  • As described above, in the current embodiment, when a gas mixture (first gas mixture) of SiCl4 gas, H2 gas, and C3H8 gas is supplied into the reaction chamber 44 through the first gas supply nozzle 60 a, H2 gas is supplied into the reaction chamber 44 through the second gas supply nozzle 60 b That is, the ratio of SiCl4 gas partial pressure/H2 gas partial pressure (PSiCl4/PH2) can be adjusted to a proper value independently in the first gas supply nozzle 60 a and the reaction chamber 44.
  • The ratio of the number of chlorine (Cl) atoms/the number of hydrogen (H) atoms (hereinafter, referred to as a Cl/H value) in the gas mixture of SiCl4 gas and H2 gas has relation to the rate of Si deposition (growth) caused by a reaction between SiCl4 gas and H2 gas as shown in FIG. 7. FIG. 7 is an exemplary graph showing a relationship between a growth rate and a gas concentration in a SiCl4 gas-H2 gas reaction system.
  • In FIG. 7, the horizontal axis denotes a Cl/H value of a gas mixture, and the vertical axis denotes a film growth rate caused by Si deposition. As shown in FIG. 7, as the Cl/H value increases gradually from zero, that is, as the concentration of SiCl4 gas increases gradually relative to the concentration of H2 gas or the supply amount of SiCl4 gas increases gradually, the film growth rate caused by Si deposition increases gradually in the positive (+) direction (in the region (a) of FIG. 7). Then, as the concentration of SiCl4 gas increases much more relative to the concentration of H2 gas or the supply amount of SiCl4 gas increases much more, the film growth rate decreases gradually (in the region (b) of FIG. 7). Then, as the concentration of SiCl4 gas increases much more relative to the concentration of H2 gas or the supply amount of SiCl4 gas increases much more, the film growth rate decreases gradually in the negative (−) direction (in the range (c) of FIG. 7), that is, a Si film formed by deposition is etched (in the range (c) in FIG. 7).
  • This reaction can be expressed by the reaction formula: SiCl4+2H2→Si+4HCl. According to a detailed reaction calculation, if the Cl/H value is smaller than a predetermined value (for example, in the ranges (a) and (b) of FIG. 7), decomposition of SiCl4 caused by a hydrogen reduction reaction proceeds at a higher rate so that the chemical equilibrium shifts in a direction where Si deposition and deposition occurs. On the other hand, if the Cl/H value is higher than the predetermined value (for example, in the range (c) of FIG. 7), decomposition of SiCl4 caused by a hydrogen reduction reaction is suppressed, so that the chemical equilibrium shifts in a direction where etching of Si by HCl produced by reaction between H atoms and Cl atoms is carried out at a higher rate than the rate of Si deposition.
  • By using the SiCl4-H2 reaction equilibrium, that is, by adjusting the Cl/H values of the inside of the first gas supply nozzle 60 a and the inside of the reaction chamber 44 to be different, a SiC film can be grown on a wafer 14 while suppressing decomposition of SiCl4 gas in the first gas supply nozzle 60 a and Si deposition on the inner wall of the first gas supply nozzle 60 a.
  • That is, in the current embodiment, when a gas mixture of SiCl4 gas, H2 gas, and C3H8 gas is supplied from the first gas supply nozzle 60 a into the reaction chamber 44, the concentration or supply flow rate of the H2 gas is kept small relative to that of the SiCl4 gas. In other words, the Cl/H value of the inside of the first gas supply nozzle 60 a is set to be greater than the Cl/H value of the inside of the reaction chamber 44, like in the range (c) of FIG. 7. By this, in the first gas supply nozzle 60 a, decomposition of SiCl4 caused by a hydrogen reduction reaction may be suppressed, and an etching reaction of Si by HCl may occur at a higher rate than the rate of Si deposition, so that deposition of Si on the inner wall of the first gas supply nozzle 60 a can be suppressed.
  • Furthermore, in the current embodiment, when a gas mixture of SiCl4 gas, H2 gas, and C3H8 gas is supplied from the first gas supply nozzle 60 a into the reaction chamber 44, H2 gas is supplied from the second gas supply nozzle 60 b into the reaction chamber 44. That is, the Cl/H value of the inside of the reaction chamber 44 is set to be smaller than the Cl/H value of the inside of the first gas supply nozzle 60 a, like in the ranges (a) and (b) of FIG. 7. Preferably, the Cl/H value of the inside of the reaction chamber 44 is set to a vale where a maximum film growth rate can be obtained. By this, in the reaction chamber 44, decomposition of SiCl4 gas caused by a hydrogen reduction reaction may be facilitated, and a Si deposition reaction may occur at a rate higher than the rate of a Si etching reaction, so that a SiC epitaxial film can be efficiently grown on a wafer 14.
  • The above-described Cl/H value is varied according to SiC epitaxial film growth conditions such as the temperature and the inside pressure of the reaction chamber 44 of epitaxial film growth.
  • (4) Effects of the Current Embodiment
  • According to the current embodiment, at least one of the following effects can be attained.
  • (a) According to the current embodiment, when a gas mixture of SiCl4 gas, H2 gas, and C3H8 gas is supplied from the first gas supply nozzle 60 a into the reaction chamber 44, the concentration or supply flow rate of the H2 gas is kept small relative to that of the SiCl4 gas. Furthermore, when a gas mixture of SiCl4 gas, H2 gas, and C3H8 gas is supplied from the first gas supply nozzle 60 a into the reaction chamber 44, H2 gas is supplied from the second gas supply nozzle 60 b into the reaction chamber 44. By this, the Cl/H value of the inside of the first gas supply nozzle 60 a is kept greater than the Cl/H value of the inside of the reaction chamber 44. As a result, thermal decomposition of the SiCl4 gas can be suppressed inside the first gas supply nozzle 60 a, and thus Si deposition can be suppressed inside the first gas supply nozzle 60 a.
  • (b) According to the current embodiment, as a gas containing silicon and chlorine that is supplied through the first gas supply nozzle 60 a, a gas not containing hydrogen atoms in its molecules, such as SiCl4 gas, is used. Therefore, thermal decomposition of the gas containing silicon and chlorine can be suppressed, and Si deposition can be suppressed inside the first gas supply nozzle 60 a. On the other hand, if a gas such as SiH4 gas containing hydrogen atoms in its molecules is supplied through the first gas supply nozzle 60 a, since the SiH4 gas is thermally decomposed at a relatively low temperature (for example, in the range from 950° C. to 1050° C.), the SH4 gas may be insufficiently supplied into the reaction chamber 44, and the deposition amount of Si may be increased inside the first gas supply nozzle 60 a. FIG. 8 is an exemplary graph showing calculation results of equilibrium concentrations of a SiCl4 gas-H2 gas reaction system, and FIG. 9 is an exemplary table showing properties of various Si source gases.
  • (c) According to the current embodiment, owing to the above-described effects (a) and (b), the first gas supply nozzle 60 a can be prevented from being clogged by deposited Si, and gas can be stably supplied into the reaction chamber 44.
  • (d) According to the current embodiment, owing to the above-described effects (a) and (b), consumption of SiCl4 gas caused by decomposition of the SiCl4 gas in the first gas supply nozzle 60 a can be reduced, and thus insufficient supply of SiCl4 to a wafer 14 can be prevented.
  • (e) According to the current embodiment, owing to the above-described effects (a) and (b), generation of contaminants in the first gas supply nozzle 60 a can be suppressed. Therefore, diffusion of contaminants into the reaction chamber 44 can be prevented, and thus the substrate processing quality can be improved.
  • (f) According to the current embodiment, owing to the above-described effects (a) and (b), even if the inside of the first gas supply nozzle 60 a is heated to a temperature higher than the melting point of Si, permeation of melt Si into the reaction chamber 44 can be prevented, and thus the possibility of a crystalline defect on the surface of a wafer 14 can be reduced.
  • (g) According to the current embodiment, at the insides of the first gas supply pipe 62 a and the first gas supply nozzle 60 a, SiCl4 gas which is a gas containing silicon and chlorine is preliminarily mixed with C3H8 gas which is a carbon-containing gas. Therefore, the SiCl4 gas and the C3H8 gas can be sufficiently mixed with each other before they reach a wafer 14, and thus a SiC film having a uniform SiC composition ratio can be formed. In addition, for example, if there is the possibility of a hydrogen reduction reaction of the gas containing silicon and chlorine due to the hydrogen component of the carbon-containing gas, it is preferable to additionally supply a chlorine-containing gas (for example, HCl gas or Cl2 gas) to the insides of the first gas supply pipe 62 a and the first gas supply nozzle 60 a. By this, the hydrogen reduction reaction can be suppressed.
  • (h) According to the current embodiment, the downstream side of the first gas supply nozzle 60 a extends upward along the inner wall of the susceptor 48 to a position close to the upper end of the boat 30. Furthermore, the first gas supply holes 68 a is formed in the lateral wall of the vertical part of the first gas supply nozzle 60 a in a manner such that the first gas supply holes 68 a are vertically arranged, so as to supply gas in a direction parallel with main surfaces of wafers 14 held in the boat 30.
  • Therefore, gas can be efficiently and uniformly supplied to the surfaces of the wafers 14 that are arranged at predetermined intervals, and thus the film thickness uniformity can be improved between the wafers 14 and in the surface of each wafer 14.
  • (i) According to the current embodiment, when gases are supplied through the first gas supply nozzle 60 a and the second gas supply nozzle 60 b, Ar gas is supplied to a space between the outer tube 42 and the inner insulating wall 54. Therefore, the gases supplied through the first gas supply nozzle 60 a and the second gas supply nozzle 60 b can be prevented form entering the space between the outer tube 42 and the inner insulating wall 54, and thus adhesion of unnecessary byproducts on parts such as the inner wall of the outer tube 42 can be prevented.
  • (j) According to the current embodiment, owing to the above-described effects (a) to (j), in the substrate processing apparatus having a vertical structure in which a plurality of vertically stacked wafers 14 are processed in the reaction chamber 44, formation of SiC epitaxial films is possible, and stable growth of the SiC epitaxial films is possible. That is, the productivity (throughput) of a substrate processing process can be improved.
  • Second Embodiment of Invention
  • The current embodiment is different from the above-described embodiment, in that a gas mixture (second gas mixture) of SiCl4 gas, Ar gas, and C3H8 gas is supplied through the first gas supply nozzle 60 a, and H2 gas is supplied through the second gas supply nozzle 60 b. That is, instead of supplying H2 gas into the first gas supply nozzle 60 a as a carrier gas (or reducing gas), Ar gas is supplied as a carrier gas in the current embodiment. A substrate processing apparatus of the current embodiment has the same structure as the structure of the substrate processing apparatus 10 of the first embodiment, and thus a description thereof will not be repeated.
  • In a gas supply operation S4 of the current embodiment, the valves 73 a and 93 a are opened, and Argon (Ar) gas which is a carrier gas and tetrachlorosilane (SiCl4) gas which is a gas containing silicon and chlorine are introduced into the reaction chamber 44 through the first gas supply pipe 62 a, the first gas supply nozzle 60 a, and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 74 a and 94 a. In addition, the valve 83 c is opened, and propane (C3H8) gas which is a carbon-containing gas is supplied into the reaction chamber 44 through the third gas supply pipe 62 c, the first gas supply nozzle 60 a, and the first gas supply holes 68 a while controlling the flow rate of the propane gas by using the MFC 84 c. At this time, the valves 83 a and 73 c are kept in a closed state. That is, in the current embodiment, H2 gas is not supplied into the first gas supply nozzle 60 a.
  • Furthermore, at this time, the valve 63 b is opened, and H2 gas which is a reducing gas is supplied into the reaction chamber 44 through the second gas supply pipe 62 b, the second gas supply nozzle 60 b, and the second gas supply holes 68 b while controlling the flow rate of the H2 gas by using the MFC 64 b. By supplying the H2 gas through the second gas supply pipe 62 b, a hydrogen reduction reaction of the SiCl4 gas can occur in the reaction chamber 44, and thus SiC epitaxial films can be efficiently grown on wafers 14.
  • Furthermore, in the substrate processing process of the current embodiment, the following exemplary process conditions may be used.
  • Process temperature: 1500° C. to 1700° C.,
  • Process pressure: 10 Torr to 200 Torr,
  • SiCl4 gas supply rate (total): 0.1 SLM to 1.0 SLM,
  • C3H8 gas supply rate (total): 0.1 SLM to 1.0 SLM,
  • Ar gas supply rate (total): 10 SLM to 20 SLM, and
  • H2 gas supply rate (total): 100 SLM to 200 SLM
  • While maintaining the respective process conditions at constant values within the respective ranges, SiC films are epitaxially grown on the wafers 14.
  • In the substrate processing process of the current embodiment, the following exemplary process conditions may be used.
  • Process temperature: 1500° C. to 1700° C.,
  • Process pressure: 1 Torr to 100 Torr,
  • SiCl4 gas supply rate (total): 0.1 SLM to 1.0 SLM,
  • C3H8 gas supply rate (total): 0.1 SLM to 1.0 SLM, and
  • H2 gas supply rate (total): 100 SLM to 200 SLM
  • While maintaining the respective process conditions at constant values within the respective ranges, SiC films are epitaxially grown on the wafers 14. In addition, it is possible to further increase the rate of the film formation if the process pressure is set to the above-mentioned pressure.
  • In the current embodiment, the Cl/H value of the inside of the first gas supply nozzle 60 a is also kept greater than the Cl/H value of the inside of the reaction chamber 44, so that the same effects as in the first embodiment can be attained.
  • Furthermore, in the current embodiment, since H2 gas is not supplied into the first gas supply nozzle 60 a as a carrier gas, SiCl4 gas may be decomposed not by hydrogen reduction reaction but heat decomposition. Therefore, decomposition of the SiCl4 and deposition of Si may be more effectively suppressed inside the first gas supply nozzle 60 a. Even if the inside temperature of the first gas supply nozzle 60 a is high (for example, in the range from 1500° C. to 1700° C.), decomposition of the SiCl4 gas and deposition of Si may be more effectively suppressed. FIG. 10 is a graph showing calculation results of equilibrium concentrations of reaction species when H2 gas is used as a carrier gas, and FIG. 11 is a graph showing calculation results of equilibrium concentrations of reaction species when Ar gas is used as a carrier gas. As it can be understood by comparing the graphs, when Ar gas is used as a carrier gas, decomposition of SiCl4 gas can be more effectively suppressed even in a high temperature range, for example, from 1500° C. to 1700° C., as compared with the case where H2 gas is used as a carrier gas.
  • Third Embodiment of Invention
  • A substrate processing apparatus of the current embodiment is different from the substrate processing apparatuses of the previous embodiments, in that a third gas supply system is not connected to the first gas supply unit but the third gas supply system is connected to a second gas supply unit. That is, the current embodiment is different from the previous embodiments, in that the downstream end of a third gas supply pipe 62 c is not connected to the upstream end of a first gas supply nozzle 60 a but connected to the upstream end of a second gas supply nozzle 60 b. FIG. 13 is an exemplary view illustrating a gas supply system of a substrate processing apparatus 10 of the current embodiment. The other structures of the substrate processing apparatus 10 of the current embodiment are the same as those of the substrate processing apparatus 10 of the first embodiment, and thus detailed descriptions thereof will not be repeated.
  • In addition, the current embodiment is different from the previous embodiments, in that a gas mixture (third gas mixture) of SiCl4 gas and Ar gas is supplied through the first gas supply nozzle 60 a, and a gas mixture (fourth gas mixture) of C3H8 gas and H2 gas is supplied through the second gas supply nozzle 60 b. That is, the current embodiment is different from the previous embodiments in that C3H8 gas including hydrogen atoms in its molecules is supplied through the second gas supply nozzle 60 b instead of being supplied through the first gas supply nozzle 60 a.
  • In a gas supply operation S4 of the current embodiment, the valves 73 a and 93 a are opened, and Argon (Ar) gas which is a carrier gas and tetrachlorosilane (SiCl4) gas which is a gas containing silicon and chlorine are introduced into the reaction chamber 44 through the first gas supply pipe 62 a, the first gas supply nozzle 60 a, and the first gas supply holes 68 a while controlling the flow rates of the gases by using the MFCs 74 a and 94 a.
  • In addition, at this time, the valves 63 b, 73 c, and 83 c are opened, and H2 gas which is a reducing gas and propane (C3H8) gas which is a carbon-containing gas are supplied into the reaction chamber 44 through the second gas supply pipe 62 b, the second gas supply nozzle 60 b, and the second gas supply holes 68 b while controlling the flow rates of the gases by using the MFCs 64 b, 74 c, and 84 c.
  • Furthermore, in the substrate processing process of the current embodiment, the following exemplary process conditions may be used.
  • Process temperature: 1500° C. to 1700° C.,
  • Process pressure: 10 Torr to 200 Torr,
  • SiCl4 gas supply rate (total): 0.1 SLM to 1.0 SLM,
  • Ar gas supply rate (total): 10 SLM to 20 SLM,
  • C3H8 gas supply rate (total): 0.1 SLM to 1.0 SLM, and
  • H2 gas supply rate (total): 100 SLM to 200 SLM
  • While maintaining the respective process conditions at constant values within the respective ranges, SiC films are epitaxially grown on wafers 14.
  • In the substrate processing process of the current embodiment, the following exemplary process conditions may be used.
  • Process temperature: 1500° C. to 1700° C.,
  • Process pressure: 1 Torr to 100 Torr,
  • SiCl4 gas supply rate (total): 0.1 SLM to 1.0 SLM,
  • C3H8 gas supply rate (total): 0.1 SLM to 1.0 SLM, and
  • H2 gas supply rate (total): 100 SLM to 200 SLM
  • While maintaining the respective process conditions at constant values within the respective ranges, SiC films are epitaxially grown on the wafers 14. In addition, it is possible to further increase the rate of the film formation if the process pressure is set to the above-mentioned pressure.
  • In the current embodiment, the Cl/H value of the inside of the first gas supply nozzle 60 a is also kept greater than the Cl/H value of the inside of the reaction chamber 44, and thus the same effects as those of the first and second embodiments can be attained.
  • Furthermore, in the current embodiment, H2 gas functioning as a carrier gas is not supplied through the first gas supply nozzle 60 a, and C3H8 gas including hydrogen atoms in its molecules is also not supplied through the first gas supply nozzle 60 a. Therefore, a hydrogen reduction reaction of the SiCl4 gas caused by the hydrogen of the C3H8 gas can be prevented, and thus decomposition of the SiCl4 gas and deposition of Si can be effectively suppressed in the first gas supply nozzle 60 a.
  • Fourth Embodiment of Invention
  • In the current embodiment, when a gas mixture (first gas mixture) of SiCl4 gas, H2 gas, and C3H8 gas is supplied from the first gas supply nozzle 60 a into the reaction chamber 44 in the gas supply operation S4 of the first embodiment, the valve 73 a is also opened to additionally supply Ar gas from the first gas supply nozzle 60 a into the reaction chamber 44.
  • In the current embodiment, the same effects as those of the first embodiment can be attained.
  • Furthermore, since Ar gas is additionally supplied as described above in the current embodiment, the total flow rate of gases supplied from the first gas supply nozzle 60 a into the reaction chamber 44 can be changed without having to vary the Cl/H value of the inside of the first gas supply nozzle 60 a, so that process conditions such as the velocity of gases in the reaction chamber 44 can be freely adjusted. The flow rate of Ar gas may be set, for example, in the range from 10 SLM to 20 SLM.
  • Another Embodiment of Invention
  • Gases supplied through the first and second gas supply nozzles 60 a and 60 b are not limited to the above-mentioned gases. That is, other proper gases may be used according to purposes.
  • In the above-described embodiments, it is preferable to further supply hydrogen chloride (HCl) from the second gas supply holes 68 b as the second supply outlets. In this case, the downstream side of the gas supply pipe for HCl gas is connected to the downstream side of the valve 63 b of the second gas supply pipe 62 b. At the gas supply pipe for HCl gas, a HCl gas supply source, MFC, and valve are sequentially installed from the upstream side of the gas supply pipe for HCl gas. By supplying HCl gas from the second gas supply holes 68 b, it is possible to flexibly adjust the amount of Cl in the reaction chamber 44.
  • For example, instead of using tetrachlorosilane (SiCl4) gas as a gas containing silicon and chlorine, trichlorosilane (SiHCl3) gas or dichlorosilane (SiH2Cl2) gas may be used. In addition, instead of using a gas containing silicon and chlorine, a gas mixture of a silicon-containing gas and a chlorine-containing gas may be used. As a silicon-containing gas, a gas such as disilane (Si2H6) gas or trisilane (Si3H8) gas may be supplied. In addition, as a chlorine-containing gas, a gas such as hydrogen chloride (HCl) gas or chlorine (Cl2) gas may be supplied. However, it may be preferable that a gas such as SiCl4 gas that does not include hydrogen atoms in its molecules be supplied as a gas containing silicon and chlorine or a gas containing silicon.
  • Furthermore, instead of using H2 gas as a reducing gas, another hydrogen-containing gas such as ammonia (NH3) gas may be used.
  • Furthermore, although C3H8 gas is used as a carbon-containing gas in the above-described embodiments, another gas such as ethylene (C2H4) gas or propylene (C3H6) gas may be used as a carbon-containing gas.
  • In addition, although argon (Ar) gas which is a rare gas is used as a carrier or purge gas, another gas such as helium (He) gas, neon (Ne) gas, krypton (Kr) gas, or xenon (Xe) gas may be used as a carrier or purge gas. Preferably, a rare gas having a low atomic weight such as helium (He) gas, neon (Ne) gas, or argon (Ar) may be used, and more preferably, inexpensive argon (Ar) gas may be used.
  • In addition, the present invention is not limited to the case where each of the first and second gas supply nozzles 60 a and 60 b is one in number. For example, a plurality of first gas supply nozzles 60 a and a plurality of second gas supply nozzles 60 b may be provided. In addition, the second gas supply nozzle 60 b is not limited to the above-described structure. For example, like the fourth gas supply nozzle 60 d, the second gas supply nozzle 60 b may extend only up to a position close to the lower end of the boat 30. In addition, the dispositions of the first and second gas supply nozzles 60 a and 60 b may be changed each other.
  • In addition, a dopant gas supply nozzle (not shown) may be installed in the reaction chamber 44 to supply a dopant gas for forming an n-type doped layer. The dopant gas supply nozzle may have the same structure as that of the first gas supply nozzle 60 a or the second gas supply nozzle 60 b. For example, nitrogen (N2) gas may be used as a dopant gas for forming an n-type doped layer, and a boron-containing gas or an aluminum-containing gas may be used as a dopant gas for forming a p-type doped layer.
  • Furthermore, in the above-described embodiments, the first gas supply holes 68 a are provided for wafers 14 held in the boat 30, respectively, and the second gas supply holes 68 b are provided for the wafers 14 held in the boat 30, respectively. However, the present invention is not limited thereto. For example, at least one first gas supply hole 68 a or at least one second gas supply hole 68 b may be provided at least at a lateral side of the wafers 14 held in the boat 30, for example, in a region between the magnetic coil 50 and the wafers 14. In addition, at least one first gas supply hole 68 a or at least one second gas supply hole 68 b may be provided at least at a lateral side of the wafers 14 held in the boat 30 for every several wafers 14.
  • Furthermore, although the above-described embodiments relate to the cases of growing SiC epitaxial films, the present invention is not limited to the embodiments. That is, the present invention may suitably be applied to other cases where epitaxial films, CVD films, ALD films, or other films are grown by using a silicon-containing gas as a source gas.
  • According to the substrate processing apparatus, the semiconductor device manufacturing method, and the substrate manufacturing method of the present invention, when silicon carbide (SiC) epitaxial films are grown in a vertical type substrate processing apparatus in which a plurality of substrates are vertically stacked in a reaction chamber and a gas supply nozzle disposed at the inside of the reaction chamber in a region where the substrates are arranged is heated to a temperature higher than the decomposition temperature of the Si source gas, decomposition of a silicon (Si) source gas in the gas supply nozzle and deposition of Si on a part such as the inner wall of the gas supply nozzle can be suppressed.
  • While the present invention has been particularly described with reference to the embodiments, the present invention is not limited to the embodiments, but various changes and modifications may be made in the present invention without departing from the scope of the invention.
  • <Supplementary Note>
  • The present invention also includes the following embodiments.
  • [Supplementary Note 1]
  • According to an embodiment of the present invention, there is provided a substrate processing apparatus comprising:
  • a reaction chamber configured to process a plurality of substrates stacked at predetermined intervals;
  • a first gas supply system configured to supply at least a silicon-containing gas and a chlorine-containing gas or supply at least a gas containing silicon and chlorine;
  • a first gas supply unit connected to the first gas supply system and comprising a first gas supply outlet for supplying a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber;
  • a second gas supply system configured to supply at least a reducing gas;
  • a second gas supply unit connected to the second gas supply system and comprising at least a second gas supply outlet;
  • a third gas supply system configured to supply at least a carbon-containing gas and connected to at least one of the first gas supply unit and the second gas supply unit; and
  • a control unit configured to control the first gas supply system so that at least the silicon-containing gas and the chlorine-containing gas or at least the gas containing silicon and chlorine is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, the second gas supply system so that at least the reducing gas is supplied into the reaction chamber from the second gas supply outlet of the second gas supply unit, and the third gas supply system so that at least the carbon-containing gas is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit, so as to form silicon carbide films on the substrates.
  • [Supplementary Note 2]
  • According to another embodiment of the present invention, there is provided a semiconductor device manufacturing method comprising:
  • loading a plurality of substrates stacked at predetermined intervals into a reaction chamber;
  • forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and
  • unloading the substrates from the reaction chamber.
  • [Supplementary Note 3]
  • According to another embodiment of the present invention, there is provided a substrate manufacturing method comprising:
  • loading a plurality of substrates stacked at predetermined intervals into a reaction chamber;
  • forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and
  • unloading the substrates from the reaction chamber.
  • [Supplementary Note 4]
  • In the substrate processing apparatus of Supplementary Note 1, the first gas supply system may be configured to further supply a rare gas, and
  • the control unit may be configured to control the first gas supply system, so that at least the silicon-containing gas, the chlorine-containing gas, and the rare gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, or at least the gas containing silicon and chlorine and the rare gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit.
  • [Supplementary Note 5]
  • In the substrate processing apparatus of Supplementary Note 1, the first gas supply system may be configured to further supply argon gas, and
  • the control unit may be configured to control the first gas supply system, so that at least the silicon-containing gas, the chlorine-containing gas, and the argon gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, or at least the gas containing silicon and chlorine and the argon gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit.
  • [Supplementary Note 6]
  • In the substrate processing apparatus of Supplementary Note 1, the second gas supply unit may be configured so that a gas is supplied through the second gas supply outlet in a direction parallel with the main surfaces of the substrates disposed in the reaction chamber.
  • [Supplementary Note 7]
  • In the substrate processing apparatus of Supplementary Note 1, the second gas supply system may be configured to supply hydrogen gas as the reducing gas, and the control unit may be configured to control the second gas supply system so that at least the hydrogen gas is supplied into the reaction chamber from the second gas supply outlet of the second gas supply unit.
  • [Supplementary Note 8]
  • In the substrate processing apparatus of Supplementary Note 6, the first gas supply unit may comprise a first gas supply nozzle installed in the reaction chamber and comprising an inner gas flow passage, and the second gas supply unit may comprise a second gas supply nozzle installed in the reaction chamber and comprising an inner gas flow passage.
  • [Supplementary Note 9]
  • In the substrate processing apparatus of Supplementary Note 1, the silicon-containing gas may be silane gas, disilane gas, or trisilane gas,
  • the chlorine-containing gas may be hydrogen chloride gas or chlorine gas,
  • the carbon-containing gas may be propane gas, ethylene gas, or propylene gas, and
  • the gas containing silicon and chlorine may be tetrachlorosilane gas, trichlorosilane gas, or dichlorosilane gas.
  • [Supplementary Note 10]
  • In the substrate processing apparatus of Supplementary Note 1, the inside of the reaction chamber may be kept at a temperature of 1500° C. to 1700° C. and a pressure of 10 Torr to 200 Torr during forming the silicon carbide films on the substrates.
  • [Supplementary Note 11]
  • According to another embodiment of the present invention, there is provided a substrate manufacturing method comprising:
  • loading a plurality of substrates stacked at predetermined intervals into a reaction chamber; and
  • forming silicon carbide films on the substrates by supplying at least a silicon-containing gas, a carbon-containing gas, and a chlorine-containing gas through a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the reaction chamber, and supplying at least a reducing gas through a second gas supply outlet provided in the reaction chamber at a position different from a position where the gas supply nozzle is installed.
  • [Supplementary Note 12]
  • According to another embodiment of the present invention, there is provided a substrate manufacturing method comprising:
  • loading a plurality of substrates stacked at predetermined intervals into a reaction chamber; and
  • forming silicon carbide films on the substrates by supplying at least a silicon-containing gas, a carbon-containing gas, a chlorine-containing gas, and a rare gas through a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the reaction chamber, and supplying at least a reducing gas through a second gas supply outlet provided in the reaction chamber at a position different from a position where the gas supply nozzle is installed.
  • [Supplementary Note 13]
  • In the substrate manufacturing methods of Supplementary Notes 11 and 12, a reducing gas may be further supplied through the first gas supply outlet.
  • [Supplementary Note 14]
  • In the substrate manufacturing methods of Supplementary Notes 11 and 12, the reducing gas may be hydrogen gas or a hydrogen-containing gas, and preferably, the reducing gas may be hydrogen gas.
  • [Supplementary Note 15]
  • In the substrate manufacturing method of Supplementary Note 12, the rare gas may be helium gas, neon gas, argon gas, or krypton gas, and preferably, the rare gas may be argon gas.
  • [Supplementary Note 16]
  • In the substrate manufacturing methods of Supplementary Notes 11 and 12, in the forming of the silicon carbide films on the substrates, the substrates may be induction-heated.
  • [Supplementary Note 17]
  • In the substrate manufacturing methods of Supplementary Notes 11 and 12, the gas supply nozzle may extend to a region where the substrates are arranged, and the first gas supply outlet may be provided in the substrate-arrangement region.
  • [Supplementary Note 18]
  • In the substrate manufacturing method of any one of Supplementary Notes 11 to 13, the amount of the reducing gas supplied through the first gas supply outlet may be smaller than the amount of the reducing gas supplied through the second gas supply outlet.
  • [Supplementary Note 19]
  • In the substrate manufacturing method of any one of Supplementary Notes 11 to 18, in the forming of the silicon carbide on the substrates, the substrates are heated in the range from 1500° C. to 1700° C.
  • [Supplementary Note 20]
  • In the substrate manufacturing method of any one of Supplementary Notes 11 to 18, in the forming of the silicon carbide on the substrates, the inside pressure of the reaction chamber is kept in the range from 10 Torr to 200 Torr.
  • [Supplementary Note 21]
  • According to another embodiment of the present invention, there is provided a substrate processing apparatus comprising: a reaction chamber configured to process a substrate; a first gas supply system configured to supply at least a silicon-containing gas, a carbon-containing gas, and a chlorine-containing gas into the reaction chamber; a second gas supply system configured to supply at least a reducing gas into the reaction chamber; a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the processing chamber; a second gas supply outlet provided in the reaction chamber at a position different a position where the gas supply nozzle is installed; and a controller configured to control the first gas supply system so that at least the silicon-containing gas, the carbon-containing gas, and the chlorine-containing gas are supplied into the reaction chamber through the first gas supply outlet, and the second gas supply system so that at least the reducing gas is supplied into the reaction chamber through the second gas supply outlet, so as to form a silicon carbide film on the substrate.
  • [Supplementary Note 22]
  • According to another embodiment of the present invention, there is provided a substrate processing apparatus comprising: a reaction chamber configured to process a substrate; a first gas supply system configured to supply at least a silicon-containing gas, a carbon-containing gas, a chlorine-containing gas, and a rare gas into the reaction chamber; a second gas supply system configured to supply at least a reducing gas into the reaction chamber; a first gas supply outlet provided in a substrate-arrangement region of a gas supply nozzle installed in the processing chamber; a second gas supply outlet provided in the reaction chamber at a position different a position where the gas supply nozzle is installed; and a controller configured to control the first gas supply system so that at least the silicon-containing gas, the carbon-containing gas, the chlorine-containing gas, and the rare gas are supplied into the reaction chamber through the first gas supply outlet, and the second gas supply system so that at least the reducing gas is supplied into the reaction chamber through the second gas supply outlet, so as to form a silicon carbide film on the substrate.

Claims (10)

1. A substrate processing apparatus comprising:
a reaction chamber configured to process a plurality of substrates stacked at predetermined intervals;
a first gas supply system configured to supply at least a silicon-containing gas and a chlorine-containing gas or supply at least a gas containing silicon and chlorine;
a first gas supply unit connected to the first gas supply system and comprising a first gas supply outlet for supplying a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber;
a second gas supply system configured to supply at least a reducing gas;
a second gas supply unit connected to the second gas supply system and comprising at least a second gas supply outlet;
a third gas supply system configured to supply at least a carbon-containing gas and connected to at least one of the first gas supply unit and the second gas supply unit; and
a control unit configured to control the first gas supply system so that at least the silicon-containing gas and the chlorine-containing gas or at least the gas containing silicon and chlorine is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, the second gas supply system so that at least the reducing gas is supplied into the reaction chamber from the second gas supply outlet of the second gas supply unit, and the third gas supply system so that at least the carbon-containing gas is supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit, so as to form silicon carbide films on the substrates.
2. A semiconductor device manufacturing method comprising:
loading a plurality of substrates stacked at predetermined intervals into a reaction chamber;
forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and
unloading the substrates from the reaction chamber.
3. A substrate manufacturing method comprising:
loading a plurality of substrates stacked at predetermined intervals into a reaction chamber;
forming silicon carbide films on the substrates by supplying at least a silicon-containing gas and a chlorine-containing gas or at least a gas containing silicon and chlorine into the reaction chamber from a first gas supply outlet of a first gas supply unit which is configured to supply a gas in a direction parallel with main surfaces of the substrates disposed in the reaction chamber, supplying at least a reducing gas into the reaction chamber from a second gas supply outlet of a second gas supply unit, and supplying at least a carbon-containing gas into the reaction chamber from the first gas supply outlet of the first gas supply unit or the second gas supply outlet of the second gas supply unit; and
unloading the substrates from the reaction chamber.
4. The substrate processing apparatus of claim 1, wherein the first gas supply system is configured to further supply a rare gas, and
the control unit is configured to control the first gas supply system, so that at least the silicon-containing gas, the chlorine-containing gas, and the rare gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, or at least the gas containing silicon and chlorine and the rare gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit.
5. The substrate processing apparatus of claim 1, wherein the first gas supply system is configured to further supply argon gas, and
the control unit is configured to control the first gas supply system, so that at least the silicon-containing gas, the chlorine-containing gas, and the argon gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit, or at least the gas containing silicon and chlorine and the argon gas are supplied into the reaction chamber from the first gas supply outlet of the first gas supply unit.
6. The substrate processing apparatus of claim 1, wherein the second gas supply unit is configured so that a gas is supplied through the second gas supply outlet in a direction parallel with the main surfaces of the substrates disposed in the reaction chamber.
7. The substrate processing apparatus of claim 6, wherein the first gas supply unit comprises a first gas supply nozzle installed in the reaction chamber and comprising an inner gas flow passage, and the second gas supply unit comprises a second gas supply nozzle installed in the reaction chamber and comprising an inner gas flow passage.
8. The substrate processing apparatus of claim 1, wherein the second gas supply system is configured to supply hydrogen gas as the reducing gas, and the control unit is configured to control the second gas supply system so that at least the hydrogen gas is supplied into the reaction chamber from the second gas supply outlet of the second gas supply unit.
9. The substrate processing apparatus of claim 1, wherein the silicon-containing gas is silane gas, disilane gas, or trisilane gas,
the chlorine-containing gas is hydrogen chloride gas or chlorine gas,
the carbon-containing gas is propane gas, ethylene gas, or propylene gas, and
the gas containing silicon and chlorine is tetrachlorosilane gas, trichlorosilane gas, or dichlorosilane gas.
10. The substrate processing apparatus of claim 1, wherein an inside of the reaction chamber is kept at a temperature of 1500° C. to 1700° C. and a pressure of 10 Torr to 200 Torr during forming the silicon carbide films on the substrates.
US12/782,090 2009-05-19 2010-05-18 Semiconductor device manufacturing method, substrate processing apparatus, substrate manufacturing method Abandoned US20100297832A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/732,460 US9177799B2 (en) 2009-05-19 2013-01-02 Semiconductor device manufacturing method and substrate manufacturing method of forming silicon carbide films on the substrate

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009-120882 2009-05-19
JP2009120882 2009-05-19
JP2010-086380 2010-04-02
JP2010086380A JP5564311B2 (en) 2009-05-19 2010-04-02 Semiconductor device manufacturing method, substrate processing apparatus, and substrate manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/732,460 Division US9177799B2 (en) 2009-05-19 2013-01-02 Semiconductor device manufacturing method and substrate manufacturing method of forming silicon carbide films on the substrate

Publications (1)

Publication Number Publication Date
US20100297832A1 true US20100297832A1 (en) 2010-11-25

Family

ID=43124833

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/782,090 Abandoned US20100297832A1 (en) 2009-05-19 2010-05-18 Semiconductor device manufacturing method, substrate processing apparatus, substrate manufacturing method
US13/732,460 Active 2031-05-19 US9177799B2 (en) 2009-05-19 2013-01-02 Semiconductor device manufacturing method and substrate manufacturing method of forming silicon carbide films on the substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/732,460 Active 2031-05-19 US9177799B2 (en) 2009-05-19 2013-01-02 Semiconductor device manufacturing method and substrate manufacturing method of forming silicon carbide films on the substrate

Country Status (2)

Country Link
US (2) US20100297832A1 (en)
JP (1) JP5564311B2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110306212A1 (en) * 2010-06-10 2011-12-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus, semiconductor device manufacturing method and substrate manufacturing method
CN102543689A (en) * 2010-12-21 2012-07-04 株式会社日立国际电气 Substrate processing apparatus, method of manufacturing substrate, and method of manufacturing semiconductor device
CN102646617A (en) * 2011-02-18 2012-08-22 株式会社日立国际电气 Substrate processing apparatus and method, and semiconductor device manufacturing method
US20120220108A1 (en) * 2011-02-28 2012-08-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus, and method of manufacturing substrate
EP2594882A1 (en) * 2011-11-17 2013-05-22 Kern Energy Enterprise Co., Ltd. Vertical Heat Treating Furnace
US20130260328A1 (en) * 2012-03-28 2013-10-03 Tokyo Electron Limited Heat treatment system, heat treatment method, and program
US20130288470A1 (en) * 2012-04-27 2013-10-31 Tokyo Electron Limited Impurity diffusion method, substrate processing apparatus, and method of manufacturing semiconductor device
US20130330930A1 (en) * 2011-02-24 2013-12-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing substrate, and method for manufacturing semiconductor device
US20140057456A1 (en) * 2012-02-17 2014-02-27 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device
US9177799B2 (en) 2009-05-19 2015-11-03 Hitachi Kokusai Electric, Inc. Semiconductor device manufacturing method and substrate manufacturing method of forming silicon carbide films on the substrate
US10453735B2 (en) * 2017-09-26 2019-10-22 Kokusai Electric Corporation Substrate processing apparatus, reaction tube, semiconductor device manufacturing method, and recording medium
US20200149159A1 (en) * 2017-09-25 2020-05-14 Kokusai Electric Corporation Substrate processing apparatus, quartz reaction tube and method of manufacturing semiconductor device
US20210317575A1 (en) * 2020-04-14 2021-10-14 Wonik Ips Co., Ltd. Substrate processing apparatus

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5732284B2 (en) * 2010-08-27 2015-06-10 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
JP5901978B2 (en) * 2011-04-11 2016-04-13 株式会社日立国際電気 Substrate processing apparatus, substrate processing apparatus control program, and semiconductor device manufacturing method
JP6019938B2 (en) * 2012-08-30 2016-11-02 富士電機株式会社 Method for manufacturing silicon carbide semiconductor device
US8860040B2 (en) 2012-09-11 2014-10-14 Dow Corning Corporation High voltage power semiconductor devices on SiC
US9018639B2 (en) 2012-10-26 2015-04-28 Dow Corning Corporation Flat SiC semiconductor substrate
US9797064B2 (en) 2013-02-05 2017-10-24 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a support shelf which permits thermal expansion
US9738991B2 (en) 2013-02-05 2017-08-22 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a supporting shelf which permits thermal expansion
US9017804B2 (en) 2013-02-05 2015-04-28 Dow Corning Corporation Method to reduce dislocations in SiC crystal growth
US8940614B2 (en) 2013-03-15 2015-01-27 Dow Corning Corporation SiC substrate with SiC epitaxial film
US9279192B2 (en) 2014-07-29 2016-03-08 Dow Corning Corporation Method for manufacturing SiC wafer fit for integration with power device manufacturing technology
CN113981414A (en) * 2015-03-20 2022-01-28 应用材料公司 Atomic layer processing chamber for 3D conformal processing

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263336A (en) * 1979-11-23 1981-04-21 Motorola, Inc. Reduced pressure induction heated reactor and method
US4940642A (en) * 1986-03-05 1990-07-10 Canon Kabushiki Kaisha Electrophotographic light receiving member having polycrystalline silicon charge injection inhibition layer prepared by chemical reaction of excited precursors and A-SI:C:H surface layer
US5534392A (en) * 1986-02-07 1996-07-09 Canon Kabushiki Kaisha Process for electrophotographic imaging with layered light receiving member containing A-Si and Ge
US20060021570A1 (en) * 2004-08-02 2006-02-02 Kazuhide Hasebe Reduction in size of hemispherical grains of hemispherical grained film
US20060032442A1 (en) * 2004-07-15 2006-02-16 Kazuhide Hasebe Method and apparatus for forming silicon oxide film
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US20080124945A1 (en) * 2005-02-17 2008-05-29 Hitachi Kokusa Electric Inc. Production Method for Semiconductor Device and Substrate Processing Apparatus
US20080132084A1 (en) * 2006-11-10 2008-06-05 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device background
US20080242064A1 (en) * 2007-04-02 2008-10-02 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device
US20090145890A1 (en) * 2007-12-07 2009-06-11 Tokyo Electron Limited Treatment apparatus, treatment method, and storage medium
US20090159440A1 (en) * 2002-01-10 2009-06-25 Hitachi Kokusai Electric Inc. Batch-Type Remote Plasma Processing Apparatus
US20090170345A1 (en) * 2007-12-26 2009-07-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
US20090253265A1 (en) * 2007-05-27 2009-10-08 Hitachi Kokusai Electric, Inc. Method for fabricating semiconductor device and substrate processing apparatus
US20090305517A1 (en) * 2006-03-27 2009-12-10 Hitachi Kokusai Electric Inc. Method of Manufacturing Semiconductor Device and Substrate Processing Apparatus
US20100105192A1 (en) * 2008-10-29 2010-04-29 Naonori Akae Method of Manufacturing Semiconductor Device and Substrate Processing Apparatus
US20100130024A1 (en) * 2008-11-26 2010-05-27 Hitachi-Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US20100151682A1 (en) * 2008-12-15 2010-06-17 Hitachi-Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US20100154711A1 (en) * 2008-12-24 2010-06-24 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
US20100218724A1 (en) * 2009-02-27 2010-09-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
US20100275848A1 (en) * 2009-05-01 2010-11-04 Hitachi-Kokusai Electric Inc. Heat treatment apparatus
US20100282166A1 (en) * 2009-05-11 2010-11-11 Hitachi-Kokusai Electric Inc. Heat treatment apparatus and method of heat treatment
US20110210117A1 (en) * 2008-09-04 2011-09-01 Tokyo Electron Limited Heat treatment apparatus
US20130122692A1 (en) * 2009-05-19 2013-05-16 Hitachi Kokusai Electric, Inc. Semiconductor Device Manufacturing Method and Substrate Manufacturing Method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2550024B2 (en) 1986-03-17 1996-10-30 富士通株式会社 Low pressure CVD equipment
JPH02262324A (en) * 1989-03-31 1990-10-25 Hoya Corp X-ray transmitting film and its manufacture
JP2556621B2 (en) * 1990-12-11 1996-11-20 ホーヤ株式会社 Method for forming silicon carbide film
JPH07118854A (en) 1993-10-22 1995-05-09 Hoya Corp Formation of silicon carbide film
JP2000297375A (en) * 1999-04-09 2000-10-24 Hoya Corp Production of silicon carbide film, producing device therefor and production of x-ray mask
JP2006321696A (en) 2005-05-20 2006-11-30 Hitachi Cable Ltd Method for manufacturing silicon carbide single crystal
WO2007013464A1 (en) * 2005-07-29 2007-02-01 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
JP4677873B2 (en) * 2005-10-05 2011-04-27 株式会社デンソー Deposition equipment
KR20080089403A (en) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 Epitaxial deposition of doped semiconductor materials
JP5051875B2 (en) * 2006-12-25 2012-10-17 東京エレクトロン株式会社 Film forming apparatus and film forming method

Patent Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263336A (en) * 1979-11-23 1981-04-21 Motorola, Inc. Reduced pressure induction heated reactor and method
US5534392A (en) * 1986-02-07 1996-07-09 Canon Kabushiki Kaisha Process for electrophotographic imaging with layered light receiving member containing A-Si and Ge
US4940642A (en) * 1986-03-05 1990-07-10 Canon Kabushiki Kaisha Electrophotographic light receiving member having polycrystalline silicon charge injection inhibition layer prepared by chemical reaction of excited precursors and A-SI:C:H surface layer
US20090159440A1 (en) * 2002-01-10 2009-06-25 Hitachi Kokusai Electric Inc. Batch-Type Remote Plasma Processing Apparatus
US7651730B2 (en) * 2004-07-15 2010-01-26 Tokyo Electron Limited Method and apparatus for forming silicon oxide film
US20060032442A1 (en) * 2004-07-15 2006-02-16 Kazuhide Hasebe Method and apparatus for forming silicon oxide film
US20060021570A1 (en) * 2004-08-02 2006-02-02 Kazuhide Hasebe Reduction in size of hemispherical grains of hemispherical grained film
US20080124945A1 (en) * 2005-02-17 2008-05-29 Hitachi Kokusa Electric Inc. Production Method for Semiconductor Device and Substrate Processing Apparatus
US20090280652A1 (en) * 2005-02-17 2009-11-12 Hironobu Miya Production method for semiconductor device and substrate processing apparatus
US20060199357A1 (en) * 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20090305517A1 (en) * 2006-03-27 2009-12-10 Hitachi Kokusai Electric Inc. Method of Manufacturing Semiconductor Device and Substrate Processing Apparatus
US20080132084A1 (en) * 2006-11-10 2008-06-05 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device background
US20080242064A1 (en) * 2007-04-02 2008-10-02 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device
US20090253265A1 (en) * 2007-05-27 2009-10-08 Hitachi Kokusai Electric, Inc. Method for fabricating semiconductor device and substrate processing apparatus
US20090145890A1 (en) * 2007-12-07 2009-06-11 Tokyo Electron Limited Treatment apparatus, treatment method, and storage medium
US8354623B2 (en) * 2007-12-07 2013-01-15 Tokyo Electron Limited Treatment apparatus, treatment method, and storage medium
US20090170345A1 (en) * 2007-12-26 2009-07-02 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device and substrate processing apparatus
US20110210117A1 (en) * 2008-09-04 2011-09-01 Tokyo Electron Limited Heat treatment apparatus
US20100105192A1 (en) * 2008-10-29 2010-04-29 Naonori Akae Method of Manufacturing Semiconductor Device and Substrate Processing Apparatus
US20100130024A1 (en) * 2008-11-26 2010-05-27 Hitachi-Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US20100151682A1 (en) * 2008-12-15 2010-06-17 Hitachi-Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US20100154711A1 (en) * 2008-12-24 2010-06-24 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
US20100218724A1 (en) * 2009-02-27 2010-09-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
US20100275848A1 (en) * 2009-05-01 2010-11-04 Hitachi-Kokusai Electric Inc. Heat treatment apparatus
US20100282166A1 (en) * 2009-05-11 2010-11-11 Hitachi-Kokusai Electric Inc. Heat treatment apparatus and method of heat treatment
US20130122692A1 (en) * 2009-05-19 2013-05-16 Hitachi Kokusai Electric, Inc. Semiconductor Device Manufacturing Method and Substrate Manufacturing Method

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9177799B2 (en) 2009-05-19 2015-11-03 Hitachi Kokusai Electric, Inc. Semiconductor device manufacturing method and substrate manufacturing method of forming silicon carbide films on the substrate
US20110306212A1 (en) * 2010-06-10 2011-12-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus, semiconductor device manufacturing method and substrate manufacturing method
CN102543689A (en) * 2010-12-21 2012-07-04 株式会社日立国际电气 Substrate processing apparatus, method of manufacturing substrate, and method of manufacturing semiconductor device
CN102646617A (en) * 2011-02-18 2012-08-22 株式会社日立国际电气 Substrate processing apparatus and method, and semiconductor device manufacturing method
US9082694B2 (en) * 2011-02-24 2015-07-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing substrate, and method for manufacturing semiconductor device
US20130330930A1 (en) * 2011-02-24 2013-12-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing substrate, and method for manufacturing semiconductor device
US20120220108A1 (en) * 2011-02-28 2012-08-30 Hitachi Kokusai Electric Inc. Substrate processing apparatus, and method of manufacturing substrate
US9028614B2 (en) * 2011-02-28 2015-05-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus
EP2594882A1 (en) * 2011-11-17 2013-05-22 Kern Energy Enterprise Co., Ltd. Vertical Heat Treating Furnace
CN103122448A (en) * 2011-11-17 2013-05-29 核心能源实业有限公司 Vertical Heat Treatment Furnace Structure
US20140057456A1 (en) * 2012-02-17 2014-02-27 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device
US9147573B2 (en) * 2012-02-17 2015-09-29 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US9453683B2 (en) * 2012-03-28 2016-09-27 Tokyo Electron Limited Heat treatment system, heat treatment method, and program
US20130260328A1 (en) * 2012-03-28 2013-10-03 Tokyo Electron Limited Heat treatment system, heat treatment method, and program
US8906792B2 (en) * 2012-04-27 2014-12-09 Tokyo Electron Limited Impurity diffusion method, substrate processing apparatus, and method of manufacturing semiconductor device
US20130288470A1 (en) * 2012-04-27 2013-10-31 Tokyo Electron Limited Impurity diffusion method, substrate processing apparatus, and method of manufacturing semiconductor device
US20200149159A1 (en) * 2017-09-25 2020-05-14 Kokusai Electric Corporation Substrate processing apparatus, quartz reaction tube and method of manufacturing semiconductor device
US11685992B2 (en) * 2017-09-25 2023-06-27 Kokusai Electric Corporation Substrate processing apparatus, quartz reaction tube and method of manufacturing semiconductor device
US10453735B2 (en) * 2017-09-26 2019-10-22 Kokusai Electric Corporation Substrate processing apparatus, reaction tube, semiconductor device manufacturing method, and recording medium
US20210317575A1 (en) * 2020-04-14 2021-10-14 Wonik Ips Co., Ltd. Substrate processing apparatus
US20210317574A1 (en) * 2020-04-14 2021-10-14 Wonik Ips Co., Ltd. Substrate processing apparatus

Also Published As

Publication number Publication date
US20130122692A1 (en) 2013-05-16
JP2011003885A (en) 2011-01-06
US9177799B2 (en) 2015-11-03
JP5564311B2 (en) 2014-07-30

Similar Documents

Publication Publication Date Title
US9177799B2 (en) Semiconductor device manufacturing method and substrate manufacturing method of forming silicon carbide films on the substrate
US8409352B2 (en) Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5393895B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
US8889533B2 (en) Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US8071477B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US20040255868A1 (en) Plasma etch resistant coating and process
US20120156886A1 (en) Substrate processing apparatus, method of manufacturing substrate, and method of manufacturing semiconductor device
US20120220107A1 (en) Substrate processing apparatus, wafer holder, and method of manufacturing semiconductor device
US20110306212A1 (en) Substrate processing apparatus, semiconductor device manufacturing method and substrate manufacturing method
US20100275848A1 (en) Heat treatment apparatus
US8450220B2 (en) Substrate processing apparatus , method of manufacturing semiconductor device, and method of manufacturing substrate
US20100282166A1 (en) Heat treatment apparatus and method of heat treatment
JP2011205059A (en) Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5235142B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2012178492A (en) Substrate processing device, gas nozzle, and method of manufacturing substrate or semiconductor device
US8771416B2 (en) Substrate processing apparatus with an insulator disposed in the reaction chamber
JP2012186275A (en) Substrate processing apparatus and semiconductor device manufacturing method
JP2013197507A (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP2013207057A (en) Substrate processing apparatus, substrate manufacturing method, and substrate processing apparatus cleaning method
US20220301851A1 (en) Method of manufacturing semiconductor device, substrate processing method, recording medium, and substrate processing apparatus
US20120052657A1 (en) Method of forming film and substrate processing apparatus
JP2012175072A (en) Substrate processing apparatus
JP2012195355A (en) Substrate processing device and substrate manufacturing method
JP2012178443A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI KOKUSAI ELECTRIC, INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:IMAI, YOSHINORI;SHIBATA, HIDEJI;SASAKI, TAKAFUMI;SIGNING DATES FROM 20100524 TO 20100528;REEL/FRAME:024815/0280

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION