US20110018072A1 - Metal gate transistor and method for fabricating the same - Google Patents

Metal gate transistor and method for fabricating the same Download PDF

Info

Publication number
US20110018072A1
US20110018072A1 US12/894,130 US89413010A US2011018072A1 US 20110018072 A1 US20110018072 A1 US 20110018072A1 US 89413010 A US89413010 A US 89413010A US 2011018072 A1 US2011018072 A1 US 2011018072A1
Authority
US
United States
Prior art keywords
metal gate
layer
metal
shaped
gate transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/894,130
Inventor
Chien-Ting Lin
Li-Wei Cheng
Jung-Tsung Tseng
Che-Hua Hsu
Chih-Hao Yu
Tian-Fu Chiang
Yi-Wen Chen
Chien-Ming Lai
Cheng-Hsien Chou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US12/894,130 priority Critical patent/US20110018072A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, YI-WEN, CHENG, LI-WEI, CHIANG, TIAN-FU, CHOU, CHENG-HSIEN, HSU, CHE-HUA, LAI, CHIEN-MING, LIN, CHIEN-TING, TSENG, JUNG-TSUNG, YU, CHIH-HAO
Publication of US20110018072A1 publication Critical patent/US20110018072A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/926Dummy metallization

Definitions

  • the invention relates to a method for fabricating a transistor, and more particularly, to a method for fabricating a metal gate transistor.
  • polysilicon material In the field of semiconductor fabrication, the use of polysilicon material is diverse. Having a strong resistance for heat, polysilicon materials are commonly used to fabricate gate electrodes for metal-oxide semiconductor transistors. The gate pattern fabricated by polysilicon materials is also used to form self-aligned source/drain regions as polysilicon readily blocks ions from entering the channel region.
  • Gate electrodes fabricated by polysilicon also causes a depletion effect.
  • the optimum doping concentration for polysilicon is between about 2 ⁇ 20 20 /cm 3 and 3 ⁇ 10 20 /cm 3 .
  • the limited doping concentration of polysilicon gates often results in a depletion region at the interface between the gate and the gate dielectric layer. This depletion region not only thickens the gate dielectric layer, but also lowers the capacitance of the gate, and ultimately reduces the driving ability of the device.
  • double work function metal gates are used to replace conventional polysilicon to fabricate gate electrodes for MOS transistors.
  • the method for fabricating metal gate transistor includes the steps of: providing a substrate having a first transistor region and a second transistor region; forming a plurality of dummy gates on the substrate and within the first transistor region and the second transistor region; forming a source/drain region at two sides of each dummy gate; forming a dielectric layer to cover the dummy gates; removing the dummy gates to form a plurality of openings in the dielectric layer of the first transistor region and the second transistor region; forming a high-k dielectric layer to cover the dielectric layer and surface of each opening; depositing a first cap layer on the high-k dielectric layer; removing the first cap layer disposed in the second transistor region; forming a metal layer on the first cap layer of the first transistor region and the high-k dielectric layer of the second transistor region; forming a conductive layer to fill the openings of the first transistor region and the second transistor region.
  • the metal gate transistor preferably includes: a substrate, a metal gate disposed on the substrate, and a source/drain region disposed in the substrate with respect to two sides of the metal gate.
  • the metal gate includes a U-shaped high-k dielectric layer, a U-shaped cap layer disposed over the surface of the U-shaped high-k dielectric layer, and a U-shaped metal layer disposed over the U-shaped cap layer.
  • FIGS. 1-8 illustrate a method for fabricating a metal gate transistor according to a preferred embodiment of the present invention.
  • FIG. 9 illustrates a metal gate transistor according to an embodiment of the present invention.
  • FIGS. 1-8 illustrate a method for fabricating a metal gate transistor according to a preferred embodiment of the present invention.
  • a substrate 12 such as a silicon substrate or a silicon-on-insulator substrate is provided.
  • At least a NMOS transistor region 14 and a PMOS transistor region 16 are defined in the substrate 12 and a plurality of shallow trench isolations 18 is formed to isolate the transistor regions 14 and 16 .
  • a gate insulating layer 20 composed of dielectric material such as oxides or nitrides is then formed on the surface of the substrate 12 .
  • the gate insulating layer 20 could also be composed of pad oxide or a high-k dielectric layer composed of HfSiO, HfSiON, HfO, LaO, LaAlO, ZrO, ZrSiO, or HfZrO, and the cap layer 56 comprises LaO, MgO, Dy 2 O 3 , or other lanthanide oxides.
  • a polysilicon layer 22 serving as a dummy gate layer is deposited on the gate insulating layer 20 , and a hard mask 24 is disposed on the polysilicon layer 22 , in which the polysilicon layer 22 includes a depth of approximately 1000 angstroms.
  • the hard mask 24 is composed of silicon oxide, silicon nitride, or silicon oxynitride
  • the polysilicon layer 22 is composed of undoped polysilicon or polysilicon having n+dopants therein, which are all within the scope of the present invention.
  • a patterned photoresist (not shown) is formed on the polysilicon layer 22 , and a pattern transfer process is performed by using the patterned photoresist as mask to remove a portion of the hard mask 24 , the polysilicon layer 22 and the gate insulating layer 20 through one or a plurality of etching processes.
  • a plurality of dummy gates such as the polysilicon gates 26 shown in this embodiment is formed in the NMOS transistor region 14 and the PMOS transistor region 16 .
  • a light doping process is conducted in the NMOS transistor region 14 and the PMOS transistor region 16 to form a plurality of lightly doped drains.
  • a patterned photoresist (not shown) can be disposed on regions outside the NMOS transistor region 14 , and an ion implantation is conducted by using the patterned photoresist as mask to implant n-type dopants into the substrate 12 at two sides of the polysilicon gate 26 of the NMOS transistor region 14 to form a lightly doped drain 28 .
  • another patterned photoresist is disposed on regions outside the PMOS transistor region 16 , and another ion implantation is conducted by using this patterned photoresist as mask to implant p-type dopants into the substrate 12 at two sides of the polysilicon gate 26 of the PMOS transistor region 16 for forming a lightly doped drain 30 .
  • a first stage of spacer formation is conducted thereafter.
  • a silicon oxide layer 32 is formed by oxidizing the sidewall surface of the polysilicon gate 26
  • a spacer 34 composed of silicon nitride is formed on the sidewall of the polysilicon gates 26 of the NMOS transistor region 14 and the PMOS transistor region 16 .
  • a passivation layer 36 composed of silicon nitride is deposited over the surface of the spacer 34 , and a selective epitaxial growth process is conducted to grow a strained silicon in the substrate 12 of the two transistor regions 14 , 16 .
  • a selective epitaxial growth process is conducted to grow a strained silicon in the substrate 12 of the two transistor regions 14 , 16 .
  • two recesses could be formed in the substrate 12 at two sides of the polysilicon gate 26 of the PMOS transistor region 16 , and an epitaxial layer 38 composed of silicon germanium is epitaxially grown to substantially fill the two recesses.
  • the epitaxial layer 38 preferably provides a compressive strain to the channel region of the PMOS transistor region 16 , thereby increasing the hole mobility of the PMOS transistor.
  • a second stage of the spacer formation is performed to form a spacer 40 composed of silicon oxide on the sidewall of the passivation layer 36 of the NMOS transistor region 14 and the PMOS transistor region 16 .
  • a heavy doping process is then conducted to form a plurality of source/drain regions in the NMOS transistor region 14 .
  • a patterned photoresist (not shown) can be disposed on regions outside the NMOS transistor region 14 , and an ion implantation is conducted by using this patterned photoresist as mask to implant n-type dopants into the substrate 12 at two sides of the spacer 40 of the NMOS transistor region 14 to form a source/drain region 42 .
  • a source/drain region 44 for the PMOS transistor region 16 could also be fabricated with a similar manner as the source/drain region 42 of the NMOS transistor region 14 .
  • the source/drain region 44 is preferably formed by an in-situ doping performed with the selective epitaxial growth process shown FIG. 4 , in which the undoped selective epitaxial growth is preferably performed before the in-situ doping process.
  • a salicide process is performed by first depositing a metal layer (not shown) composed of cobalt, titanium, nickel, platinum, palladium, or molybdenum over the surface of the substrate 12 and the spacer 40 , and a rapid thermal annealing process is conducted thereafter to form a silicide 46 at two sides of the spacer 40 . The un-reacted metal layer is removed thereafter.
  • a metal layer (not shown) composed of cobalt, titanium, nickel, platinum, palladium, or molybdenum
  • a silicon nitride layer 48 is deposited on each polysilicon gate 26 , the spacer 40 , and the substrate 12 .
  • the silicon nitride layer 48 primarily serving as an etch stop layer in the later planarizing process, preferably includes a depth of approximately 100 angstroms.
  • An interlayer dielectric layer 50 composed of oxides is then deposited on the silicon nitride layer 48 of both NMOS transistor region 14 and PMOS transistor region 16 .
  • a chemical mechanical polishing process or a dry etching process is performed to remove a portion of the interlayer dielectric layer 50 , the silicon nitride layer 48 , and the hard mask 24 until reaching the surface of the polysilicon gate 26 , such that the top of the polysilicon gate 26 is substantially even to the surface of the interlayer dielectric layer 50 .
  • a selective etching process is conducted by using etchant such as ammonium hydroxide (NH 4 OH) or tetramethylammonium hydroxide (TMAH) to remove the polysilicon gate 26 disposed in the NMOS transistor region 14 and the PMOS transistor region 16 without damaging the interlayer dielectric layer 50 .
  • etchant such as ammonium hydroxide (NH 4 OH) or tetramethylammonium hydroxide (TMAH) to remove the polysilicon gate 26 disposed in the NMOS transistor region 14 and the PMOS transistor region 16 without damaging the interlayer dielectric layer 50 .
  • the etching process preferably removes the polysilicon gate 26 and forms an opening 52 in each transistor region 14 , 16 for exposing the gate insulating layer 20 underneath.
  • the gate insulating layer 20 is composed of common dielectric material, the gate insulating layer 20 is preferably removed to expose the substrate 12 underneath, and a pad oxide 68 , a high-k dielectric layer 54 and a cap layer 56 are sequentially deposited over the surface of the interlayer dielectric layer 50 of the two transistor regions 14 , 16 and the sidewall and bottom of the openings 52 .
  • the high-k dielectric layer 54 comprises HfSiO, HfSiON, HfO, LaO, LaAlO, ZrO, ZrSiO, or HfZrO
  • the cap layer 56 comprises LaO, MgO, Dy 2 O 3 , or other lanthanide oxides.
  • the cap layer 56 is deposited directly on the interlayer dielectric layer 50 of the NMOS transistor region 14 and the PMOS transistor region 16 , as well as the sidewall and bottom of the opening 52 .
  • a patterned photoresist 58 is then disposed on the NMOS transistor region 14 , and an etching process is carried out by using the patterned photoresist 58 as a mask to remove the cap layer 56 in the PMOS transistor region 16 .
  • a metal layer 60 is deposited on the cap layer 56 of the NMOS transistor region 14 and the high-k dielectric layer 54 of the PMOS transistor region 16 .
  • the metal layer 60 is preferably composed of TiN, TaC, Ta, TaSiN, Al, or TiAlN.
  • the present invention specifically uses the cap layer 56 to define the work function of the metal layer 60 , thereby forming metals with Quasi Fermi level close to that of the N-type silicon or P-type silicon and increasing the performance of the CMOS transistor.
  • a cap layer 56 composed of LaO is deposited before the formation of the metal layer 60 to define the work function of n-type metal.
  • a cap layer (not shown) could also be deposited between the high-k dielectric layer 54 and the metal layer 60 of the PMOS transistor region 16 to define the work function of the p-type metal.
  • a cap layer composed of Al 2 O 3 , AN, or AlON could be formed on the high-k dielectric layer 54 of the PMOS transistor region 16 , and the metal layer 60 is covered on the newly deposited cap layer thereafter, which are all within the scope of the present invention.
  • a conductive layer 62 composed of low resistance material is selectively deposited on the metal layer 60 of the NMOS transistor region 14 and the PMOS transistor region 16 to fill the openings 52 .
  • the conductive layer 62 is preferably composed of Al, W, TiAl or CoWP. Another chemical mechanical polishing process is performed thereafter to remove a portion of the conductive layer 62 and the metal layer 60 for forming a CMOS transistor with metal gates 64 and 66 .
  • FIG. 8 illustrates a schematic view of a CMOS transistor with metal gates 64 , 66 fabricated by the aforementioned process.
  • the CMOS transistor includes a substrate 12 , two metal gates 64 , 66 disposed on the substrate 12 of the NMOS transistor region 14 and the PMOS transistor region 16 , and two source/drain regions 42 , 44 formed in the substrate 12 with respect to two sides of the metal gates 64 , 66 .
  • the metal gate 64 of the NMOS transistor region 14 includes a pad oxide 68 disposed on the bottom of the metal gate 64 , a U-shaped high-k dielectric layer 54 disposed on the pad oxide 68 and covering the sidewall of the metal gate 64 , a U-shaped cap layer 56 disposed on the U-shaped high-k dielectric layer 54 , a U-shaped metal layer 60 disposed on the U-shaped cap layer 56 , and a substantially I-shaped conductive layer 62 filling the remaining opening of the metal gate 64 .
  • the metal gate 66 disposed in the PMOS transistor region 16 includes a pad oxide 68 disposed on the bottom of the metal gate 66 , a U-shaped high-k dielectric layer 54 disposed on the pad oxide 68 and covering the sidewall of the metal gate 66 , a U-shaped metal layer 60 disposed on the U-shaped high-k dielectric layer 54 , and a substantially I-shaped conductive layer 62 disposed on the U-shaped metal layer 60 to fill the remaining opening of the metal gate 66 .
  • no cap layer is disposed in the PMOS transistor region 16 .
  • a U-shaped cap layer could also be disposed between the high-k dielectric layer 54 and the U-shaped metal layer 60 of the PMOS transistor region 16 to define the work function of the p-type metal, which is also within the scope of the present invention.
  • the cap layer 56 could be deposited directly on the interlayer dielectric layer 50 of the NMOS transistor region 14 and the PMOS transistor region 16 as well as the sidewall and bottom of the opening 52 while the gate insulating layer 20 is composed of high-k dielectric material
  • a CMOS transistor shown in FIG. 9 is fabricated.
  • the CMOS transistor includes a substrate 12 , two metal gates 64 , 66 disposed on the substrate 12 of the NMOS transistor region 14 and the PMOS transistor region 16 , and two source/drain regions 42 , 44 formed in the substrate 12 with respect to two sides of the metal gates 64 , 66 .
  • the metal gate 64 of the NMOS transistor region 14 includes a gate insulating layer 20 disposed on the bottom of the metal gate 64 , a U-shaped cap layer 56 disposed on the gate insulating layer 20 and covering the sidewall of the metal gate 64 , a U-shaped metal layer 60 disposed on the U-shaped cap layer 56 , and a conductive layer 62 filling the remaining opening of the metal gate 64 .
  • the metal gate 66 disposed in the PMOS transistor region 16 includes a gate insulating layer 20 disposed on the bottom of the metal gate 66 , a U-shaped metal layer 60 disposed on the gate insulating layer 20 and covering the sidewall of the metal gate 66 , and a conductive layer 62 disposed on the U-shaped metal layer 60 to fill the remaining opening of the metal gate 66 .
  • no cap layer is disposed in the PMOS transistor region 16 .
  • a U-shaped cap layer could also be disposed between the gate insulating layer 20 and the U-shaped metal layer 60 of the PMOS transistor region 16 to define the work function of the p-type metal, which is also within the scope of the present invention.

Abstract

A metal gate transistor is disclosed. The metal gate transistor preferably includes: a substrate, a metal gate disposed on the substrate, and a source/drain region disposed in the substrate with respect to two sides of the metal gate. The metal gate includes a U-shaped high-k dielectric layer, a U-shaped cap layer disposed over the surface of the U-shaped high-k dielectric layer, and a U-shaped metal layer disposed over the U-shaped cap layer.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This is a continuation application of U.S. patent application Ser. No. 12/198,128, filed on Aug. 26, 2008, and all benefits of such earlier application are hereby claimed for this new continuation application.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to a method for fabricating a transistor, and more particularly, to a method for fabricating a metal gate transistor.
  • 2. Description of the Prior Art
  • In the field of semiconductor fabrication, the use of polysilicon material is diverse. Having a strong resistance for heat, polysilicon materials are commonly used to fabricate gate electrodes for metal-oxide semiconductor transistors. The gate pattern fabricated by polysilicon materials is also used to form self-aligned source/drain regions as polysilicon readily blocks ions from entering the channel region.
  • However, devices fabricated by polysilicon still have many drawbacks. In contrast to most metal, polysilicon gates are fabricated by semiconductor materials having high resistance, which causes the polysilicon gate to work under a much lower rate than other metal gates. In order to compensate for slightly lowered rate of performance, a significant amount of silicides is applied during the fabrication of polysilicon processes, such that the performance of the device could be increased to an acceptable level.
  • Gate electrodes fabricated by polysilicon also causes a depletion effect. In most circumstances, the optimum doping concentration for polysilicon is between about 2×2020/cm3 and 3×1020/cm3. As most gate electrodes have a doping concentration of at least 5×1021/cm3, the limited doping concentration of polysilicon gates often results in a depletion region at the interface between the gate and the gate dielectric layer. This depletion region not only thickens the gate dielectric layer, but also lowers the capacitance of the gate, and ultimately reduces the driving ability of the device. In order to solve this problem, double work function metal gates are used to replace conventional polysilicon to fabricate gate electrodes for MOS transistors.
  • However, it is well known in the art that the degree of difficulty for fabricating a well-controlled double work function metal is immense as the process often involves complicated integration between NMOS device and PMOS device. The difficulty increases even more as the thickness and materials used in double work function metal gates requires a much more strict demand. Hence, how to successfully fabricate double work function metal gate transistors with lower cost and improved performance has become an important task in this field.
  • SUMMARY OF THE INVENTION
  • It is an objective of the present invention to provide a method for fabricating a metal gate transistor.
  • According to a preferred embodiment of the present invention, the method for fabricating metal gate transistor includes the steps of: providing a substrate having a first transistor region and a second transistor region; forming a plurality of dummy gates on the substrate and within the first transistor region and the second transistor region; forming a source/drain region at two sides of each dummy gate; forming a dielectric layer to cover the dummy gates; removing the dummy gates to form a plurality of openings in the dielectric layer of the first transistor region and the second transistor region; forming a high-k dielectric layer to cover the dielectric layer and surface of each opening; depositing a first cap layer on the high-k dielectric layer; removing the first cap layer disposed in the second transistor region; forming a metal layer on the first cap layer of the first transistor region and the high-k dielectric layer of the second transistor region; forming a conductive layer to fill the openings of the first transistor region and the second transistor region.
  • Another aspect of the present invention provides a metal gate transistor. The metal gate transistor preferably includes: a substrate, a metal gate disposed on the substrate, and a source/drain region disposed in the substrate with respect to two sides of the metal gate. The metal gate includes a U-shaped high-k dielectric layer, a U-shaped cap layer disposed over the surface of the U-shaped high-k dielectric layer, and a U-shaped metal layer disposed over the U-shaped cap layer.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-8 illustrate a method for fabricating a metal gate transistor according to a preferred embodiment of the present invention.
  • FIG. 9 illustrates a metal gate transistor according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Referring to FIGS. 1-8, FIGS. 1-8 illustrate a method for fabricating a metal gate transistor according to a preferred embodiment of the present invention. As shown in FIG. 1, a substrate 12, such as a silicon substrate or a silicon-on-insulator substrate is provided. At least a NMOS transistor region 14 and a PMOS transistor region 16 are defined in the substrate 12 and a plurality of shallow trench isolations 18 is formed to isolate the transistor regions 14 and 16.
  • A gate insulating layer 20 composed of dielectric material such as oxides or nitrides is then formed on the surface of the substrate 12. The gate insulating layer 20 could also be composed of pad oxide or a high-k dielectric layer composed of HfSiO, HfSiON, HfO, LaO, LaAlO, ZrO, ZrSiO, or HfZrO, and the cap layer 56 comprises LaO, MgO, Dy2O3, or other lanthanide oxides. A polysilicon layer 22 serving as a dummy gate layer is deposited on the gate insulating layer 20, and a hard mask 24 is disposed on the polysilicon layer 22, in which the polysilicon layer 22 includes a depth of approximately 1000 angstroms. In this embodiment, the hard mask 24 is composed of silicon oxide, silicon nitride, or silicon oxynitride, and the polysilicon layer 22 is composed of undoped polysilicon or polysilicon having n+dopants therein, which are all within the scope of the present invention.
  • As shown in FIG. 2, a patterned photoresist (not shown) is formed on the polysilicon layer 22, and a pattern transfer process is performed by using the patterned photoresist as mask to remove a portion of the hard mask 24, the polysilicon layer 22 and the gate insulating layer 20 through one or a plurality of etching processes. After stripping the patterned photoresist, a plurality of dummy gates, such as the polysilicon gates 26 shown in this embodiment is formed in the NMOS transistor region 14 and the PMOS transistor region 16.
  • As shown in FIG. 3, a light doping process is conducted in the NMOS transistor region 14 and the PMOS transistor region 16 to form a plurality of lightly doped drains. For instance, a patterned photoresist (not shown) can be disposed on regions outside the NMOS transistor region 14, and an ion implantation is conducted by using the patterned photoresist as mask to implant n-type dopants into the substrate 12 at two sides of the polysilicon gate 26 of the NMOS transistor region 14 to form a lightly doped drain 28. After removing the aforementioned photoresist, another patterned photoresist is disposed on regions outside the PMOS transistor region 16, and another ion implantation is conducted by using this patterned photoresist as mask to implant p-type dopants into the substrate 12 at two sides of the polysilicon gate 26 of the PMOS transistor region 16 for forming a lightly doped drain 30.
  • A first stage of spacer formation is conducted thereafter. For instance, a silicon oxide layer 32 is formed by oxidizing the sidewall surface of the polysilicon gate 26, and a spacer 34 composed of silicon nitride is formed on the sidewall of the polysilicon gates 26 of the NMOS transistor region 14 and the PMOS transistor region 16.
  • As shown in FIG. 4, a passivation layer 36 composed of silicon nitride is deposited over the surface of the spacer 34, and a selective epitaxial growth process is conducted to grow a strained silicon in the substrate 12 of the two transistor regions 14, 16. For instance, two recesses could be formed in the substrate 12 at two sides of the polysilicon gate 26 of the PMOS transistor region 16, and an epitaxial layer 38 composed of silicon germanium is epitaxially grown to substantially fill the two recesses. The epitaxial layer 38 preferably provides a compressive strain to the channel region of the PMOS transistor region 16, thereby increasing the hole mobility of the PMOS transistor.
  • Next, a second stage of the spacer formation is performed to form a spacer 40 composed of silicon oxide on the sidewall of the passivation layer 36 of the NMOS transistor region 14 and the PMOS transistor region 16.
  • A heavy doping process is then conducted to form a plurality of source/drain regions in the NMOS transistor region 14. Similar to the aforementioned light doping process, a patterned photoresist (not shown) can be disposed on regions outside the NMOS transistor region 14, and an ion implantation is conducted by using this patterned photoresist as mask to implant n-type dopants into the substrate 12 at two sides of the spacer 40 of the NMOS transistor region 14 to form a source/drain region 42. After stripping the patterned photoresist, a source/drain region 44 for the PMOS transistor region 16 could also be fabricated with a similar manner as the source/drain region 42 of the NMOS transistor region 14. For instance, the source/drain region 44 is preferably formed by an in-situ doping performed with the selective epitaxial growth process shown FIG. 4, in which the undoped selective epitaxial growth is preferably performed before the in-situ doping process.
  • After the source/ drain regions 42 and 44 are formed, a salicide process is performed by first depositing a metal layer (not shown) composed of cobalt, titanium, nickel, platinum, palladium, or molybdenum over the surface of the substrate 12 and the spacer 40, and a rapid thermal annealing process is conducted thereafter to form a silicide 46 at two sides of the spacer 40. The un-reacted metal layer is removed thereafter.
  • Next, a silicon nitride layer 48 is deposited on each polysilicon gate 26, the spacer 40, and the substrate 12. In this embodiment, the silicon nitride layer 48, primarily serving as an etch stop layer in the later planarizing process, preferably includes a depth of approximately 100 angstroms. An interlayer dielectric layer 50 composed of oxides is then deposited on the silicon nitride layer 48 of both NMOS transistor region 14 and PMOS transistor region 16.
  • As shown in FIG. 5, a chemical mechanical polishing process or a dry etching process is performed to remove a portion of the interlayer dielectric layer 50, the silicon nitride layer 48, and the hard mask 24 until reaching the surface of the polysilicon gate 26, such that the top of the polysilicon gate 26 is substantially even to the surface of the interlayer dielectric layer 50.
  • As shown in FIG. 6, a selective etching process is conducted by using etchant such as ammonium hydroxide (NH4OH) or tetramethylammonium hydroxide (TMAH) to remove the polysilicon gate 26 disposed in the NMOS transistor region 14 and the PMOS transistor region 16 without damaging the interlayer dielectric layer 50. In this embodiment, the etching process preferably removes the polysilicon gate 26 and forms an opening 52 in each transistor region 14, 16 for exposing the gate insulating layer 20 underneath.
  • If the gate insulating layer 20 is composed of common dielectric material, the gate insulating layer 20 is preferably removed to expose the substrate 12 underneath, and a pad oxide 68, a high-k dielectric layer 54 and a cap layer 56 are sequentially deposited over the surface of the interlayer dielectric layer 50 of the two transistor regions 14, 16 and the sidewall and bottom of the openings 52. Preferably, the high-k dielectric layer 54 comprises HfSiO, HfSiON, HfO, LaO, LaAlO, ZrO, ZrSiO, or HfZrO, and the cap layer 56 comprises LaO, MgO, Dy2O3, or other lanthanide oxides.
  • If the gate insulating layer 20 is composed of high-k dielectric material, the cap layer 56 is deposited directly on the interlayer dielectric layer 50 of the NMOS transistor region 14 and the PMOS transistor region 16, as well as the sidewall and bottom of the opening 52.
  • A patterned photoresist 58 is then disposed on the NMOS transistor region 14, and an etching process is carried out by using the patterned photoresist 58 as a mask to remove the cap layer 56 in the PMOS transistor region 16.
  • As shown in FIG. 7, after stripping the patterned photoresist 58, a metal layer 60 is deposited on the cap layer 56 of the NMOS transistor region 14 and the high-k dielectric layer 54 of the PMOS transistor region 16. The metal layer 60 is preferably composed of TiN, TaC, Ta, TaSiN, Al, or TiAlN.
  • It should be noted that the present invention specifically uses the cap layer 56 to define the work function of the metal layer 60, thereby forming metals with Quasi Fermi level close to that of the N-type silicon or P-type silicon and increasing the performance of the CMOS transistor. In the aforementioned embodiment, a cap layer 56 composed of LaO is deposited before the formation of the metal layer 60 to define the work function of n-type metal.
  • In addition to the above process, a cap layer (not shown) could also be deposited between the high-k dielectric layer 54 and the metal layer 60 of the PMOS transistor region 16 to define the work function of the p-type metal. For instance, after removing the cap layer 56 disposed in the PMOS transistor region 16, a cap layer composed of Al2O3, AN, or AlON could be formed on the high-k dielectric layer 54 of the PMOS transistor region 16, and the metal layer 60 is covered on the newly deposited cap layer thereafter, which are all within the scope of the present invention.
  • As shown in FIG. 8, when the openings 52 are unfilled to the full, a conductive layer 62 composed of low resistance material is selectively deposited on the metal layer 60 of the NMOS transistor region 14 and the PMOS transistor region 16 to fill the openings 52. The conductive layer 62 is preferably composed of Al, W, TiAl or CoWP. Another chemical mechanical polishing process is performed thereafter to remove a portion of the conductive layer 62 and the metal layer 60 for forming a CMOS transistor with metal gates 64 and 66.
  • Referring to FIG. 8 again, which illustrates a schematic view of a CMOS transistor with metal gates 64, 66 fabricated by the aforementioned process. The CMOS transistor includes a substrate 12, two metal gates 64, 66 disposed on the substrate 12 of the NMOS transistor region 14 and the PMOS transistor region 16, and two source/ drain regions 42, 44 formed in the substrate 12 with respect to two sides of the metal gates 64, 66. The metal gate 64 of the NMOS transistor region 14 includes a pad oxide 68 disposed on the bottom of the metal gate 64, a U-shaped high-k dielectric layer 54 disposed on the pad oxide 68 and covering the sidewall of the metal gate 64, a U-shaped cap layer 56 disposed on the U-shaped high-k dielectric layer 54, a U-shaped metal layer 60 disposed on the U-shaped cap layer 56, and a substantially I-shaped conductive layer 62 filling the remaining opening of the metal gate 64.
  • The metal gate 66 disposed in the PMOS transistor region 16 on the other hand includes a pad oxide 68 disposed on the bottom of the metal gate 66, a U-shaped high-k dielectric layer 54 disposed on the pad oxide 68 and covering the sidewall of the metal gate 66, a U-shaped metal layer 60 disposed on the U-shaped high-k dielectric layer 54, and a substantially I-shaped conductive layer 62 disposed on the U-shaped metal layer 60 to fill the remaining opening of the metal gate 66. According to the embodiment illustrated in FIG. 8, no cap layer is disposed in the PMOS transistor region 16. However, as mentioned above, a U-shaped cap layer could also be disposed between the high-k dielectric layer 54 and the U-shaped metal layer 60 of the PMOS transistor region 16 to define the work function of the p-type metal, which is also within the scope of the present invention.
  • According to an embodiment of the present invention, as the cap layer 56 could be deposited directly on the interlayer dielectric layer 50 of the NMOS transistor region 14 and the PMOS transistor region 16 as well as the sidewall and bottom of the opening 52 while the gate insulating layer 20 is composed of high-k dielectric material, a CMOS transistor shown in FIG. 9 is fabricated. As shown in FIG. 9, the CMOS transistor includes a substrate 12, two metal gates 64, 66 disposed on the substrate 12 of the NMOS transistor region 14 and the PMOS transistor region 16, and two source/ drain regions 42, 44 formed in the substrate 12 with respect to two sides of the metal gates 64, 66. The metal gate 64 of the NMOS transistor region 14 includes a gate insulating layer 20 disposed on the bottom of the metal gate 64, a U-shaped cap layer 56 disposed on the gate insulating layer 20 and covering the sidewall of the metal gate 64, a U-shaped metal layer 60 disposed on the U-shaped cap layer 56, and a conductive layer 62 filling the remaining opening of the metal gate 64.
  • The metal gate 66 disposed in the PMOS transistor region 16 on the other hand includes a gate insulating layer 20 disposed on the bottom of the metal gate 66, a U-shaped metal layer 60 disposed on the gate insulating layer 20 and covering the sidewall of the metal gate 66, and a conductive layer 62 disposed on the U-shaped metal layer 60 to fill the remaining opening of the metal gate 66. As shown in FIG. 9, no cap layer is disposed in the PMOS transistor region 16. However, as mentioned above, a U-shaped cap layer could also be disposed between the gate insulating layer 20 and the U-shaped metal layer 60 of the PMOS transistor region 16 to define the work function of the p-type metal, which is also within the scope of the present invention.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (14)

1. A metal gate transistor, comprising:
a substrate;
a metal gate disposed on the substrate, comprising:
a high-k dielectric layer;
a U-shaped cap layer disposed over a surface of the high-k dielectric layer for adjusting the work function of the metal gate transistor, wherein the U-shaped cap layer comprises LaO, Dy2O3, or other lanthanide oxides;
a U-shaped metal layer disposed over the U-shaped cap layer; and
a source/drain region disposed in the substrate with respect to two sides of the metal gate.
2. The metal gate transistor of claim 1, wherein the metal gate transistor is a NMOS transistor.
3. The metal gate transistor of claim 1, wherein the U-shaped metal layer comprises TiN, TaC, Ta, TaSiN, Al, or TiAlN.
4. The metal gate transistor of claim 1, wherein the high-k dielectric layer is U-shaped.
5. The metal gate transistor of claim 1, further comprising a conductive layer disposed on the U-shaped metal layer.
6. The metal gate transistor of claim 5, wherein the conductive layer comprises Al, W, TiAl or CoWP.
7. A metal gate transistor, comprising:
a substrate;
a first metal gate transistor having a first metal gate disposed on the substrate, wherein the first metal gate comprises:
a first U-shaped high-k dielectric layer;
a U-shaped cap layer; and
a first U-shaped metal layer;
a first source/drain region disposed in the substrate adjacent to two sides of the first metal gate;
a second metal gate transistor having a second metal gate disposed on the substrate, wherein the second metal gate comprises:
a second U-shaped high-k dielectric layer; and
a second U-shaped metal layer; and
a second source/drain region disposed in the substrate adjacent to two sides of the second metal gate.
8. The metal gate transistor of claim 7, wherein the first metal gate transistor is a NMOS transistor.
9. The metal gate transistor of claim 7, wherein the second metal gate transistor is a PMOS transistor.
10. The metal gate transistor of claim 7, wherein the U-shaped cap layer comprises LaO, MgO, Dy2O3, or other lanthanide oxides.
11. The metal gate transistor of claim 7, wherein the first U-shaped high-k dielectric layer and the second U-shaped high-k dielectric layer comprise HfSiO, HfSiON, HfO, LaO, LaA10, ZrO, ZrSiO, or HfZrO.
12. The metal gate transistor of claim 7, wherein the first U-shaped metal layer and the second U-shaped metal layer comprise TiN, TaC, Ta, TaSiN, Al, or TiAlN.
13. The metal gate transistor of claim 7, further comprising a conductive layer disposed on the first U-shaped metal layer and the second U-shaped metal layer.
14. The metal gate transistor of claim 13, wherein the conductive layer comprises Al, W, TiAl or CoWP.
US12/894,130 2008-08-26 2010-09-29 Metal gate transistor and method for fabricating the same Abandoned US20110018072A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/894,130 US20110018072A1 (en) 2008-08-26 2010-09-29 Metal gate transistor and method for fabricating the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/198,128 US7888195B2 (en) 2008-08-26 2008-08-26 Metal gate transistor and method for fabricating the same
US12/894,130 US20110018072A1 (en) 2008-08-26 2010-09-29 Metal gate transistor and method for fabricating the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/198,128 Continuation US7888195B2 (en) 2008-08-26 2008-08-26 Metal gate transistor and method for fabricating the same

Publications (1)

Publication Number Publication Date
US20110018072A1 true US20110018072A1 (en) 2011-01-27

Family

ID=41724044

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/198,128 Active US7888195B2 (en) 2008-08-26 2008-08-26 Metal gate transistor and method for fabricating the same
US12/894,130 Abandoned US20110018072A1 (en) 2008-08-26 2010-09-29 Metal gate transistor and method for fabricating the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/198,128 Active US7888195B2 (en) 2008-08-26 2008-08-26 Metal gate transistor and method for fabricating the same

Country Status (1)

Country Link
US (2) US7888195B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100059833A1 (en) * 2008-09-11 2010-03-11 Chih-Hao Yu Metal gate transistor and method for fabricating the same
US20100078703A1 (en) * 2008-09-30 2010-04-01 Winstead Brian A Split-gate non-volatile memory cell and method
US20110233683A1 (en) * 2008-09-12 2011-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (cmp) method for gate last process
US8030154B1 (en) * 2010-08-03 2011-10-04 International Business Machines Corporation Method for forming a protection layer over metal semiconductor contact and structure formed thereon
US20120244669A1 (en) * 2011-03-22 2012-09-27 Po-Jui Liao Method of Manufacturing Semiconductor Device Having Metal Gates
US8445345B2 (en) * 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
US20140295660A1 (en) * 2012-05-14 2014-10-02 United Microelectronics Corp. Method of forming semiconductor device
CN104979177A (en) * 2014-04-04 2015-10-14 中芯国际集成电路制造(上海)有限公司 Grid structure and manufacturing method thereof
US9576959B1 (en) 2015-09-16 2017-02-21 Samsung Electronics Co., Ltd. Semiconductor device having first and second gate electrodes and method of manufacturing the same

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058119B2 (en) * 2008-08-27 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Device scheme of HKMG gate-last process
US8791001B2 (en) * 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8008145B2 (en) * 2008-09-10 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-K metal gate structure fabrication method including hard mask
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US7923321B2 (en) * 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
DE102008064671B4 (en) * 2008-11-28 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating a semiconductor device having a gate structure and increasing the integrity of a high-k gate stack by protecting a coating on the gate bottom during exposure of the gate top
US8766370B2 (en) * 2009-10-22 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal dual dielectric CMOS device
US8445974B2 (en) * 2010-01-07 2013-05-21 International Business Machines Corporation Asymmetric FET including sloped threshold voltage adjusting material layer and method of fabricating same
DE102010003451B4 (en) * 2010-03-30 2013-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Exchange gate method for large ε metal gate stacks by avoiding a polishing process to expose the dummy material
US8716095B2 (en) * 2010-06-03 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
US8404533B2 (en) * 2010-08-23 2013-03-26 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
KR101781620B1 (en) * 2010-09-01 2017-09-25 삼성전자주식회사 method for manufacturing MOS transistor
KR101833849B1 (en) 2010-10-13 2018-03-05 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
KR20120042301A (en) * 2010-10-25 2012-05-03 삼성전자주식회사 Method of fabricating semiconductor device
KR101746709B1 (en) * 2010-11-24 2017-06-14 삼성전자주식회사 Methods of fabricating a semiconductor device including metal gate electrodes
CN102479721B (en) * 2010-11-30 2014-05-07 中芯国际集成电路制造(北京)有限公司 Transistor and formation method thereof
US9166020B2 (en) 2011-03-01 2015-10-20 United Microelectronics Corp. Metal gate structure and manufacturing method thereof
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US20120264284A1 (en) * 2011-04-14 2012-10-18 Wang shao-wei Manufacturing method for metal gate structure
US8530980B2 (en) * 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
US20120282783A1 (en) * 2011-05-03 2012-11-08 Jui-Chen Chang Method for fabricating high-k dielectric layer
US8841733B2 (en) 2011-05-17 2014-09-23 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8440520B2 (en) 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US8822283B2 (en) 2011-09-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-k metal gate device
US20130075831A1 (en) * 2011-09-24 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having tialn blocking/wetting layer
TWI569333B (en) * 2011-10-11 2017-02-01 聯華電子股份有限公司 Method for fabricating semiconductor device
US8461049B2 (en) 2011-10-11 2013-06-11 United Microelectronics Corp. Method for fabricating semiconductor device
CN103137488B (en) * 2011-12-01 2015-09-30 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
CN103187367B (en) * 2011-12-29 2017-06-23 联华电子股份有限公司 The preparation method of the semiconductor element with metal gates
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
CN103311247B (en) * 2012-03-14 2016-07-13 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
KR20130127257A (en) * 2012-05-14 2013-11-22 삼성전자주식회사 Semiconductor device and method for manufacturing the device
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US8865581B2 (en) * 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
KR101934829B1 (en) * 2012-10-23 2019-03-18 삼성전자 주식회사 Semiconductor device and fabricating method thereof
CN103855006A (en) * 2012-11-30 2014-06-11 中国科学院微电子研究所 Manufacturing method of semiconductor device
CN103855014B (en) * 2012-11-30 2017-10-20 中国科学院微电子研究所 P-type MOSFET and its manufacture method
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
CN103915386B (en) * 2013-01-08 2017-05-17 中芯国际集成电路制造(上海)有限公司 Cmos transistor and forming method thereof
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US9627474B2 (en) * 2015-09-18 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabricating the same
US10141417B2 (en) * 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
CN106683990B (en) * 2015-11-06 2021-03-30 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
CN106981417B (en) * 2016-01-19 2020-03-06 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method thereof and electronic device
US10446662B2 (en) * 2016-10-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing metal gate overhang by forming a top-wide bottom-narrow dummy gate electrode
CN109980004B (en) * 2017-12-27 2022-03-18 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11784052B2 (en) * 2020-05-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole-engineered high-k gate dielectric and method forming same

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040159903A1 (en) * 2003-02-14 2004-08-19 Burgener Robert H. Compounds and solid state apparatus having electroluminescent properties
US20040173886A1 (en) * 2003-03-07 2004-09-09 Carley L. Richard Micromachined assembly with a multi-layer cap defining a cavity
US20050263829A1 (en) * 2004-05-27 2005-12-01 Yoon-Jong Song Semiconductor devices having phase change memory cells, electronic systems employing the same and methods of fabricating the same
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US20060065939A1 (en) * 2004-09-27 2006-03-30 Doczy Mark L Metal gate electrode semiconductor device
US20060134433A1 (en) * 2004-12-21 2006-06-22 Planar Systems Oy Multilayer material and method of preparing same
US20060211231A1 (en) * 2005-03-15 2006-09-21 Elpida Memory, Inc. Memory device and manufacturing method thereof
US20080087966A1 (en) * 2006-10-16 2008-04-17 Sony Corporation Semiconductor device and method for manufacturing same
US20080220603A1 (en) * 2007-02-23 2008-09-11 Takashi Fukushima Method of manufacturing semiconductor device
US20090017563A1 (en) * 2007-07-11 2009-01-15 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US20090242866A1 (en) * 2008-03-28 2009-10-01 Ko Seung-Pil Phase change memory device and method of fabricating the same
US20100019313A1 (en) * 2008-07-28 2010-01-28 Advanced Micro Devices, Inc. Semiconductor circuit including a long channel device and a short channel device
US8525270B2 (en) * 2010-02-26 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structures and methods to stop contact metal from extruding into replacement gates

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040159903A1 (en) * 2003-02-14 2004-08-19 Burgener Robert H. Compounds and solid state apparatus having electroluminescent properties
US20040173886A1 (en) * 2003-03-07 2004-09-09 Carley L. Richard Micromachined assembly with a multi-layer cap defining a cavity
US20050263829A1 (en) * 2004-05-27 2005-12-01 Yoon-Jong Song Semiconductor devices having phase change memory cells, electronic systems employing the same and methods of fabricating the same
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US20060065939A1 (en) * 2004-09-27 2006-03-30 Doczy Mark L Metal gate electrode semiconductor device
US20060134433A1 (en) * 2004-12-21 2006-06-22 Planar Systems Oy Multilayer material and method of preparing same
US20060211231A1 (en) * 2005-03-15 2006-09-21 Elpida Memory, Inc. Memory device and manufacturing method thereof
US20080087966A1 (en) * 2006-10-16 2008-04-17 Sony Corporation Semiconductor device and method for manufacturing same
US20080220603A1 (en) * 2007-02-23 2008-09-11 Takashi Fukushima Method of manufacturing semiconductor device
US20090017563A1 (en) * 2007-07-11 2009-01-15 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US20090242866A1 (en) * 2008-03-28 2009-10-01 Ko Seung-Pil Phase change memory device and method of fabricating the same
US20100019313A1 (en) * 2008-07-28 2010-01-28 Advanced Micro Devices, Inc. Semiconductor circuit including a long channel device and a short channel device
US8525270B2 (en) * 2010-02-26 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structures and methods to stop contact metal from extruding into replacement gates

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120064679A1 (en) * 2008-09-11 2012-03-15 Chih-Hao Yu Metal gate transistor and method for fabricating the same
US8404535B2 (en) * 2008-09-11 2013-03-26 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US20100059833A1 (en) * 2008-09-11 2010-03-11 Chih-Hao Yu Metal gate transistor and method for fabricating the same
US8084824B2 (en) * 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US20110233683A1 (en) * 2008-09-12 2011-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (cmp) method for gate last process
US8390072B2 (en) * 2008-09-12 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) method for gate last process
US8035156B2 (en) * 2008-09-30 2011-10-11 Freescale Semiconductor, Inc. Split-gate non-volatile memory cell and method
US20100078703A1 (en) * 2008-09-30 2010-04-01 Winstead Brian A Split-gate non-volatile memory cell and method
US8030154B1 (en) * 2010-08-03 2011-10-04 International Business Machines Corporation Method for forming a protection layer over metal semiconductor contact and structure formed thereon
US20120244669A1 (en) * 2011-03-22 2012-09-27 Po-Jui Liao Method of Manufacturing Semiconductor Device Having Metal Gates
US8802524B2 (en) * 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8445345B2 (en) * 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
US8530974B2 (en) 2011-09-08 2013-09-10 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
US20140295660A1 (en) * 2012-05-14 2014-10-02 United Microelectronics Corp. Method of forming semiconductor device
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US9006091B2 (en) * 2012-05-14 2015-04-14 United Microelectronics Corp. Method of forming semiconductor device having metal gate
CN104979177A (en) * 2014-04-04 2015-10-14 中芯国际集成电路制造(上海)有限公司 Grid structure and manufacturing method thereof
CN104979177B (en) * 2014-04-04 2017-12-01 中芯国际集成电路制造(上海)有限公司 Grid structure and preparation method thereof
US9576959B1 (en) 2015-09-16 2017-02-21 Samsung Electronics Co., Ltd. Semiconductor device having first and second gate electrodes and method of manufacturing the same

Also Published As

Publication number Publication date
US7888195B2 (en) 2011-02-15
US20100052074A1 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
US7888195B2 (en) Metal gate transistor and method for fabricating the same
US8404535B2 (en) Metal gate transistor and method for fabricating the same
CN102456691B (en) Semiconductor device and manufacturing method for semiconductor device
US7932146B2 (en) Metal gate transistor and polysilicon resistor and method for fabricating the same
US10546922B2 (en) Method for fabricating cap layer on an epitaxial layer
US9142649B2 (en) Semiconductor structure with metal gate and method of fabricating the same
US8252657B2 (en) Metal gate transistor and resistor and method for fabricating the same
US9214395B2 (en) Method of manufacturing semiconductor devices
US8673758B2 (en) Structure of metal gate and fabrication method thereof
US8980753B2 (en) Metal gate transistor and method for fabricating the same
US7545006B2 (en) CMOS devices with graded silicide regions
US8404533B2 (en) Metal gate transistor and method for fabricating the same
US10978398B2 (en) Semiconductor device and method for fabricating the same
US11948975B2 (en) Semiconductor device and method for fabricating the same
CN106158857B (en) Semiconductor element and manufacturing method thereof
US10446667B2 (en) Method for fabricating semiconductor device
US20060199343A1 (en) Method of forming MOS transistor having fully silicided metal gate electrode
US20230231051A1 (en) Semiconductor device
US20220069127A1 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, CHIEN-TING;CHENG, LI-WEI;TSENG, JUNG-TSUNG;AND OTHERS;REEL/FRAME:025064/0968

Effective date: 20080824

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION