US20110070730A1 - Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor - Google Patents

Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor Download PDF

Info

Publication number
US20110070730A1
US20110070730A1 US12/914,937 US91493710A US2011070730A1 US 20110070730 A1 US20110070730 A1 US 20110070730A1 US 91493710 A US91493710 A US 91493710A US 2011070730 A1 US2011070730 A1 US 2011070730A1
Authority
US
United States
Prior art keywords
tantalum
substrate
precursor
nitrogen
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/914,937
Inventor
Wei Cao
Hua Chung
Vincent Ku
Ling Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/914,937 priority Critical patent/US20110070730A1/en
Publication of US20110070730A1 publication Critical patent/US20110070730A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHUNG, HUA, KU, VINCENT, CAO, WEI, CHEN, LING
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Definitions

  • This invention relates to semiconductor processing. More particularly, this invention relates to improvements in the process of depositing refractory metal layers on semiconductor substrates using sequential deposition techniques.
  • Contacts are formed by depositing conductive interconnect material in an opening on the surface of insulating material disposed between two spaced-apart conductive layers.
  • the aspect ratio of such an opening inhibits deposition of conductive interconnect material that demonstrates satisfactory step coverage and gap-fill, employing traditional interconnect material such as aluminum.
  • the resistance of aluminum has frustrated attempts to increase the operational frequency of integrated circuits.
  • Barrier layers formed from sputtered tantalum (Ta) and reactive sputtered tantalum nitride (TaN) have demonstrated properties suitable for use with copper. Exemplary properties include high conductivity, high thermal stability and resistance to diffusion of foreign atoms.
  • sputter deposition of tantalum and/or tantalum nitride films is limited to use for features of relatively large sizes, e.g., >0.3 ⁇ m and contacts in vias having small aspect ratios.
  • a CVD process offers an inherent advantage over a PVD process of better conformability, even in small structures 0.25 ⁇ m with high aspect ratios.
  • CVD deposition of tantalum and tantalum nitride with various metal-organic sources has been employed.
  • metal-organic sources include tertbutylimidotris(diethylamido) tantalum (TBTDET), pentakis (dimethylamido) tantalum (PDMAT) and pentakis (diethylamido) tantalum (PDEAT).
  • tantalum chemistries that may be employed with fewer reduction steps and shorter cycle times.
  • a method for forming a tantalum-containing layer on a substrate disposed in a processing chamber comprising heating a TBTDET precursor to a predetermined temperature of at least 65° C. to form a tantalum-containing gas, forming a tantalum-containing layer upon the substrate by adsorption of the tantalum-containing gas onto the substrate, reacting a nitrogen-containing process gas with the tantalum-containing layer to produce a layer of tantalum nitride and repeating forming the tantalum-containing layer and reacting the nitrogen-containing process gas with the tantalum-containing layer to form a layer of tantalum nitride of desired thickness, defining a final tantalum nitride layer.
  • an apparatus is disclosed that carries-out the steps of the method.
  • FIG. 1 is a detailed cross-sectional view of a substrate before deposition of a tantalum nitride layer in accordance with one embodiment of the present invention
  • FIG. 2 is a detailed cross-sectional view of a substrate shown above in FIG. 1 after deposition of a tantalum nitride (TaN) layer and a copper contact in accordance with one embodiment of the present invention
  • FIG. 3 is a schematic view showing deposition of a first molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention
  • FIG. 4 is a schematic view showing deposition of second molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention
  • FIG. 5 is a graphic representation showing the growth rate per cycle of a tantalum nitride layer versus a pre-heating temperature of a TBTDET precursor, in accordance with the present invention
  • FIG. 6 is a perspective view of a semiconductor processing system in accordance with the present invention.
  • FIG. 7 is a detailed view of the processing chambers shown above in FIG. 6 ;
  • FIG. 8 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention.
  • FIG. 9 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention.
  • FIG. 10 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention.
  • Substrate 10 includes a wafer 12 that may have one or more layers, shown as layer 14 , disposed thereon.
  • Wafer 12 may be formed from any material suitable for semiconductor processing, such as silicon, and layer 14 may be formed from any suitable material, including dielectric or conductive materials.
  • layer 14 includes a void 16 , exposing a region 18 of wafer 12 .
  • Embodiments of the processes described herein deposit tantalum-containing materials or tantalum nitride on many substrates and surfaces.
  • Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers.
  • Surfaces include bare silicon wafers, films, layers and materials with dielectric, conductive and barrier properties and include aluminum oxide and polysilicon. Pretreatment of surfaces includes polishing, etching, reduction, oxidation, hydroxylation, annealing or baking the substrate.
  • barrier layer 20 containing a refractory metal compound, such as tantalum.
  • barrier layer 20 is formed from tantalum nitride, TaN, by sequentially exposing substrate 10 to processing gases to form layers of differing compounds on substrate 10 . Although not required, in this present case monolayers of differing compounds may be formed. Tantalum nitride barrier layer 20 conforms to the profile of void 16 so as to cover region 18 and layer 14 .
  • a contact 22 is fabricated in accordance with the present invention by formation of a copper layer 24 adjacent to barrier layer 20 , filling void 16 . Copper layer 24 may be formed using standard techniques (e.g., ALD, PVD, CVD and/or electroplating) and include seed formation and/or fill.
  • Tantalum nitride barrier layer 20 serves as a seed layer to promote the formation of copper layer 24 using, for example, electroplating techniques. Important characteristics that barrier layer 20 should demonstrate include good step coverage and thickness uniformity. To that end, tantalum nitride barrier layer 20 is deposited employing sequential techniques, such as atomic layer deposition.
  • one example of forming barrier layer 20 employing sequential deposition techniques includes exposing substrate 10 to a tantalum-containing gas formed from vaporization of a liquid precursor ( t BuN)Ta(NEt 2 ) 3 (TBTDET) to form a tantalum-containing gas that includes TBTDET. It is believed that the initial surface of substrate 10 , e.g., the surface of layer 14 and region 18 , presents active ligands to the tantalum-containing gas. To that end, substrate 10 is heated within a range from about 250° C. to about 450° C. and placed in a controlled environment that is pressurized within a range from about 1 Torr to about 100 Torr, inclusive.
  • a liquid precursor t BuN)Ta(NEt 2 ) 3
  • Substrate 10 is exposed to a process gas that includes the tantalum-containing gas and a carrier gas.
  • the carrier gas may be Ar, He, N 2 , H 2 or combinations thereof and may be used as a purge gas.
  • This results in a tantalum-containing layer being deposited on substrate 10 . It is believed that the tantalum-containing layer has a surface of ligands comprising amido (-NEt 2 ) and imido ( N t Bu), shown generally as “a”.
  • the tantalum-containing layer is exposed to another process gas that includes a nitrogen-containing gas and a carrier gas to form the tantalum-containing layer forming a barrier layer 20 of tantalum nitride.
  • the nitrogen-containing gas is NH 3 gas and either Ar or N 2 is the carrier gas. It is believed that the amido and imido ligands in the exposed surface of the tantalum-containing layer react with the NH 3 process gas to form byproducts that include radicals (e.g., NH 2 , NEt 2 , N t Bu, HN t Bu or t Bu), butene, amines (e.g., HNEt 2 or H 2 N t Bu), (Et 2 N) 2 and H 2 among others. In this manner, a surface comprising a layer of tantalum nitride molecules is formed upon substrate 10 .
  • radicals e.g., NH 2 , NEt 2 , N t Bu, HN t Bu or t Bu
  • the tantalum nitride layer may be a monolayer of tantalum nitride molecules.
  • the process proceeds cycle after cycle, until tantalum nitride barrier layer 20 has a desired thickness achieved, with each cycle having a duration from about 0.01 seconds to about 60 seconds, preferably from about 0.1 seconds to about 10 seconds, depending upon the processing system employed.
  • the tantalum nitride barrier layer 20 generally has a thickness in the range from about 10 ⁇ to about 1,000 ⁇ .
  • Precursors may be a plasma, gas, liquid or solid at ambient temperature and pressure. However, within the ALD chamber, precursors are volatilized.
  • Organometallic compounds or complexes that may be heated prior to delivery include any chemical containing a metal and at least one organic group, such as alkyls, alkoxyls, alkylamidos and anilides. Precursors comprise of organometallic and halide compounds.
  • Exemplary tantalum precursors that may be heated to form tantalum-containing gases include tantalum compounds containing ligands such as alkylamidos, alkylimidos, cyclopentadienyls, halides, alkyls, alkoxides or combinations thereof.
  • Alkylamido tantalum compounds used as tantalum precursors include (RR′N) 5 Ta, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl.
  • Alkylimido tantalum compounds used as tantalum precursors include (RN)(R′R′′N) 3 Ta, where R, R′ or R′′ are independently hydrogen, methyl, ethyl, propyl or butyl.
  • tantalum precursors include: (Et 2 N) 5 Ta, (Me 2 N) 5 Ta, (EtMeN) 5 Ta, (Me 5 C 5 )TaCl 4 , (acac)(EtO) 4 Ta, Br 5 Ta, Cl 5 Ta, I 5 Ta, F 5 Ta, (NO 3 ) 5 Ta, ( t BuO) 5 Ta, ( 1 PrO) 5 Ta, (EtO) 5 Ta and (MeO) 5 Ta.
  • Exemplary nitrogen precursors utilized in nitrogen-containing gases include: NH 3 , N 2 , hydrazines (e.g., N 2 H 4 or MeN 2 H 3 ), amines (e.g., Me 3 N, Me 2 NH or MeNH 2 ), anilines (e.g., C 6 H 5 NH 2 ), organic azides (e.g., MeN 3 or Me 3 SiN 3 ), inorganic azides (e.g., NaN 3 or Cp 2 CoN 3 ) and radical nitrogen compounds (e.g., N 3 , N 2 , N, NH or NH 2 ). Radical nitrogen compounds can be produced by heat, hot-wires and/or plasma.
  • hydrazines e.g., N 2 H 4 or MeN 2 H 3
  • amines e.g., Me 3 N, Me 2 NH or MeNH 2
  • anilines e.g., C 6 H 5 NH 2
  • organic azides e.g., MeN 3 or Me
  • the time required to form tantalum nitride barrier layer 20 may be reduced by heating the TBTDET precursor before formation of the tantalum-containing layer on substrate 10 .
  • curve 30 it was found that by heating the TBTDET precursor in the range from about 65° C. to about 150° C., shown as segment 32 , the growth rate of the layers of tantalum nitride per ALD cycle may be maximized.
  • point 34 shows the growth rate at about 65° C. being a little less than about 0.9 ⁇ per cycle.
  • Point 36 shows the growth rate at about 90° C. being a little less than about 1.2 ⁇ per cycle
  • point 38 shows the growth rate at about 150° C.
  • a segment 40 of curve 30 shows that for temperatures below about 65° C., the growth rate of tantalum nitride is substantially reduced.
  • a segment 42 of curve 30 shows that for temperatures above about 150° C., the growth rate of tantalum nitride is substantially reduced.
  • the slope of a segment 32 of curve 30 shows that the growth rate of tantalum nitride barrier layer 20 is greater for temperatures within a range from about 65° C. to about 150° C. compared to other temperatures for the TBTDET precursor.
  • an exemplary wafer processing system employed to deposit a tantalum nitride layer in accordance with the present invention includes one or more processing chambers 44 , 45 and 46 .
  • Processing chambers 44 , 45 and 46 are disposed in a common work area 48 surrounded by a wall 50 .
  • Processing chambers 44 , 45 and 46 are in data communication with a controller 54 that is connected to one or more monitors, shown as 56 and 58 .
  • Monitors 56 and 58 typically display common information concerning the process associated with the processing chambers 44 , 45 and 46 .
  • Monitor 58 is mounted to the wall 50 , with monitor 56 being disposed in the work area 48 .
  • Operational control of processing chambers 44 , 45 and 46 may be achieved with use of a light pen, associated with one of monitors 56 and 58 , to communicate with controller 54 .
  • a light pen 60 a is associated with monitor 56 and facilitates communication with the controller 54 through monitor 56 .
  • a light pen 60 b facilitates communication with controller 54 through monitor 58 .
  • each of processing chambers 44 , 45 and 46 includes a housing 62 having a base wall 64 , a cover 66 , disposed opposite to base wall 64 , and a sidewall 67 , extending there between.
  • Housing 62 defines a chamber 68 .
  • a pedestal 69 is disposed within processing chamber 68 to support substrate 10 .
  • Pedestal 69 may be mounted to move between cover 66 and base wall 64 , using a displacement mechanism (not shown), but is typically fixed proximate to base wall 64 .
  • Supplies of processing fluids 70 a , 70 b , 70 c and 71 are in fluid communication with processing chamber 68 via a manifold 72 .
  • supply 70 a may contain NH 3
  • supply 70 b may contain N 2
  • supply 70 c may contain Ar.
  • Process fluid supply 71 includes an ampoule 71 a in fluid communication with a vaporizer 71 b .
  • Ampoule 71 a includes a supply of TBTDET precursor 71 c and is in fluid communication with supply 70 c .
  • Ampoule 71 a is in fluid communication with vaporizer 71 b via precursor channel 71 d to deliver, to processing chamber 68 , precursor 71 c , with the aid of carrier gas in supply 70 c .
  • Ampoule 71 a , liquid 71 c and channel 71 d may be heated by conventional heating methods, e.g., heating tape in the range from about 65° C. to about 150° C. Regulation of the flow of gases from supplies 70 a , 70 b , 70 c and 71 is effectuated via flow valves 73 that are regulated by computer control, discussed more fully below.
  • Flow valves 73 may be any suitable valve. Actuation rates of flow valves 73 may be in the range of a microsecond to several milliseconds to seconds.
  • Substrate 10 is heated to processing temperature by a heater embedded within pedestal 69 .
  • pedestal 69 may be resistively heated by applying an electric current from an AC power supply 75 to a heater element 76 .
  • Substrate 10 is, in turn, heated by pedestal 69 , and can be maintained within a desired process temperature range, with the actual temperature varying dependent upon the gases employed and the topography of the surface upon which deposition is to occur.
  • a temperature sensor 78 such as a thermocouple, is also embedded in pedestal 69 to monitor the temperature of pedestal 69 in a conventional manner.
  • the measured temperature may be used in a feedback loop to control the electrical current applied to heater element 76 by power supply 75 , such that the wafer temperature can be maintained or controlled at a desired temperature that is suitable for the particular process application.
  • Substrate 10 may be heated using radiant heat, e.g., heat lamps or plasma (not shown).
  • a vacuum pump 80 is used to evacuate processing chamber 68 and to help maintain the proper gas flows and pressure inside processing chamber 68 .
  • a method in accordance with one embodiment of the present invention includes heating substrate 10 to a processing temperature within a range from about 250° C. to about 450° C. at step 100 .
  • processing chamber 68 is pressurized within a range from about 1 Torr to about 100 Torr. This is achieved by activating vacuum pump 80 to evacuate processing chamber 68 .
  • the TBTDET precursor is heated in ampoule 71 a within a range from about 65° C. to about 150° C. This forms a tantalum-containing gas that includes TBTDET.
  • a purge gas such as argon, Ar
  • argon Ar
  • the actual time during which Ar is flowed into processing chamber 68 is dependent upon the system employed.
  • Ar is flowed into processing chamber 68 in a range of from about 5 to about 10 seconds to purge processing chamber 68 .
  • the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10 that includes TBTDET.
  • Ar gas from supply 70 c is flowed into ampoule 71 a at a rate in the range from about 50 sccm to about 2,000 sccm, preferably about 500 sccm.
  • the flow of tantalum-containing gas is terminated, at step 110 .
  • the flow of tantalum-containing gas is terminated after about 5 seconds to about 25 seconds after the flow commenced.
  • the flow of Ar gas may terminate with the flow of tantalum-containing gas.
  • the flow of Ar gas may continue for a sufficient amount of time, depending upon the processing system employed, to ensure removal from processing chamber 68 of tantalum-containing gas and reaction byproducts, at step 110 .
  • the time that the flow of Ar gas continues is in the range from about 5 seconds to about 10 seconds.
  • a nitrogen-containing gas such as NH 3 gas
  • NH 3 gas is pulsed into processing chamber 68 , along with the purge gas for a sufficient amount of time to create a reaction between nitrogen, in the NH 3 gas, and the tantalum-containing layer to form a layer of tantalum nitride.
  • the resulting layer of tantalum nitride may be a monolayer of tantalum nitride molecules.
  • the duration of the pulse of NH 3 gas is dependent upon the processing system employed, but in the present example the flow of NH 3 gas was in the range from about 5 seconds to about 35 seconds.
  • the pulse of the nitrogen-containing gas into processing chamber 68 is subsequently terminated, at step 114 .
  • the flow of the purge gas may be terminated along with the flow of the nitrogen-containing gas. Alternatively, the flow of the purge gas may continue at step 114 . In this manner, NH 3 gas and byproducts of the reaction of nitrogen with the tantalum-containing layer are removed from processing chamber 68 .
  • each cycle results in the formation of a tantalum nitride layer having a thickness within a range from about 0.9 ⁇ to about 1.2 ⁇ .
  • a desired thickness employing any known means in the art. Were it determined that the tantalum nitride layer had not reached a desired thickness, then the process would proceed to step 108 . Were it determined that tantalum nitride layer had reached a desired thickness, then the process would proceed with further processing at step 118 .
  • An example of further processing could include formation of a copper layer 24 , shown in FIG. 2 , employing standard formation techniques, such as electroplating. Further processing includes a seed layer or a nucleation layer deposited via ALD, CVD or PVD techniques.
  • controller 54 includes a central processing unit (CPU) 90 , a volatile memory, such as a random access memory (RAM) 92 and permanent storage media, such as a floppy disk drive for use with a floppy diskette, or hard disk drive 94 .
  • the computer program code can be written in any conventional computer readable programming language; for example, 68000 assembly language, C, C++, Pascal, Fortran, and the like.
  • Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-readable medium, such as the hard disk drive 94 . If the entered code text is in a high level language, the code is compiled and the resultant compiler code is then linked with an object code of precompiled Windows® library routines. To execute the linked and compiled object code the system user invokes the object code, causing CPU 90 to load the code in RAM 92 . CPU 90 then reads and executes the code to perform the tasks identified in the program.
  • a method in accordance with an alternate embodiment overcomes difficulty in having vacuum pump 80 establish the processing pressure during the differing processing steps of the sequential deposition process. Specifically, it was found that relying on vacuum pump 80 to establish the processing pressure might increase the time required to form a tantalum nitride layer. This is due, in part, to the time required for vacuum pump 80 to stabilize (settle) in order to evacuate at a constant rate and thus pump down the processing chamber 68 to establish the processing pressure. To avoid the pump stabilization problem, vacuum pump 80 may be set to evacuate processing chamber 68 at a constant rate throughout the sequential deposition process. Thereafter, the processing pressure would be established by the flow rates of the process gases into process chamber 68 .
  • substrate 10 is heated to a processing temperature within a range from about 250° C. to about 450° C.
  • the pump is activated to evacuate processing chamber 68 at a constant rate.
  • the TBTDET precursor is heated in ampoule 71 a within a range from 65° C. to about 150° C. This forms a tantalum-containing gas that includes TBTDET.
  • a purge gas such as argon, is flowed into processing chamber 68 for a sufficient time to purge processing chamber 68 and establish a processing pressure.
  • the processing pressure is within a range from about 1 Torr to about 100 Torr.
  • the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10 .
  • the flow rates of the tantalum-containing gas and the Ar gas is established so as to prevent varying the processing pressure established at step 206 .
  • Ar gas from supply 70 c is flowed into ampoule 71 a at a rate of approximately 500 sccm.
  • the flow of tantalum-containing gas is terminated, with the flow of Ar increased to maintain the processing pressure, at step 210 . This continues for a sufficient time to remove tantalum-containing gas and reaction byproducts from processing chamber 68 , typically about 5 seconds to about 10 seconds.
  • a nitrogen-containing gas such as NH 3 gas
  • NH 3 gas is introduced into processing chamber 68 , along with the purge gas for a sufficient amount of time to react nitrogen, contained in the nitrogen-containing gas, with the tantalum-containing layer to form a tantalum nitride layer.
  • the tantalum nitride layer may or may not be a monolayer of tantalum nitride molecules.
  • the time required to achieve the nitrogen reaction depends upon the processing system employed. In the present example, the time is in the range from about 5 seconds to about 35 seconds.
  • the flow rate of the NH 3 gas and the purge gas are established so that the processing pressure established at step 206 is maintained.
  • the flow of the NH 3 process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas is increased at step 214 to maintain a constant processing pressure. In this manner, the nitrogen-containing gas and byproducts of the nitrogen reaction with the tantalum-containing layer are removed from processing chamber 68 . This completes one cycle of the sequential deposition technique in accordance with the present invention.
  • the tantalum nitride barrier layer is grown to a thickness in the range from about 10 ⁇ to about 1,000 ⁇ .
  • An example of further processing could include formation of a copper layer 24 , shown in FIG. 2 , employing standard formation techniques, such as electroplating.
  • removal of byproducts and precursors from processing chamber 68 may be achieved by evacuating processing chamber 68 of all gases present after formation of each tantalum-containing layer that is yet to under go a reaction with nitrogen.
  • substrate 10 is heated to a processing temperature within a range from about 250° C. to about 450° C. at step 300 , and the TBTDET precursor is heated in ampoule 71 a within a range from about 65° C. to about 150° C. at step 302 to form a tantalum-containing gas that includes TBTDET.
  • vacuum pump 80 establishes a processing pressure within a range from about 1 Torr to about 100 Torr.
  • a purge gas, such as argon is flowed into processing chamber 68 for a sufficient amount of time to purge processing chamber 68 . The time required to purge processing chamber 68 is dependent upon the processing system employed.
  • the time required to purge processing chamber 68 is within a range from about 5 seconds to about 10 seconds.
  • the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10 .
  • Ar gas from supply 70 c is flowed into ampoule 71 a at a rate of approximately 500 sccm.
  • the flow of tantalum-containing gas is terminated, while the flow of Ar continues.
  • the amount of time during which the tantalum-containing gas flows is dependent upon the processing system employed.
  • the tantalum-containing gas is flowed into processing chamber 68 for approximately 5 seconds to about 25 seconds during step 310 .
  • the flow of Ar gas into processing chamber 68 continues for a sufficient time to remove the tantalum-containing gas and reaction byproducts from processing chamber 68 .
  • the duration for which Ar gas is flowed into processing chamber 68 is dependent upon the processing system employed, but in the present example, is in the range from about 5 seconds to about 25 seconds.
  • step 312 the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present.
  • processing chamber 68 is brought to the processing pressure and the Ar gas is introduced therein.
  • the nitrogen-containing gas is introduced into processing chamber 68 , along with the purge gas for a sufficient amount of time to react nitrogen in the nitrogen-containing gas with the tantalum-containing layer to form a layer of tantalum nitride. The time required to achieve the nitrogen reaction is dependent upon the processing system employed.
  • the nitrogen-containing gas is flowed into processing chamber 68 in the range from 5 seconds to about 35 seconds during step 316 .
  • the flow of the tantalum-containing process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas continues at step 318 .
  • the tantalum-containing process gas and byproducts of the nitrogen reaction are removed from processing chamber 68 .
  • the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present therein at step 312 . This completes one cycle of the sequential deposition technique in accordance with the present invention.
  • step 322 it is determined whether the aforementioned tantalum nitride layer has reached a desired thickness employing any known means in the art. Were it determined that tantalum nitride layer had not reached a desired thickness, and then the process would proceed to step 304 . Were it determined that tantalum nitride layer had reached a desired thickness, and then the process would proceed with further processing at step 324 .
  • An example of further processing could include formation of a copper layer 24 , shown in FIG. 2 , employing standard formation techniques, such as electroplating.
  • tantalum nitride is formed with stoichiometry that includes TaN x , were x is in the range from about 0.4 to about 2. Tantalum nitride is often derived with the empirical formulas TaN, Ta 3 N 5 Ta 2 N or Ta 6 N 2.57 . Tantalum nitride is deposited as amorphous or crystalline material. In some metal nitrides, slight variations of the stoichiometry can have a large impact on the electrical properties, e.g., Hf 3 N 4 is an insulator while HfN is a conductor. Therefore, ALD provides stoichiometric control during the deposition of product compounds. The stoichiometry may be altered by various procedures following the deposition process, such as when Ta 3 N 5 is thermally annealed to form TaN. Altering the precursor ratios during deposition also controls stoichiometry.
  • the product compounds may be used as seed layers, diffusion barrier layers, adhesion layers, insulator layers, conducting layers or functionalized surface groups for patterned surfaces (e.g., selective deposition).
  • reaction conditions e.g., temperature, pressure, film thickness and the like
  • sequential deposition process may have different initial sequence.
  • the initial sequence may include exposing the substrate to the reducing gas before the metal-containing gas is introduced into the processing chamber.
  • the tantalum nitride layer may be employed for other features of circuits in addition to functioning as a diffusion barrier for contacts. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.

Abstract

Embodiments of the invention provide a method for forming tantalum nitride materials on a substrate by employing an atomic layer deposition (ALD) process. The method includes heating a tantalum precursor within an ampoule to a predetermined temperature to form a tantalum precursor gas and sequentially exposing a substrate to the tantalum precursor gas and a nitrogen precursor to form a tantalum nitride material. Thereafter, a nucleation layer and a bulk layer may be deposited on the substrate. In one example, a radical nitrogen compound may be formed from the nitrogen precursor during a plasma-enhanced ALD process. A nitrogen precursor may include nitrogen or ammonia. In another example, a metal-organic tantalum precursor may be used during the deposition process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 12/417,439, filed on Apr. 2, 2009, which application is a continuation of U.S. patent application Ser. No. 11/231,386, filed on Sep. 21, 2005, now issued as U.S. Pat. No. 7,514,358 on Apr. 7, 2009, which application is a continuation of U.S. patent application Ser. No. 10/379,438, filed on Mar. 4, 2003, now issued as U.S. Pat. No. 6,972,267 on Dec. 6, 2005, which application claims benefit of U.S. Provisional Patent Application Ser. No. 60/362,189, filed Mar. 4, 2002, which are all herein incorporated by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates to semiconductor processing. More particularly, this invention relates to improvements in the process of depositing refractory metal layers on semiconductor substrates using sequential deposition techniques.
  • 2. Description of the Related Art
  • The semiconductor industry continues to strive for larger production yields while increasing the uniformity of layers deposited on substrates having increasingly larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area on the substrate. As circuit integration increases, the need for greater uniformity and process control regarding layer characteristics rises. Formation of refractory metal layers in multi-level integrated circuits poses many challenges to process control, particularly with respect to contact formation.
  • Contacts are formed by depositing conductive interconnect material in an opening on the surface of insulating material disposed between two spaced-apart conductive layers. The aspect ratio of such an opening inhibits deposition of conductive interconnect material that demonstrates satisfactory step coverage and gap-fill, employing traditional interconnect material such as aluminum. In addition, the resistance of aluminum has frustrated attempts to increase the operational frequency of integrated circuits.
  • Attempts have been made to provide interconnect material with lower electrical resistivity than aluminum. This has led to the substitution of copper for aluminum. Copper suffers from diffusion resulting in the formation of undesirable intermetallic alloys that require the use of barrier materials.
  • Barrier layers formed from sputtered tantalum (Ta) and reactive sputtered tantalum nitride (TaN) have demonstrated properties suitable for use with copper. Exemplary properties include high conductivity, high thermal stability and resistance to diffusion of foreign atoms. However, sputter deposition of tantalum and/or tantalum nitride films is limited to use for features of relatively large sizes, e.g., >0.3 μm and contacts in vias having small aspect ratios.
  • A CVD process offers an inherent advantage over a PVD process of better conformability, even in small structures 0.25 μm with high aspect ratios. As a result, CVD deposition of tantalum and tantalum nitride with various metal-organic sources has been employed. Examples of metal-organic sources include tertbutylimidotris(diethylamido) tantalum (TBTDET), pentakis (dimethylamido) tantalum (PDMAT) and pentakis (diethylamido) tantalum (PDEAT).
  • Attempts have been made to use existing CVD-based tantalum deposition techniques in an atomic layer deposition (ALD) mode. Such attempts, however, suffer drawbacks. For example, formation of tantalum films from tantalum pentachloride (TaCl5) may require as many as three treatment cycles using various radial based chemistries to perform reduction process of the tantalum to form tantalum nitride. Processes using TaCl5 may suffer from chlorine contamination within the tantalum nitride layer.
  • There is a need, therefore, for tantalum chemistries that may be employed with fewer reduction steps and shorter cycle times.
  • SUMMARY OF THE INVENTION
  • A method for forming a tantalum-containing layer on a substrate disposed in a processing chamber, comprising heating a TBTDET precursor to a predetermined temperature of at least 65° C. to form a tantalum-containing gas, forming a tantalum-containing layer upon the substrate by adsorption of the tantalum-containing gas onto the substrate, reacting a nitrogen-containing process gas with the tantalum-containing layer to produce a layer of tantalum nitride and repeating forming the tantalum-containing layer and reacting the nitrogen-containing process gas with the tantalum-containing layer to form a layer of tantalum nitride of desired thickness, defining a final tantalum nitride layer. In accordance with another embodiment of the present invention an apparatus is disclosed that carries-out the steps of the method.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a detailed cross-sectional view of a substrate before deposition of a tantalum nitride layer in accordance with one embodiment of the present invention;
  • FIG. 2 is a detailed cross-sectional view of a substrate shown above in FIG. 1 after deposition of a tantalum nitride (TaN) layer and a copper contact in accordance with one embodiment of the present invention;
  • FIG. 3 is a schematic view showing deposition of a first molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention;
  • FIG. 4 is a schematic view showing deposition of second molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention;
  • FIG. 5 is a graphic representation showing the growth rate per cycle of a tantalum nitride layer versus a pre-heating temperature of a TBTDET precursor, in accordance with the present invention;
  • FIG. 6 is a perspective view of a semiconductor processing system in accordance with the present invention;
  • FIG. 7 is a detailed view of the processing chambers shown above in FIG. 6;
  • FIG. 8 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention;
  • FIG. 9 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention; and
  • FIG. 10 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Referring to FIG. 1 an exemplary structure upon which a tantalum nitride layer, discussed more fully below, is formed in accordance with the present invention is shown as a substrate 10. Substrate 10 includes a wafer 12 that may have one or more layers, shown as layer 14, disposed thereon. Wafer 12 may be formed from any material suitable for semiconductor processing, such as silicon, and layer 14 may be formed from any suitable material, including dielectric or conductive materials. For purposes of the present example, layer 14 includes a void 16, exposing a region 18 of wafer 12.
  • Embodiments of the processes described herein deposit tantalum-containing materials or tantalum nitride on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers. Surfaces include bare silicon wafers, films, layers and materials with dielectric, conductive and barrier properties and include aluminum oxide and polysilicon. Pretreatment of surfaces includes polishing, etching, reduction, oxidation, hydroxylation, annealing or baking the substrate. A substrate can be pretreated to be terminated with a variety of functional groups such as hydroxyls (OH), alkoxy (OR, where R=Me, Et, Pr or Bu), haloxyls (OX, where X═F, Cl, Br or I), halides (F, Cl, Br or I), oxygen radicals, aminos (NH or NH2) or amidos (NR or NR2, where R=Me, Et, Pr or Bu).
  • Referring to FIG. 2, formed adjacent to layer 14 and region 18 is a barrier layer 20 containing a refractory metal compound, such as tantalum. In the present example, barrier layer 20 is formed from tantalum nitride, TaN, by sequentially exposing substrate 10 to processing gases to form layers of differing compounds on substrate 10. Although not required, in this present case monolayers of differing compounds may be formed. Tantalum nitride barrier layer 20 conforms to the profile of void 16 so as to cover region 18 and layer 14. A contact 22 is fabricated in accordance with the present invention by formation of a copper layer 24 adjacent to barrier layer 20, filling void 16. Copper layer 24 may be formed using standard techniques (e.g., ALD, PVD, CVD and/or electroplating) and include seed formation and/or fill.
  • With this configuration, a contact consisting of tantalum nitride barrier layer 20 and copper layer 24 is formed. Tantalum nitride barrier layer 20 serves as a seed layer to promote the formation of copper layer 24 using, for example, electroplating techniques. Important characteristics that barrier layer 20 should demonstrate include good step coverage and thickness uniformity. To that end, tantalum nitride barrier layer 20 is deposited employing sequential techniques, such as atomic layer deposition.
  • Referring to FIGS. 2, 3 and 4, one example of forming barrier layer 20 employing sequential deposition techniques includes exposing substrate 10 to a tantalum-containing gas formed from vaporization of a liquid precursor (tBuN)Ta(NEt2)3 (TBTDET) to form a tantalum-containing gas that includes TBTDET. It is believed that the initial surface of substrate 10, e.g., the surface of layer 14 and region 18, presents active ligands to the tantalum-containing gas. To that end, substrate 10 is heated within a range from about 250° C. to about 450° C. and placed in a controlled environment that is pressurized within a range from about 1 Torr to about 100 Torr, inclusive. Substrate 10 is exposed to a process gas that includes the tantalum-containing gas and a carrier gas. The carrier gas may be Ar, He, N2, H2 or combinations thereof and may be used as a purge gas. This results in a tantalum-containing layer being deposited on substrate 10. It is believed that the tantalum-containing layer has a surface of ligands comprising amido (-NEt2) and imido (=NtBu), shown generally as “a”. The tantalum-containing layer includes bound tantalum complexes with ligands, such that “a”=0-5, often 3 or 4.
  • The tantalum-containing layer is exposed to another process gas that includes a nitrogen-containing gas and a carrier gas to form the tantalum-containing layer forming a barrier layer 20 of tantalum nitride. In this example, the nitrogen-containing gas is NH3 gas and either Ar or N2 is the carrier gas. It is believed that the amido and imido ligands in the exposed surface of the tantalum-containing layer react with the NH3 process gas to form byproducts that include radicals (e.g., NH2, NEt2, NtBu, HNtBu or tBu), butene, amines (e.g., HNEt2 or H2NtBu), (Et2N)2 and H2 among others. In this manner, a surface comprising a layer of tantalum nitride molecules is formed upon substrate 10.
  • Although not required, the tantalum nitride layer may be a monolayer of tantalum nitride molecules. In some embodiments, the process proceeds cycle after cycle, until tantalum nitride barrier layer 20 has a desired thickness achieved, with each cycle having a duration from about 0.01 seconds to about 60 seconds, preferably from about 0.1 seconds to about 10 seconds, depending upon the processing system employed. The tantalum nitride barrier layer 20 generally has a thickness in the range from about 10 Å to about 1,000 Å.
  • An important precursor characteristic is to have a favorable vapor pressure. Precursors may be a plasma, gas, liquid or solid at ambient temperature and pressure. However, within the ALD chamber, precursors are volatilized. Organometallic compounds or complexes that may be heated prior to delivery include any chemical containing a metal and at least one organic group, such as alkyls, alkoxyls, alkylamidos and anilides. Precursors comprise of organometallic and halide compounds.
  • Exemplary tantalum precursors that may be heated to form tantalum-containing gases include tantalum compounds containing ligands such as alkylamidos, alkylimidos, cyclopentadienyls, halides, alkyls, alkoxides or combinations thereof. Alkylamido tantalum compounds used as tantalum precursors include (RR′N)5Ta, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl. Alkylimido tantalum compounds used as tantalum precursors include (RN)(R′R″N)3Ta, where R, R′ or R″ are independently hydrogen, methyl, ethyl, propyl or butyl. Specific tantalum precursors include: (Et2N)5Ta, (Me2N)5Ta, (EtMeN)5Ta, (Me5C5)TaCl4, (acac)(EtO)4Ta, Br5Ta, Cl5Ta, I5Ta, F5Ta, (NO3)5Ta, (tBuO)5Ta, (1PrO)5Ta, (EtO)5Ta and (MeO)5Ta.
  • Exemplary nitrogen precursors utilized in nitrogen-containing gases include: NH3, N2, hydrazines (e.g., N2H4 or MeN2H3), amines (e.g., Me3N, Me2NH or MeNH2), anilines (e.g., C6H5NH2), organic azides (e.g., MeN3 or Me3SiN3), inorganic azides (e.g., NaN3 or Cp2CoN3) and radical nitrogen compounds (e.g., N3, N2, N, NH or NH2). Radical nitrogen compounds can be produced by heat, hot-wires and/or plasma.
  • Referring to FIGS. 4 and 5, it was discovered that the time required to form tantalum nitride barrier layer 20 may be reduced by heating the TBTDET precursor before formation of the tantalum-containing layer on substrate 10. As shown by curve 30 it was found that by heating the TBTDET precursor in the range from about 65° C. to about 150° C., shown as segment 32, the growth rate of the layers of tantalum nitride per ALD cycle may be maximized. Specifically, point 34 shows the growth rate at about 65° C. being a little less than about 0.9 Å per cycle. Point 36 shows the growth rate at about 90° C. being a little less than about 1.2 Å per cycle, and point 38 shows the growth rate at about 150° C. being approximately 2.0 Å per cycle. A segment 40 of curve 30 shows that for temperatures below about 65° C., the growth rate of tantalum nitride is substantially reduced. A segment 42 of curve 30 shows that for temperatures above about 150° C., the growth rate of tantalum nitride is substantially reduced. Thus, the slope of a segment 32 of curve 30 shows that the growth rate of tantalum nitride barrier layer 20 is greater for temperatures within a range from about 65° C. to about 150° C. compared to other temperatures for the TBTDET precursor.
  • Referring to FIG. 6, an exemplary wafer processing system employed to deposit a tantalum nitride layer in accordance with the present invention includes one or more processing chambers 44, 45 and 46. Processing chambers 44, 45 and 46 are disposed in a common work area 48 surrounded by a wall 50. Processing chambers 44, 45 and 46 are in data communication with a controller 54 that is connected to one or more monitors, shown as 56 and 58. Monitors 56 and 58 typically display common information concerning the process associated with the processing chambers 44, 45 and 46. Monitor 58 is mounted to the wall 50, with monitor 56 being disposed in the work area 48. Operational control of processing chambers 44, 45 and 46 may be achieved with use of a light pen, associated with one of monitors 56 and 58, to communicate with controller 54. For example, a light pen 60 a is associated with monitor 56 and facilitates communication with the controller 54 through monitor 56. A light pen 60 b facilitates communication with controller 54 through monitor 58.
  • Referring to both FIGS. 6 and 7, each of processing chambers 44, 45 and 46 includes a housing 62 having a base wall 64, a cover 66, disposed opposite to base wall 64, and a sidewall 67, extending there between. Housing 62 defines a chamber 68. A pedestal 69 is disposed within processing chamber 68 to support substrate 10. Pedestal 69 may be mounted to move between cover 66 and base wall 64, using a displacement mechanism (not shown), but is typically fixed proximate to base wall 64. Supplies of processing fluids 70 a, 70 b, 70 c and 71 are in fluid communication with processing chamber 68 via a manifold 72. In the present example supply 70 a may contain NH3, supply 70 b may contain N2 and supply 70 c may contain Ar. Process fluid supply 71 includes an ampoule 71 a in fluid communication with a vaporizer 71 b. Ampoule 71 a includes a supply of TBTDET precursor 71 c and is in fluid communication with supply 70 c. Ampoule 71 a is in fluid communication with vaporizer 71 b via precursor channel 71 d to deliver, to processing chamber 68, precursor 71 c, with the aid of carrier gas in supply 70 c. Ampoule 71 a, liquid 71 c and channel 71 d may be heated by conventional heating methods, e.g., heating tape in the range from about 65° C. to about 150° C. Regulation of the flow of gases from supplies 70 a, 70 b, 70 c and 71 is effectuated via flow valves 73 that are regulated by computer control, discussed more fully below. Flow valves 73 may be any suitable valve. Actuation rates of flow valves 73 may be in the range of a microsecond to several milliseconds to seconds.
  • Substrate 10 is heated to processing temperature by a heater embedded within pedestal 69. For example, pedestal 69 may be resistively heated by applying an electric current from an AC power supply 75 to a heater element 76. Substrate 10 is, in turn, heated by pedestal 69, and can be maintained within a desired process temperature range, with the actual temperature varying dependent upon the gases employed and the topography of the surface upon which deposition is to occur. A temperature sensor 78, such as a thermocouple, is also embedded in pedestal 69 to monitor the temperature of pedestal 69 in a conventional manner. For example, the measured temperature may be used in a feedback loop to control the electrical current applied to heater element 76 by power supply 75, such that the wafer temperature can be maintained or controlled at a desired temperature that is suitable for the particular process application. Substrate 10 may be heated using radiant heat, e.g., heat lamps or plasma (not shown). A vacuum pump 80 is used to evacuate processing chamber 68 and to help maintain the proper gas flows and pressure inside processing chamber 68.
  • Referring to FIGS. 7 and 8, a method in accordance with one embodiment of the present invention includes heating substrate 10 to a processing temperature within a range from about 250° C. to about 450° C. at step 100. At step 102 processing chamber 68 is pressurized within a range from about 1 Torr to about 100 Torr. This is achieved by activating vacuum pump 80 to evacuate processing chamber 68. At step 104, the TBTDET precursor is heated in ampoule 71 a within a range from about 65° C. to about 150° C. This forms a tantalum-containing gas that includes TBTDET. At step 106 a purge gas, such as argon, Ar, is flowed into processing chamber 68 for a sufficient amount of time to purge processing chamber 68. The actual time during which Ar is flowed into processing chamber 68 is dependent upon the system employed.
  • In the present example, Ar is flowed into processing chamber 68 in a range of from about 5 to about 10 seconds to purge processing chamber 68. At step 108, the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10 that includes TBTDET. To that end, Ar gas from supply 70 c is flowed into ampoule 71 a at a rate in the range from about 50 sccm to about 2,000 sccm, preferably about 500 sccm. After a sufficient time, which is dependent upon the process system employed, the flow of tantalum-containing gas is terminated, at step 110. In the present example, the flow of tantalum-containing gas is terminated after about 5 seconds to about 25 seconds after the flow commenced. The flow of Ar gas may terminate with the flow of tantalum-containing gas. Alternatively, the flow of Ar gas may continue for a sufficient amount of time, depending upon the processing system employed, to ensure removal from processing chamber 68 of tantalum-containing gas and reaction byproducts, at step 110.
  • In the present example the time that the flow of Ar gas continues is in the range from about 5 seconds to about 10 seconds. Subsequently at step 112, a nitrogen-containing gas, such as NH3 gas, is pulsed into processing chamber 68, along with the purge gas for a sufficient amount of time to create a reaction between nitrogen, in the NH3 gas, and the tantalum-containing layer to form a layer of tantalum nitride. The resulting layer of tantalum nitride may be a monolayer of tantalum nitride molecules. To that end, the duration of the pulse of NH3 gas is dependent upon the processing system employed, but in the present example the flow of NH3 gas was in the range from about 5 seconds to about 35 seconds. The pulse of the nitrogen-containing gas into processing chamber 68 is subsequently terminated, at step 114. The flow of the purge gas may be terminated along with the flow of the nitrogen-containing gas. Alternatively, the flow of the purge gas may continue at step 114. In this manner, NH3 gas and byproducts of the reaction of nitrogen with the tantalum-containing layer are removed from processing chamber 68. This completes one cycle of the sequential deposition technique in accordance with the present invention. The aforementioned cycle is repeated multiple times until barrier layer 20 reaches a desired thickness shown in FIG. 2.
  • It has been found that each cycle results in the formation of a tantalum nitride layer having a thickness within a range from about 0.9 Å to about 1.2 Å. As a result, at step 116, it is determined whether the tantalum nitride layer has reached a desired thickness employing any known means in the art. Were it determined that the tantalum nitride layer had not reached a desired thickness, then the process would proceed to step 108. Were it determined that tantalum nitride layer had reached a desired thickness, then the process would proceed with further processing at step 118. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating. Further processing includes a seed layer or a nucleation layer deposited via ALD, CVD or PVD techniques.
  • Referring to both FIGS. 2 and 7, the process for depositing the tantalum and copper layers 20 and 24 may be controlled using a computer program product that is executed by controller 54. To that end, controller 54 includes a central processing unit (CPU) 90, a volatile memory, such as a random access memory (RAM) 92 and permanent storage media, such as a floppy disk drive for use with a floppy diskette, or hard disk drive 94. The computer program code can be written in any conventional computer readable programming language; for example, 68000 assembly language, C, C++, Pascal, Fortran, and the like. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-readable medium, such as the hard disk drive 94. If the entered code text is in a high level language, the code is compiled and the resultant compiler code is then linked with an object code of precompiled Windows® library routines. To execute the linked and compiled object code the system user invokes the object code, causing CPU 90 to load the code in RAM 92. CPU 90 then reads and executes the code to perform the tasks identified in the program.
  • Referring to FIGS. 7 and 9, a method in accordance with an alternate embodiment overcomes difficulty in having vacuum pump 80 establish the processing pressure during the differing processing steps of the sequential deposition process. Specifically, it was found that relying on vacuum pump 80 to establish the processing pressure might increase the time required to form a tantalum nitride layer. This is due, in part, to the time required for vacuum pump 80 to stabilize (settle) in order to evacuate at a constant rate and thus pump down the processing chamber 68 to establish the processing pressure. To avoid the pump stabilization problem, vacuum pump 80 may be set to evacuate processing chamber 68 at a constant rate throughout the sequential deposition process. Thereafter, the processing pressure would be established by the flow rates of the process gases into process chamber 68. To that end, at step 200, substrate 10 is heated to a processing temperature within a range from about 250° C. to about 450° C. At step 202 the pump is activated to evacuate processing chamber 68 at a constant rate. At step 204, the TBTDET precursor is heated in ampoule 71 a within a range from 65° C. to about 150° C. This forms a tantalum-containing gas that includes TBTDET. At step 206 a purge gas, such as argon, is flowed into processing chamber 68 for a sufficient time to purge processing chamber 68 and establish a processing pressure. The processing pressure is within a range from about 1 Torr to about 100 Torr. Although the exact time required is dependent upon the processing system employed, in the present example, the Ar is flowed into processing chamber 68 in the range from about 5 seconds to about 10 seconds.
  • At step 208 the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10. The flow rates of the tantalum-containing gas and the Ar gas is established so as to prevent varying the processing pressure established at step 206. To that end, Ar gas from supply 70 c is flowed into ampoule 71 a at a rate of approximately 500 sccm. After about 5 seconds to about 25 seconds, the flow of tantalum-containing gas is terminated, with the flow of Ar increased to maintain the processing pressure, at step 210. This continues for a sufficient time to remove tantalum-containing gas and reaction byproducts from processing chamber 68, typically about 5 seconds to about 10 seconds. Subsequently at step 212, a nitrogen-containing gas, such as NH3 gas, is introduced into processing chamber 68, along with the purge gas for a sufficient amount of time to react nitrogen, contained in the nitrogen-containing gas, with the tantalum-containing layer to form a tantalum nitride layer. The tantalum nitride layer may or may not be a monolayer of tantalum nitride molecules. The time required to achieve the nitrogen reaction depends upon the processing system employed. In the present example, the time is in the range from about 5 seconds to about 35 seconds. The flow rate of the NH3 gas and the purge gas are established so that the processing pressure established at step 206 is maintained. The flow of the NH3 process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas is increased at step 214 to maintain a constant processing pressure. In this manner, the nitrogen-containing gas and byproducts of the nitrogen reaction with the tantalum-containing layer are removed from processing chamber 68. This completes one cycle of the sequential deposition technique in accordance with the present invention.
  • The aforementioned cycle is repeated multiple times until barrier layer 20 reaches a desired thickness shown in FIG. 2. As a result, at step 216, shown in FIG. 9, it is determined whether the tantalum nitride barrier layer has reached a desired thickness employing any known means in the art. Were it determined that tantalum nitride layer had not reached a desired thickness, and then the process would proceed to step 208. Were it determined that tantalum nitride layer had reached a desired thickness, and then the process would proceed with further processing at step 218. Generally, the tantalum nitride barrier layer is grown to a thickness in the range from about 10 Å to about 1,000 Å. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating.
  • Referring to FIGS. 7 and 10 in yet another embodiment of the present invention, removal of byproducts and precursors from processing chamber 68 may be achieved by evacuating processing chamber 68 of all gases present after formation of each tantalum-containing layer that is yet to under go a reaction with nitrogen. To that end, substrate 10 is heated to a processing temperature within a range from about 250° C. to about 450° C. at step 300, and the TBTDET precursor is heated in ampoule 71 a within a range from about 65° C. to about 150° C. at step 302 to form a tantalum-containing gas that includes TBTDET. At step 304, vacuum pump 80 establishes a processing pressure within a range from about 1 Torr to about 100 Torr. At step 306 a purge gas, such as argon is flowed into processing chamber 68 for a sufficient amount of time to purge processing chamber 68. The time required to purge processing chamber 68 is dependent upon the processing system employed.
  • In the present example, the time required to purge processing chamber 68 is within a range from about 5 seconds to about 10 seconds. At step 308 the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10. To that end, Ar gas from supply 70 c is flowed into ampoule 71 a at a rate of approximately 500 sccm. After a sufficient amount of time, the flow of tantalum-containing gas is terminated, while the flow of Ar continues. The amount of time during which the tantalum-containing gas flows is dependent upon the processing system employed.
  • In the present example the tantalum-containing gas is flowed into processing chamber 68 for approximately 5 seconds to about 25 seconds during step 310. During step 310, the flow of Ar gas into processing chamber 68 continues for a sufficient time to remove the tantalum-containing gas and reaction byproducts from processing chamber 68. The duration for which Ar gas is flowed into processing chamber 68 is dependent upon the processing system employed, but in the present example, is in the range from about 5 seconds to about 25 seconds.
  • Subsequently, at step 312 the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present. At step 314 processing chamber 68 is brought to the processing pressure and the Ar gas is introduced therein. At step 316, the nitrogen-containing gas is introduced into processing chamber 68, along with the purge gas for a sufficient amount of time to react nitrogen in the nitrogen-containing gas with the tantalum-containing layer to form a layer of tantalum nitride. The time required to achieve the nitrogen reaction is dependent upon the processing system employed.
  • In the present example, the nitrogen-containing gas is flowed into processing chamber 68 in the range from 5 seconds to about 35 seconds during step 316. The flow of the tantalum-containing process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas continues at step 318. In this manner, the tantalum-containing process gas and byproducts of the nitrogen reaction are removed from processing chamber 68. At step 320, the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present therein at step 312. This completes one cycle of the sequential deposition technique in accordance with the present invention.
  • The aforementioned cycle is repeated multiple times until layer 14 reaches a desired thickness shown in FIG. 2. As a result, at step 322 it is determined whether the aforementioned tantalum nitride layer has reached a desired thickness employing any known means in the art. Were it determined that tantalum nitride layer had not reached a desired thickness, and then the process would proceed to step 304. Were it determined that tantalum nitride layer had reached a desired thickness, and then the process would proceed with further processing at step 324. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating.
  • In some embodiments of the processes, tantalum nitride is formed with stoichiometry that includes TaNx, were x is in the range from about 0.4 to about 2. Tantalum nitride is often derived with the empirical formulas TaN, Ta3N5 Ta2N or Ta6N2.57. Tantalum nitride is deposited as amorphous or crystalline material. In some metal nitrides, slight variations of the stoichiometry can have a large impact on the electrical properties, e.g., Hf3N4 is an insulator while HfN is a conductor. Therefore, ALD provides stoichiometric control during the deposition of product compounds. The stoichiometry may be altered by various procedures following the deposition process, such as when Ta3N5 is thermally annealed to form TaN. Altering the precursor ratios during deposition also controls stoichiometry.
  • Many industrial applications exist for the product compounds and materials formed by the various processes of the embodiments described. Within the microelectronics industry, the product compounds may be used as seed layers, diffusion barrier layers, adhesion layers, insulator layers, conducting layers or functionalized surface groups for patterned surfaces (e.g., selective deposition).
  • Although the invention has been described in terms of specific embodiments, one skilled in the art will recognize that various changes to the reaction conditions, e.g., temperature, pressure, film thickness and the like can be substituted and are meant to be included herein and sequence of gases being deposited. For example, sequential deposition process may have different initial sequence. The initial sequence may include exposing the substrate to the reducing gas before the metal-containing gas is introduced into the processing chamber. In addition, the tantalum nitride layer may be employed for other features of circuits in addition to functioning as a diffusion barrier for contacts. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A method for forming a tantalum-containing material on a substrate comprising:
flowing a heated tantalum-containing precursor through a conduit and into a process chamber in which a substrate is placed; and
exposing the substrate to at least sequential pulses of the heated tantalum-containing precursor and a nitrogen-containing precursor during an atomic layer deposition (ALD) based process to deposit a tantalum nitride material on a surface of the substrate.
2. The method of claim 1, further comprising:
depositing a nucleation layer on the tantalum nitride material by a process selected from the group of an atomic layer based deposition technique, a physical vapor deposition based technique, a chemical vapor deposition based technique, or combinations thereof; and
depositing a bulk layer on the nucleation layer by a process selected from the group of an atomic layer based deposition technique, a physical vapor deposition based technique, a chemical vapor deposition based technique, an electroplating technique, or combinations thereof.
3. The method of claim 1, further comprising:
exposing a surface of the substrate to a pretreatment process prior to the forming the tantalum-containing material on the substrate.
4. The method of claim 3, wherein the pretreatment process comprises terminating the surface of the substrate with one or more functional groups comprise hydroxyls, alkoxys, haloxyls, halides, aminos, or amidos.
5. The method of claim 1, further comprising:
flowing a carrier gas into the process chamber during the ALD based process; and
terminating at least one of the tantalum-containing precursor and the nitrogen-containing precursor while still flowing the carrier gas.
6. The method of claim 5, wherein the tantalum-containing precursor is terminated while still flowing the carrier gas and the nitrogen-containing precursor.
7. The method of claim 5, wherein the tantalum-containing precursor and the nitrogen-containing precursor are terminated while still flowing the carrier gas for a sufficient amount of time.
8. The method of claim 7, wherein the flow of the carrier gas is increased after the tantalum-containing precursor and the nitrogen-containing precursor are terminated to maintain a constant processing pressure.
9. The method of claim 1, wherein the conduit is maintained at a temperature within a range from about 50° C. to about 450° C. during the ALD based process.
10. The method of claim 9, wherein the temperature of the conduit is maintained within a range from about 65° C. to about 275° C. during the ALD based process.
11. The method of claim 1, further comprising:
heating the substrate to a deposition temperature between about 250° C. to about 450° C.
12. The method of claim 1, wherein the ALD based process is a plasma-enhanced ALD process forming a radical nitrogen compound from the nitrogen precursor in a remote process chamber.
13. The method of claim 12, wherein the nitrogen precursor is selected from the group consisting of nitrogen, ammonia, hydrazine, azides, derivatives thereof and combinations thereof, and the radical nitrogen compound is selected from the group consisting of N3, N2, N, NH, NH2, derivatives thereof and combinations thereof.
14. A method for processing a substrate comprising:
forming a tantalum-containing material on the substrate by a process comprising:
flowing a heated tantalum precursor gas through a conduit and into a process chamber; and
exposing the substrate to at least sequential pulses of the tantalum precursor gas and a radical nitrogen compound during a plasma-enhanced atomic layer deposition process to deposit a tantalum nitride material on a surface of the substrate; and
depositing a nucleation layer on the tantalum nitride material by a process selected from the group of an atomic layer based deposition technique, a physical vapor deposition based technique, a chemical vapor deposition based technique, or combinations thereof; and
depositing a bulk layer on the nucleation layer by a process selected from the group of an atomic layer based deposition technique, a chemical vapor deposition based technique, an electroplating technique, or combinations thereof.
15. The method of claim 14, wherein the forming the tantalum-containing material on the substrate further comprises:
heating the substrate to a deposition temperature between about 250° C. to about 450° C.; and
heating and maintaining a temperature of the conduit containing the tantalum precursor within a range from about 50° C. to about 450° C. during the plasma-enhanced atomic layer deposition process.
16. The method of claim 14, further comprising exposing the surface of the substrate to a pretreatment process prior to the forming the tantalum-containing material on the substrate, wherein the pretreatment process comprises terminating the surface of the substrate with one or more functional groups comprise hydroxyls, alkoxy, haloxyls, halides, aminos, or amidos.
17. The method of claim 14, wherein the bulk layer comprises copper.
18. The method of claim 14, further comprising:
flowing a carrier gas into the process chamber during the plasma-enhanced atomic layer deposition process; and
terminating at least one of tantalum precursor gas and a flow of the radical nitrogen compound while still flowing the carrier gas.
19. The method of claim 18, wherein the tantalum precursor gas and the flow of the radical nitrogen compound are terminated while still flowing the carrier gas for a sufficient amount of time.
20. The method of claim 14, wherein the plasma-enhanced atomic layer deposition process comprises forming a radical nitrogen compound from the nitrogen precursor in a remote process chamber, wherein the nitrogen precursor is selected from the group consisting of nitrogen, ammonia, hydrazine, azides, derivatives thereof and combinations thereof, and the radical nitrogen compound is selected from the group consisting of N3, N2, N, NH, NH2, derivatives thereof and combinations thereof.
US12/914,937 2002-03-04 2010-10-28 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor Abandoned US20110070730A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/914,937 US20110070730A1 (en) 2002-03-04 2010-10-28 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36218902P 2002-03-04 2002-03-04
US10/379,438 US6972267B2 (en) 2002-03-04 2003-03-04 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US11/231,386 US7514358B2 (en) 2002-03-04 2005-09-21 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US12/417,439 US7867896B2 (en) 2002-03-04 2009-04-02 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US12/914,937 US20110070730A1 (en) 2002-03-04 2010-10-28 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/417,439 Continuation US7867896B2 (en) 2002-03-04 2009-04-02 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Publications (1)

Publication Number Publication Date
US20110070730A1 true US20110070730A1 (en) 2011-03-24

Family

ID=29586722

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/379,438 Expired - Lifetime US6972267B2 (en) 2002-03-04 2003-03-04 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US11/231,386 Expired - Lifetime US7514358B2 (en) 2002-03-04 2005-09-21 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US12/417,439 Expired - Lifetime US7867896B2 (en) 2002-03-04 2009-04-02 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US12/914,937 Abandoned US20110070730A1 (en) 2002-03-04 2010-10-28 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US10/379,438 Expired - Lifetime US6972267B2 (en) 2002-03-04 2003-03-04 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US11/231,386 Expired - Lifetime US7514358B2 (en) 2002-03-04 2005-09-21 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US12/417,439 Expired - Lifetime US7867896B2 (en) 2002-03-04 2009-04-02 Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Country Status (1)

Country Link
US (4) US6972267B2 (en)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
KR100476556B1 (en) * 2002-04-11 2005-03-18 삼성전기주식회사 Piezoelectric transformer, housing for piezoelectric transformer and manufacture thereof
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
KR100552820B1 (en) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 Manufacturing method of semiconductor device
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7078326B1 (en) * 2005-01-19 2006-07-18 Marsh Eugene P Nucleation method for atomic layer deposition of cobalt on bare silicon during the formation of a semiconductor device
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7432139B2 (en) 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
WO2008013659A2 (en) * 2006-07-21 2008-01-31 The Boc Group, Inc. Single precursors for atomic layer deposition
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
JP5357050B2 (en) * 2006-12-28 2013-12-04 エグザテック・リミテッド・ライアビリティー・カンパニー Apparatus and method for plasma arc coating
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP5551681B2 (en) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
KR20210020175A (en) * 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (en) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. Plasma Enhanced Deposition Processes for Controlled Formation of Oxygen Containing Thin Film
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
TWI761636B (en) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 PLASMA ENHANCED ATOMIC LAYER DEPOSITION PROCESS AND METHOD OF DEPOSITING SiOC THIN FILM
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
JP7113670B2 (en) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 ALD film forming method and ALD film forming apparatus
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
CN113195783A (en) * 2018-12-19 2021-07-30 恩特格里斯公司 Method for depositing tungsten or molybdenum layers in the presence of a reducing co-reactant
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4725809A (en) * 1985-10-02 1988-02-16 Kabushiki Kaisha Tokai Rika Denki Seisakusho Seisakusho Variable resistor and switch
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5196365A (en) * 1989-07-05 1993-03-23 Fujitsu Limited Method of making semiconductor memory device having stacked capacitor
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6206967B1 (en) * 1997-12-02 2001-03-27 Applied Materials, Inc. Low resistivity W using B2H6 nucleation step
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020005168A1 (en) * 1999-11-30 2002-01-17 Applied Materials, Inc. Dual wafer load lock
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020022487A1 (en) * 2000-08-10 2002-02-21 Lg Electronics Inc. Method of selecting base transceiver system in communication system
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20020173130A1 (en) * 2001-02-12 2002-11-21 Pomerede Christophe F. Integration of High K Gate Dielectric
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20030022528A1 (en) * 2001-02-12 2003-01-30 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US6520218B1 (en) * 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US20030038369A1 (en) * 2001-08-22 2003-02-27 Nace Layadi Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030054631A1 (en) * 2000-05-15 2003-03-20 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030228710A1 (en) * 2002-06-10 2003-12-11 Drewes Joel A. Multilayer dielectric tunnel barrier used in magnetic tunnel junction devices, and its method of fabrication
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20040016866A1 (en) * 2002-07-25 2004-01-29 Veutron Corporation Light source control method and apparatus of image scanner
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040048461A1 (en) * 2002-09-11 2004-03-11 Fusen Chen Methods and apparatus for forming barrier layers in high aspect ratio vias
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060019494A1 (en) * 2002-03-04 2006-01-26 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20060019495A1 (en) * 2004-07-20 2006-01-26 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US20060018639A1 (en) * 2003-10-27 2006-01-26 Sundar Ramamurthy Processing multilayer semiconductors with multiple heat sources
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20060035025A1 (en) * 2002-10-11 2006-02-16 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US20070006398A1 (en) * 2005-05-31 2007-01-11 Leila Hercouet Composition for dyeing keratin fibers, comprising at least one diamino-N,N-dihydropyrazolone derivative, at least one coupler, and at least one heterocyclic direct dye
US20070018244A1 (en) * 2005-07-20 2007-01-25 Applied Materials, Inc. Gate Electrode structures and methods of manufacture
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070026147A1 (en) * 2001-10-26 2007-02-01 Ling Chen Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films

Family Cites Families (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594216A (en) 1969-06-19 1971-07-20 Westinghouse Electric Corp Vapor phase deposition of metal from a metal-organic beta-ketoamine chelate
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
JPS63227011A (en) 1987-03-17 1988-09-21 Fujitsu Ltd Chemical vapor deposition system
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3801147A1 (en) 1988-01-16 1989-07-27 Philips Patentverwaltung DEVICE FOR GENERATING A GAS FLOW ENRICHED WITH THE VAPOR OF A LITTLE VOLATILE FABRIC
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
DE4124018C1 (en) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5216959A (en) 1991-09-10 1993-06-08 Amada Company, Ltd. Method and device for press overload protection
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5464666A (en) 1995-02-06 1995-11-07 Air Products And Chemicals, Inc. Process for chemical vapor codeposition of copper and aluminum alloys
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5668054A (en) 1996-01-11 1997-09-16 United Microelectronics Corporation Process for fabricating tantalum nitride diffusion barrier for copper matallization
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
JPH10306377A (en) 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
FI972874A0 (en) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US6125158A (en) 1997-12-23 2000-09-26 Nortel Networks Corporation Phase locked loop and multi-stage phase comparator
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
JP3909792B2 (en) 1999-08-20 2007-04-25 パイオニア株式会社 Raw material supply apparatus and raw material supply method in chemical vapor deposition
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US20020013487A1 (en) 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6413381B1 (en) * 2000-04-12 2002-07-02 Steag Hamatech Ag Horizontal sputtering system
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR20020004539A (en) * 2000-07-06 2002-01-16 박종섭 Method for forming FeRAM capable of preventing hydrogen diffusion
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (en) 2000-07-20 2000-07-20 Asm Microchemistry Oy A method for growing a thin film on a substrate
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (en) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100386034B1 (en) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
JP3963078B2 (en) 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US20020127336A1 (en) 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP3730962B2 (en) 2001-04-02 2006-01-05 松下電器産業株式会社 Manufacturing method of semiconductor device
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
TW539822B (en) * 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030042830A1 (en) * 2001-08-29 2003-03-06 Gregorio Michael A. Pivoting television support shelf
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
KR20030025494A (en) 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) * 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20030140857A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Apparatus and method for low pressure CVD deposition of tungsten and tungsten nitride
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US6730802B2 (en) * 2002-07-09 2004-05-04 Starfire Systems, Inc. Silicon carbide precursor
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
WO2004018909A2 (en) 2002-08-20 2004-03-04 Applied Materials, Inc. Electronically actuated valve
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US7020802B2 (en) 2002-10-17 2006-03-28 Sun Microsystems, Inc. Method and apparatus for monitoring and recording computer system performance parameters
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
JP5342110B2 (en) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド Source canister containing precursor and method for filling features using the same
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7067422B2 (en) 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR20070089197A (en) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7978964B2 (en) 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly

Patent Citations (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4725809A (en) * 1985-10-02 1988-02-16 Kabushiki Kaisha Tokai Rika Denki Seisakusho Seisakusho Variable resistor and switch
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5196365A (en) * 1989-07-05 1993-03-23 Fujitsu Limited Method of making semiconductor memory device having stacked capacitor
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6206967B1 (en) * 1997-12-02 2001-03-27 Applied Materials, Inc. Low resistivity W using B2H6 nucleation step
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6520218B1 (en) * 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20020005168A1 (en) * 1999-11-30 2002-01-17 Applied Materials, Inc. Dual wafer load lock
US6841200B2 (en) * 1999-11-30 2005-01-11 Applied Materials, Inc. Dual wafer load lock
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US6686271B2 (en) * 2000-05-15 2004-02-03 Asm International N.V. Protective layers prior to alternating layer deposition
US20030054631A1 (en) * 2000-05-15 2003-03-20 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020022487A1 (en) * 2000-08-10 2002-02-21 Lg Electronics Inc. Method of selecting base transceiver system in communication system
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20020173130A1 (en) * 2001-02-12 2002-11-21 Pomerede Christophe F. Integration of High K Gate Dielectric
US20030022528A1 (en) * 2001-02-12 2003-01-30 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20030038369A1 (en) * 2001-08-22 2003-02-27 Nace Layadi Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20070026147A1 (en) * 2001-10-26 2007-02-01 Ling Chen Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20060019494A1 (en) * 2002-03-04 2006-01-26 Wei Cao Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867896B2 (en) * 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20030228710A1 (en) * 2002-06-10 2003-12-11 Drewes Joel A. Multilayer dielectric tunnel barrier used in magnetic tunnel junction devices, and its method of fabrication
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040016866A1 (en) * 2002-07-25 2004-01-29 Veutron Corporation Light source control method and apparatus of image scanner
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040048461A1 (en) * 2002-09-11 2004-03-11 Fusen Chen Methods and apparatus for forming barrier layers in high aspect ratio vias
US20060035025A1 (en) * 2002-10-11 2006-02-16 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
US20060018639A1 (en) * 2003-10-27 2006-01-26 Sundar Ramamurthy Processing multilayer semiconductors with multiple heat sources
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060019495A1 (en) * 2004-07-20 2006-01-26 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
US20070006398A1 (en) * 2005-05-31 2007-01-11 Leila Hercouet Composition for dyeing keratin fibers, comprising at least one diamino-N,N-dihydropyrazolone derivative, at least one coupler, and at least one heterocyclic direct dye
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070018244A1 (en) * 2005-07-20 2007-01-25 Applied Materials, Inc. Gate Electrode structures and methods of manufacture

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Material properties of TBTDET found at http://www.guidechem.com/dictionary/en/169896-41-7.html, available as of May 17 2010. *

Also Published As

Publication number Publication date
US20030224600A1 (en) 2003-12-04
US20060019494A1 (en) 2006-01-26
US7867896B2 (en) 2011-01-11
US20090197406A1 (en) 2009-08-06
US7514358B2 (en) 2009-04-07
US6972267B2 (en) 2005-12-06

Similar Documents

Publication Publication Date Title
US7867896B2 (en) Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6827978B2 (en) Deposition of tungsten films
US6939804B2 (en) Formation of composite tungsten films
US7041335B2 (en) Titanium tantalum nitride silicide layer
US6551929B1 (en) Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7745329B2 (en) Tungsten nitride atomic layer deposition processes
US7709385B2 (en) Method for depositing tungsten-containing layers by vapor deposition techniques
US6797340B2 (en) Method for depositing refractory metal layers employing sequential deposition techniques
KR101427142B1 (en) ALD of metal silicate films
CN102265383B (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
US20040009336A1 (en) Titanium silicon nitride (TISIN) barrier layer for copper diffusion
WO2003009360A2 (en) Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
WO2005034222A1 (en) Method for depositing metal layers using sequential flow deposition
US7678298B2 (en) Tantalum carbide nitride materials by vapor deposition processes
US20220084817A1 (en) Silicon oxide deposition method
CN117721436A (en) Method and assembly for selectively depositing transition metals
Van der Straten Atomic layer deposition of tantalum nitride liner and indium surfactant materials for applications in nanoscale copper interconnect technology

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CAO, WEI;CHUNG, HUA;KU, VINCENT;AND OTHERS;SIGNING DATES FROM 20030508 TO 20030519;REEL/FRAME:026101/0804

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION