US20120032265A1 - Graded high germanium compound films for strained semiconductor devices - Google Patents

Graded high germanium compound films for strained semiconductor devices Download PDF

Info

Publication number
US20120032265A1
US20120032265A1 US13/020,945 US201113020945A US2012032265A1 US 20120032265 A1 US20120032265 A1 US 20120032265A1 US 201113020945 A US201113020945 A US 201113020945A US 2012032265 A1 US2012032265 A1 US 2012032265A1
Authority
US
United States
Prior art keywords
germanium content
layer
gate
germanium
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/020,945
Inventor
Danielle Simonelli
Anand Murthy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/020,945 priority Critical patent/US20120032265A1/en
Publication of US20120032265A1 publication Critical patent/US20120032265A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Definitions

  • the field of invention relates generally to the field of semiconductor integrated circuit manufacturing and, more specifically but not exclusively, relates to planar and three-dimensional complementary metal oxide semiconductor (CMOS) devices comprising a compound layer with graded germanium content.
  • CMOS complementary metal oxide semiconductor
  • MOS metal oxide semiconductor
  • An alternative to methods of building planar MOS transistors is applied to alleviate some of the physical barriers to scaling down planar designs.
  • the alternative method involves the construction of three dimensional MOS transistors, in the form of a multi-gate transistor such as a dual-gate field effect transistor (FinFET) or a tri-gate field effect transistor as a replacement for the conventional planar MOS transistor.
  • a multi-gate transistor such as a dual-gate field effect transistor (FinFET) or a tri-gate field effect transistor as a replacement for the conventional planar MOS transistor.
  • a tri-gate field effect transistor comprises three substantially equal length gates situated on three exposed surfaces of a body whereas a FinFET comprises two equal length gates situated along the sides of a narrow body, or fin.
  • FIG. 1 is a cross-sectional view of a planar MOS device illustrating a gate electrode on a substrate.
  • FIG. 2 is an illustration of the device of FIG. 1 with spacers formed on the sides of the gate electrode.
  • FIG. 3 is an illustration of the device of FIG. 2 after etching portions of the substrate to form source/drain and source/drain extension cavities.
  • FIG. 4 is an illustration of the device of FIG. 3 after wet etching the source/drain and source/drain extension cavities.
  • FIG. 5 is an illustration of the device of FIG. 4 after forming source/drain and source/drain extension regions.
  • FIG. 6 is an illustration of a multi-gate device with a dielectric layer on a multi-gate body.
  • FIG. 7 is an illustration of the device of FIG. 6 after depositing a workfunction metal layer on the dielectric layer.
  • FIG. 8 is an illustration of the device of FIG. 7 after forming a protective mask on the workfunction metal layer.
  • FIG. 9 is an illustration of the device of FIG. 8 after anisotropically etching the workfunction metal layer to form a gate electrode.
  • FIG. 10 is an illustration of the device of FIG. 9 after forming gate isolation spacers on the gate electrode.
  • FIG. 11 is an illustration of the device of FIG. 10 after removing portions of the body to provide a channel region.
  • FIG. 12 is an illustration of the device of FIG. 11 after forming epitaxial source/drain regions.
  • FIG. 13 illustrates a system with a central processing unit comprising a graded high germanium compound film.
  • FIG. 14 is an illustration of a graded high-germanium content silicon-germanium region on a substrate.
  • FIG. 15 is a flowchart describing one embodiment of a fabrication process used to form a strained semiconductor device with a graded high-germanium content silicon-germanium region.
  • Performance of planar and multi-gate MOS transistors can be improved through implementation of a graded high-germanium content compound, such as a silicon-germanium alloy in the source and drain regions of the transistor. It would be an advance in the art of semiconductor device manufacturing to provide a method to selectively form a graded high-germanium content region of silicon-germanium material with a desired crystal structure at a desirable film growth rate. It would be a further advance in the art to selectively form a graded high germanium content silicon-germanium region with enhanced within wafer-uniformity of layer thickness and germanium concentration. Further, it would be an advance in the art to grow the graded high germanium content silicon-germanium region at a growth rate that is cost effective in a manufacturing environment.
  • a graded high-germanium content compound such as a silicon-germanium alloy
  • a strained high germanium compound film including a graded transition layer in the source/drain (S/D) regions of a pMOS device can provide the combined effect of contact resistance reduction and mobility enhancement resulting from the strained configuration. More specifically, it would be an advance in the art to provide a graded transition layer with full strain at or near theoretical limits of germanium concentration. These benefits may result from the apparatus and methods described below.
  • FIG. 1 is a cross-sectional view of a planar MOS device 100 illustrating a gate stack 150 on a substrate 110 .
  • the substrate 110 may comprise bulk silicon optionally including a silicon-on-insulator substructure.
  • substrate 110 may comprise other materials—which may or may not be combined with silicon—such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • the gate stack 150 may include a gate dielectric layer 120 and a sacrificial gate electrode 130 .
  • the gate stack 150 may include a silicon dioxide gate dielectric layer and a polysilicon gate electrode.
  • the gate dielectric layer 120 may be formed from materials such as silicon dioxide or high-k dielectric materials.
  • high-k gate dielectric materials examples include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
  • the gate dielectric layer 120 may be between around 5 Angstroms ( ⁇ ) to around 50 ⁇ thick.
  • additional processing may be performed on the gate dielectric layer 120 , such as an annealing process to improve the quality of a high-k material.
  • the gate stack 150 may also include a gate hard mask layer 140 that provides certain benefits or uses during processing, such as protecting the gate electrode 130 from subsequent ion implantation processes.
  • this hard mask layer 140 may be formed using materials conventionally used as hard masks, such as conventional dielectric materials.
  • FIG. 2 is an illustration of the device of FIG. 1 after forming the doped portions 210 in the substrate 110 and forming spacers 220 on the sides of the gate electrode 150 .
  • the doped portion 210 When exposed to an appropriate etchant, the doped portion 210 will etch at a rate that is higher than the etch rate of the surrounding substrate material.
  • One of the doped portions 210 will serve as a portion of a source region, including a self-aligned epitaxial source extension, for the MOS transistor being formed.
  • the other doped portion 210 will serve as a portion of a drain region, including a self-aligned epitaxial drain extension, for the MOS transistor.
  • regions of the doped portions are sited below the gate dielectric layer 120 .
  • the size of the doped portions 210 including their depth, may vary based on the requirements of the MOS transistor being formed.
  • the spacers 220 may be formed using conventional materials, including but not limited to silicon oxide or silicon nitride.
  • the width of the spacers 220 may be chosen based on design requirements for the MOS transistor being formed. In accordance with implementations of the invention, the width of the spacers 220 is not subject to design constraints imposed by the formation of the epitaxial source and drain extensions.
  • a dry etch process may be carried out to etch the doped portions 210 and to etch portions of the substrate to form cavities in which source/drain regions and source/drain extensions may be formed.
  • the etched cavities are adjacent to the gate stack 150 .
  • the etched cavities may be formed to a depth that falls between 50 nm and 1500 nm, which is deeper than the doped regions. The etching process will therefore remove a minimal amount of substrate material subjacent to the doped portions 210 .
  • the dry etch process employs an etchant recipe that complements the dopant used in the ion implantation process to increase the etch rate of the doped regions. This enables the etching process to remove the doped regions at a faster rate than the remainder of the substrate. As such, with an appropriate increase in etch rate, the etching process can remove substantially all of the material from the doped portions 210 by the time the etching of the cavities is complete. This includes portions of the doped regions that undercut the spacers and the gate dielectric layer, thereby defining the self-aligned extension architecture of the transistor. Increasing the etch rate of the doped portions 210 enables the etched source and drain extension cavities to undercut the spacers and the gate dielectric layer.
  • the dry etch process may use a chlorinated chemistry that takes place in a plasma reactor.
  • the etchant recipe may consist of a combination of NF 3 and Cl 2 with argon or helium used as a buffer or carrier gas.
  • the flow rate for the active etchant species may vary between 50 and 200 standard cubic centimeters per minute (SCCM) while the flow rate of the carrier gas may vary between 150 and 400 SCCM.
  • SCCM standard cubic centimeters per minute
  • a high energy plasma may be employed at a power that ranges from 700 W to 1100 W with a low RF bias of less than 100 W.
  • the reactor pressure may range from around 1 pascal (Pa) to around 2 Pa.
  • the etchant chemistry may consist of a combination of HBr and Cl 2 .
  • the flow rate for the etchant species may vary between 40 SCCM and 100 SCCM.
  • a high energy plasma may be employed at a power that ranges from around 600 W to around 1000 W with a low RF bias of less than 100 W.
  • the reactor pressure may range from around 0.3 Pa to around 0.8 Pa.
  • the etchant chemistry may consist of a combination of SF 6 and Cl 2 .
  • the SF 6 flow rate may vary between 3 SCCM and 10 SCCM and the Cl 2 flow rate may vary between 20 SCCM and 60 SCCM.
  • a high energy plasma may be employed at a power that ranges from around 400 W to around 900 W with no RF bias or an RF bias of less than 50 W.
  • the SF 6 flow rate and the reactor pressure may be kept low to reduce the rate of removal and to maximize control.
  • the reactor pressure may range from around 0.1 Pa to around 0.5 Pa.
  • the etchant chemistry may consist of a combination of Ar and Cl 2 .
  • the flow rate for the etchant species may vary between 40 SCCM and 80 SCCM.
  • a medium energy plasma may be employed at a power that ranges from around 400 W to around 800 W with a high RF bias of between around 100 W and 200 W.
  • the reactor pressure may range from around 1 Pa to around 2 Pa.
  • FIG. 3 is an illustration of the device of FIG. 2 after etching portions of the substrate 110 to form source/drain and source/drain extension cavities.
  • a source region cavity 310 and a drain region cavity 320 are formed.
  • a source extension cavity 330 and a drain extension cavity 340 have been formed by the etching of the doped portions 210 .
  • the thickness of the spacers 220 has minimal impact on the etching of the source extension cavity 330 and the drain extension cavity 340 due to the use of dopants and etchant recipes that increase the etch rate of the doped portions 210 .
  • a wet etch process may be applied to clean and further etch the source region cavity 310 , the source extension cavity 330 , the drain region cavity 320 , and the drain extension cavity 340 .
  • Conventional wet etch chemistries known in the art for cleaning silicon and oxide material may be used.
  • wet etch chemistries capable of removing silicon along its crystallographic planes may be used.
  • the wet etch serves at least two objectives. First, the wet etch removes contaminants such as carbon, fluorine, chlorofluorocarbons, and oxides such as silicon oxide to provide a clean surface upon which subsequent processes may be carried out. Second, the wet etch removes a thin portion of the substrate along the ⁇ 111> and ⁇ 001> crystallographic planes to provide a smooth surface upon which a high quality epitaxial deposition may occur. The thin portion of the substrate that is etched away may be up to 5 nm thick and may also remove residual contaminants. As shown in FIG.
  • the wet etch causes edges of the source region cavity 310 , the source extension cavity 330 , the drain region cavity 320 , and the drain extension cavity 340 to follow the ⁇ 111> and ⁇ 001> crystallographic planes. It should also be noted that the source and drain extensions 330 and 340 do not have the bulleted profile that occurs in conventional processing.
  • the source and drain region cavities may be filled with a compound film such as a silicon germanium alloy using a selective epitaxial deposition process.
  • the epitaxial deposition process is used to form the source and drain regions and the source and drain extensions in one process.
  • the silicon alloy may be in-situ doped silicon germanium, whereby the in-situ doped silicon germanium may be doped with one or more of boron and/or phosphorus.
  • the silicon germanium alloy material deposited in the source and drain region cavities has a lattice spacing that is different than the lattice spacing of the material or materials used to form the substrate 110 .
  • the difference in lattice spacing induces a tensile or compressive stress in the channel region of the MOS transistor that is accentuated by depositing the high germanium compound alloy in the source extension cavity 330 and the drain extension cavity 340 .
  • the source region cavity 310 and the drain region cavity 320 may be filled with a graded germanium silicon alloy such as the graded high-germanium content silicon germanium region according to one or methods such as the embodiment described below in FIG. 15 .
  • the graded germanium silicon alloy may be epitaxially deposited with a graded germanium concentration that may range from 10 atomic % to 50 atomic %.
  • the graded germanium silicon alloy may be further doped in situ with boron.
  • the boron concentration may range from 2 ⁇ 10 19 /cm 3 to 7 ⁇ 10 20 /cm 3 .
  • the thickness of the graded germanium silicon alloy may range from 40 ⁇ to 1500 ⁇ .
  • FIG. 5 is an illustration of the device of FIG. 4 in which the source region cavity 310 has been filled with a graded high-germanium content silicon-germanium stack 1400 , further described in FIG. 14 , to form a source region 510 and the drain region cavity 320 has been filled with a graded high-germanium content silicon-germanium stack 1400 , also further described in FIG. 14 , to form a drain region 520 .
  • the extensions have been filled with the graded high-germanium content silicon-germanium stack 1400 to form an epitaxial source extension 530 and an epitaxial drain extension 540 .
  • the self-aligned, epitaxial source and drain extensions of the invention have an abrupt boundary.
  • the interface between the epitaxial source/drain extensions and the channel region is clear and well-defined.
  • the epitaxially deposited doped silicon material On one side of the interface is the epitaxially deposited doped silicon material and on the other side of the interface is the substrate material that makes up the channel region.
  • the dopants in the epitaxial source/drain extensions remain substantially or completely within the extensions and do not tend to diffuse into the channel region, thereby enabling the epitaxial source and drain extensions to bring the heavily doped silicon material in very close proximity to the channel region relative to conventional techniques.
  • this in turn enables the gate length to be scaled down without having to shorten the channel region.
  • Forming the epitaxial source and drain extensions in relatively close proximity to the channel region also imparts a larger hydrostatic stress on the channel. This stress increases the strain within the channel, thereby increasing mobility in the channel and increasing drive current. This stress can be further amplified by increasing the doping of the epitaxial source and drain extensions, which is easily controlled during the epitaxial deposition of the graded germanium silicon alloy.
  • the planar MOS device 100 may undergo further MOS processing, such as replacement gate oxide processes, replacement metal gate processes, annealing, or salicidation processes, that may further modify the device and/or provide the necessary electrical interconnections.
  • MOS processing such as replacement gate oxide processes, replacement metal gate processes, annealing, or salicidation processes, that may further modify the device and/or provide the necessary electrical interconnections.
  • an interlayer dielectric ILD may be deposited and planarized over the device.
  • the ILD may be formed using materials known for the applicability in dielectric layers for integrated circuit structures, such as low-k dielectric materials.
  • dielectric materials include, but are not limited to, oxides such as silicon dioxide (SiO 2 ) and carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass.
  • the dielectric layer may include pores or other voids to further reduce its dielectric constant.
  • FIG. 5 illustrates an ILD layer 550 that has been deposited over the planar MOS device 100 .
  • FIGS. 6-12 provide illustrative views representing the formation of strain-inducing source/drain regions in a non-planar device in accordance with an embodiment of the present invention.
  • FIG. 6 is an illustration of a multi-gate device 600 after depositing a multi-gate dielectric layer 610 on a multi-gate body 620 .
  • the multi-gate transistor in FIG. 6 is a tri-gate transistor with three substantially equal length gates.
  • the multi-gate transistor is a dual-gate field effect transistor (FinFET) with two gates.
  • the multi-gate dielectric layer 610 may comprise at least one of silicon oxide or a high-K material.
  • the high-K material comprises at least one of lanthanum oxide, tantalum oxide, titanium oxide, hafnium oxide, zirconium oxide, lead-zirconate-titanate, barium-strontium-titanate, or aluminum oxide.
  • the multi-gate dielectric layer 610 is deposited as a conformal layer on the multi-gate body 620 using methods known to persons having ordinary skill in the art, such as plasma enhanced chemical vapor deposition (PECVD), high density chemical vapor deposition (HDCVD), molecular organic chemical vapor deposition (MOCVD), Atomic Layer Deposition (ALD), or sputtering.
  • PECVD plasma enhanced chemical vapor deposition
  • HDCVD high density chemical vapor deposition
  • MOCVD molecular organic chemical vapor deposition
  • ALD Atomic Layer Deposition
  • the multi-gate body 620 may comprise silicon, Germanium, or III-V semiconductor such as gallium arsenide (GaAs) and indium antimonide (InSb).
  • the multi-gate body 620 may be formed from an epitaxial layer, a monocrystalline substrate or from a silicon-on-insulator (SOI) layer.
  • SOI silicon-on-insulator
  • FIG. 7 depicts the multi-gate device 600 in FIG. 6 after depositing a conformal workfunction metal layer 710 at a desired thickness on the multi-gate dielectric layer 610 .
  • a workfunction metal is a metal with a known work function, which is an inherent characteristic of the metal expressed in units of electron volts (eV).
  • the workfunction metal layer 710 comprises at least one of titanium nitride, tantalum nitride, or another transition nitride metal.
  • a workfunction metal layer thickness is a function of the targeted threshold voltage (V t ) for the multi-gate device.
  • the workfunction metal layer is formed using a directional sensitive physical vapor deposition (PVD) process.
  • PVD physical vapor deposition
  • Deposition of the workfunction metal layer 710 using the PVD process is characterized by a microstructure that comprises columnar grains that nucleate at a surface and grow normal to the surface.
  • a workfunction metal layer 710 with columnar grains may be formed using layering techniques including molecular beam epitaxy (MBE), chemical vapor deposition (CVD), electroplating, or evaporation.
  • FIG. 8 illustrates the multi-gate device 600 in FIG. 7 after forming a protective mask comprising a multi-gate electrode 810 , such as polysilicon, and a hard mask 820 on a portion of the workfunction metal layer 710 .
  • the protective mask is formed by a series of deposition, lithography, and etch processes known to one ordinarily skilled in the art.
  • the multi-gate electrode 810 may be doped or un-doped and the hard mask 820 may comprise silicon nitride or silicon oxynitride.
  • FIG. 9 illustrates the multi-gate device 600 in FIG. 8 after anisotropically etching an exposed region of the workfunction metal layer 710 .
  • the exposed region of the workfunction metal layer 710 is etched using a wet etch process utilizing a wet-etchant comprising a base and an oxidant.
  • the appropriate wet-etch process is selectively designed to etch the workfunction metal layer 710 without significantly eroding the polysilicon 810 or the hard mask 820 .
  • the base may comprise at least one of ammonium hydroxide (NH 4 OH), tetramethylammonium hydroxide (TMAH), or potassium hydroxide (KOH).
  • the oxidant may comprise at least one of hydrogen peroxide (H 2 O 2 ) or ozone (O 3 ).
  • the workfunction metal layer 710 is etched anisotropically, meaning that an etch rate in the direction normal to a surface is much higher than in a direction parallel to the surface.
  • the workfunction metal layer 710 etches anisotropically because an etch rate of the workfunction metal layer 710 in a direction normal to the gate surface is much faster than an etch rate of the workfunction metal layer 710 in a direction parallel to the gate surface. This allows protected regions of the workfunction metal layer 710 to remain largely intact while the exposed regions of the workfunction metal layer 710 are etched by the wet-etchant.
  • FIG. 10 is an illustration of the device of FIG. 9 after forming gate isolation spacers 1010 adjacent to the multi-gate electrode 810 .
  • the gate isolation spacers 1010 protect the multi-gate electrode 810 , the workfunction metal layer 710 , and the multi-gate dielectric layer 610 during subsequent processing.
  • FIG. 11 is an illustration of the device of FIG. 10 after removing portions of the multi-gate body 620 to provide a multi-gate channel region 1110 .
  • FIG. 12 is an illustration of the device of FIG. 11 after epitaxially forming source and drain regions 1210 .
  • the epitaxially formed source/drain regions 1210 are formed using a graded high germanium content silicon-germanium film stack.
  • the epitaxially formed source/drain regions 1210 may be formed using a graded high-germanium content silicon-germanium film stack 1400 , further described in FIG. 14 , according to one or methods such as the embodiment described below in FIG. 15 .
  • FIG. 13 illustrates a communications system 1300 with a central processing unit (CPU) 1310 for processing data comprising transistors with a graded high-germanium content silicon-germanium region in accordance with one embodiment.
  • the communications system 1300 may include a motherboard 1320 with the CPU 1310 , and a networking interface 1330 coupled to a bus 1340 . More specifically, the CPU 1310 may comprise the graded high-germanium content silicon-germanium region and/or its method of fabrication.
  • the communications system 1300 may additionally include other components, including but are not limited to volatile and non-volatile memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, mass storage (such as hard disk, compact disk (CD), digital versatile disk (DVD) and so forth), and so forth.
  • volatile and non-volatile memory e.g., volatile and non-volatile memory
  • graphics processor e.g., graphics processor
  • a digital signal processor e.g., a graphics processor
  • a digital signal processor e.g., a graphics processor
  • a digital signal processor e.g., a graphics processor
  • a digital signal processor e.g., a graphics processor
  • a digital signal processor e.g., a graphics processor
  • crypto processor e.g., digital signal processor
  • chipset e.g., graphics processor
  • crypto processor e.g., graphics processor
  • crypto processor e.g., graphics processor
  • chipset e
  • communications system 1300 may be a personal digital assistant (PDA), a mobile device, a tablet computing device, a laptop computing device, a desktop computing device, a set-top box, an entertainment control unit, a digital camera, a digital video recorder, a CD player, a DVD player, or other digital device of the like.
  • PDA personal digital assistant
  • mobile device a tablet computing device, a laptop computing device, a desktop computing device, a set-top box, an entertainment control unit, a digital camera, a digital video recorder, a CD player, a DVD player, or other digital device of the like.
  • FIG. 15 is a flowchart describing one embodiment of a fabrication process used to form a strained semiconductor device comprising a graded high-germanium content silicon-germanium stack 1400 with a crystalline structure, as shown in FIG. 14 .
  • the graded high-germanium content silicon-germanium stack 1400 comprises a stack of layers grown at a pressure ranging between 10-150 Torr (T) using a carrier gas such as hydrogen (H2) at a flow ranging between 10-40 standard liters per minute (slm) on a substrate 110 with an epitaxial deposition process using a deposition tool such as an Applied Materials Centura® or an ASM Epsilon® tool.
  • a deposition tool such as an Applied Materials Centura® or an ASM Epsilon® tool.
  • a bottom layer is selectively formed preferentially on exposed regions of the substrate 110 in element 1500 .
  • the bottom layer is a silicon-germanium seed layer 1410 with a relatively low germanium content ranging between 20-25 atomic weight % and deposited for a time ranging between 10-30 seconds to provide a final thickness ranging between 100 ⁇ -300 ⁇ .
  • the silicon-germanium seed layer 1410 may optionally be doped with boron to a concentration ranging between 5.0 ⁇ 10 19 atoms/cm 3 to 1.5 ⁇ 10 20 atoms/cm 3 .
  • the silicon-germanium seed layer 1410 may be deposited in a temperature range between 700-800 degrees Celsius (° C.) or more preferably between 745-765° C.
  • the silicon-germanium seed layer 1410 may be formed using a mixture of process gases comprising hydrogen chloride (HCl), dichlorosilane (DCS), germane (GeH4), and diborane (B2H6) with a HCl flow between 20-200 standard cubic centimeters per minute (sccm), DCS flow between 10-100 sccm, germane flow between 20-200 sccm using a mixture of 1% germane and hydrogen balance, and diborane flow between 10-75 sccm.
  • HCl hydrogen chloride
  • DCS dichlorosilane
  • GeH4 germane
  • B2H6 diborane
  • a transition layer 1420 is selectively formed on silicon-germanium seed layer 1410 in element 1510 to provide a very gentle transition between a base nucleation layer, such as the silicon-germanium seed layer 1410 , to a high germanium content layer 1430 .
  • a lower portion of the transition layer 1420 adjacent and/or close to the silicon-germanium seed layer 1410 may have a germanium content that is substantially equal to the silicon-germanium seed layer 1410 , 20-25 atomic weight %, at a silicon-germanium seed layer 1410 /transition layer 1420 interface.
  • an upper portion of the transition layer 1420 adjacent and/or close to a high germanium content layer 1430 may have a germanium content that is substantially equal to the high germanium content layer 1430 , substantially ranging between 40-55 atomic weight % at a transition layer 1420 /high germanium content layer 1430 interface.
  • a thickness of the transition layer 420 may range between 150 ⁇ and 450 ⁇ .
  • the transition layer 1420 may be formed with an epitaxial process in a dynamic manner by decrementing a process temperature from a starting temperature substantially equal to the formation temperature of the silicon-germanium seed layer 1410 , ranging between 700-800° C. or more preferably between 745-765° C.
  • the formation temperature is decremented in a substantially linear manner from the starting temperature to an ending temperature.
  • the ending temperature or second temperature in one embodiment, is substantially between 25-100° C. lower than the starting temperature, or first temperature, or more preferably is approximately 45-55° C. lower than the starting temperature or first temperature.
  • the transition layer 1420 is deposited over a time period ranging between 20-60 seconds.
  • the transition layer 1420 is further formed epitaxially by decrementing a gas mixture flow of DCS, HCl, and GeH 4 from a higher flow to a lower flow during the formation of the transitional layer 1420 . If doping the transition layer 1420 , the diborane flow is increased while forming the transitional layer 1420 to provide a boron concentration substantially equal to or greater than 2.0 ⁇ 10 20 atoms/cm 3 at or near the transition layer 1420 /high germanium content layer 1430 interface. Formation of the transition layer 1420 in this embodiment provides a graded silicon-germanium film that is selectively formed at a growth rate of approximately 300 ⁇ /minute with equal to or less than 2% film relaxation and health film morphology.
  • the high germanium content layer 1430 is selectively formed in element 1520 on the transitional layer 1420 to provide a strained transistor structure. In one embodiment, the high germanium content layer 1430 is formed while leaving the process recipe parameters constant after the formation of a final portion of the transition layer 1420 .
  • the high germanium content layer 1430 in this embodiment is formed with a thickness ranging between 300 ⁇ -600 ⁇ and a germanium content ranging between 40-55 atomic weight %.
  • the high germanium content layer 1430 may optionally be doped with boron to a concentration ranging between 2.0 ⁇ 10 20 atoms/cm 3 to 3.0 ⁇ 10 20 atoms/cm 3 .
  • terms designating relative vertical position refer to a situation where a device side (or active surface) of a substrate or integrated circuit is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and still fall within the meaning of the term “top.”
  • the term “on” as used herein does not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer.
  • the embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations.

Abstract

Embodiments of an apparatus and methods for providing a graded high germanium compound region are generally described herein. Other embodiments may be described and claimed.

Description

    REFERENCE TO RELATED INVENTIONS
  • The present non-provisional application claims priority to U.S. non-provisional patent application Ser. No. 12/316,510 filed Dec. 11, 2008, entitled “GRADED HIGH GERMANIUM COMPOUND FILMS FOR STRAINED SEMICONDUCTOR DEVICES.”
  • FIELD OF THE INVENTION
  • The field of invention relates generally to the field of semiconductor integrated circuit manufacturing and, more specifically but not exclusively, relates to planar and three-dimensional complementary metal oxide semiconductor (CMOS) devices comprising a compound layer with graded germanium content.
  • BACKGROUND INFORMATION
  • In a conventional metal oxide semiconductor (MOS) field effect transistor, the source, channel, and drain structures are constructed adjacent to each other within the same plane. Typically, a gate dielectric is formed on the channel area and a gate electrode is deposited on the gate dielectric. The transistor is controlled by applying a voltage to the gate electrode, thereby allowing a current to flow through the channel between source and drain.
  • An alternative to methods of building planar MOS transistors is applied to alleviate some of the physical barriers to scaling down planar designs. The alternative method involves the construction of three dimensional MOS transistors, in the form of a multi-gate transistor such as a dual-gate field effect transistor (FinFET) or a tri-gate field effect transistor as a replacement for the conventional planar MOS transistor.
  • Three-dimensional transistor designs such as the FinFET and the tri-gate field effect transistor allow tighter packing of the same number of transistors on a semiconductor chip by using vertical or angled surfaces for the gates. A tri-gate field effect transistor comprises three substantially equal length gates situated on three exposed surfaces of a body whereas a FinFET comprises two equal length gates situated along the sides of a narrow body, or fin.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing aspects and many of the attendant advantages of this invention will become more readily appreciated as the same becomes better understood by reference to the following detailed description, when taken in conjunction with the accompanying drawings, wherein like reference numerals refer to like parts throughout the various views unless otherwise specified:
  • FIG. 1 is a cross-sectional view of a planar MOS device illustrating a gate electrode on a substrate.
  • FIG. 2 is an illustration of the device of FIG. 1 with spacers formed on the sides of the gate electrode.
  • FIG. 3 is an illustration of the device of FIG. 2 after etching portions of the substrate to form source/drain and source/drain extension cavities.
  • FIG. 4 is an illustration of the device of FIG. 3 after wet etching the source/drain and source/drain extension cavities.
  • FIG. 5 is an illustration of the device of FIG. 4 after forming source/drain and source/drain extension regions.
  • FIG. 6 is an illustration of a multi-gate device with a dielectric layer on a multi-gate body.
  • FIG. 7 is an illustration of the device of FIG. 6 after depositing a workfunction metal layer on the dielectric layer.
  • FIG. 8 is an illustration of the device of FIG. 7 after forming a protective mask on the workfunction metal layer.
  • FIG. 9 is an illustration of the device of FIG. 8 after anisotropically etching the workfunction metal layer to form a gate electrode.
  • FIG. 10 is an illustration of the device of FIG. 9 after forming gate isolation spacers on the gate electrode.
  • FIG. 11 is an illustration of the device of FIG. 10 after removing portions of the body to provide a channel region.
  • FIG. 12 is an illustration of the device of FIG. 11 after forming epitaxial source/drain regions.
  • FIG. 13 illustrates a system with a central processing unit comprising a graded high germanium compound film.
  • FIG. 14 is an illustration of a graded high-germanium content silicon-germanium region on a substrate.
  • FIG. 15 is a flowchart describing one embodiment of a fabrication process used to form a strained semiconductor device with a graded high-germanium content silicon-germanium region.
  • DETAILED DESCRIPTION
  • In various embodiments, various embodiment of an apparatus and methods of forming a compound layer with graded germanium content on a substrate are illustrated and described. However, one skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Nevertheless, the invention may be practiced without specific details. Furthermore, it is understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
  • Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but do not denote that they are present in every embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments.
  • Various operations will be described as multiple discrete operations in turn, in a manner that is most helpful in understanding the invention. However, the order of description should not be construed as to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation. Operations described may be performed in a different order than the described embodiment. Various additional operations may be performed and/or described operations may be omitted in additional embodiments.
  • Performance of planar and multi-gate MOS transistors can be improved through implementation of a graded high-germanium content compound, such as a silicon-germanium alloy in the source and drain regions of the transistor. It would be an advance in the art of semiconductor device manufacturing to provide a method to selectively form a graded high-germanium content region of silicon-germanium material with a desired crystal structure at a desirable film growth rate. It would be a further advance in the art to selectively form a graded high germanium content silicon-germanium region with enhanced within wafer-uniformity of layer thickness and germanium concentration. Further, it would be an advance in the art to grow the graded high germanium content silicon-germanium region at a growth rate that is cost effective in a manufacturing environment. As an example, use of a strained high germanium compound film including a graded transition layer in the source/drain (S/D) regions of a pMOS device can provide the combined effect of contact resistance reduction and mobility enhancement resulting from the strained configuration. More specifically, it would be an advance in the art to provide a graded transition layer with full strain at or near theoretical limits of germanium concentration. These benefits may result from the apparatus and methods described below.
  • Now turning to the figures, FIG. 1 is a cross-sectional view of a planar MOS device 100 illustrating a gate stack 150 on a substrate 110. The substrate 110 may comprise bulk silicon optionally including a silicon-on-insulator substructure. Alternatively, substrate 110 may comprise other materials—which may or may not be combined with silicon—such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Although a few examples of materials from which substrate 110 may be formed are described here, any material that may serve as a foundation upon which a semiconductor device may be built falls within the scope of the present invention.
  • In the implementation described here, the gate stack 150 may include a gate dielectric layer 120 and a sacrificial gate electrode 130. In other implementations, the gate stack 150 may include a silicon dioxide gate dielectric layer and a polysilicon gate electrode. The gate dielectric layer 120 may be formed from materials such as silicon dioxide or high-k dielectric materials. Examples of high-k gate dielectric materials that may be used include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. In some embodiments, the gate dielectric layer 120 may be between around 5 Angstroms (Å) to around 50 Å thick. In further embodiments, additional processing may be performed on the gate dielectric layer 120, such as an annealing process to improve the quality of a high-k material.
  • The gate stack 150 may also include a gate hard mask layer 140 that provides certain benefits or uses during processing, such as protecting the gate electrode 130 from subsequent ion implantation processes. In implementations of the invention, this hard mask layer 140 may be formed using materials conventionally used as hard masks, such as conventional dielectric materials. After the gate stack is formed, an ion implantation process is carried out to form doped portions 210 of the substrate 110 adjacent to the gate stack 150, as shown in FIG. 2.
  • FIG. 2 is an illustration of the device of FIG. 1 after forming the doped portions 210 in the substrate 110 and forming spacers 220 on the sides of the gate electrode 150. When exposed to an appropriate etchant, the doped portion 210 will etch at a rate that is higher than the etch rate of the surrounding substrate material. One of the doped portions 210 will serve as a portion of a source region, including a self-aligned epitaxial source extension, for the MOS transistor being formed. The other doped portion 210 will serve as a portion of a drain region, including a self-aligned epitaxial drain extension, for the MOS transistor. In the implementation shown, regions of the doped portions are sited below the gate dielectric layer 120. In the various implementations of the invention, the size of the doped portions 210, including their depth, may vary based on the requirements of the MOS transistor being formed.
  • The spacers 220 may be formed using conventional materials, including but not limited to silicon oxide or silicon nitride. The width of the spacers 220 may be chosen based on design requirements for the MOS transistor being formed. In accordance with implementations of the invention, the width of the spacers 220 is not subject to design constraints imposed by the formation of the epitaxial source and drain extensions.
  • After the spacers 220 are formed on the substrate 110, a dry etch process may be carried out to etch the doped portions 210 and to etch portions of the substrate to form cavities in which source/drain regions and source/drain extensions may be formed. The etched cavities are adjacent to the gate stack 150. The etched cavities may be formed to a depth that falls between 50 nm and 1500 nm, which is deeper than the doped regions. The etching process will therefore remove a minimal amount of substrate material subjacent to the doped portions 210.
  • The dry etch process employs an etchant recipe that complements the dopant used in the ion implantation process to increase the etch rate of the doped regions. This enables the etching process to remove the doped regions at a faster rate than the remainder of the substrate. As such, with an appropriate increase in etch rate, the etching process can remove substantially all of the material from the doped portions 210 by the time the etching of the cavities is complete. This includes portions of the doped regions that undercut the spacers and the gate dielectric layer, thereby defining the self-aligned extension architecture of the transistor. Increasing the etch rate of the doped portions 210 enables the etched source and drain extension cavities to undercut the spacers and the gate dielectric layer.
  • In accordance with implementations of the invention, the dry etch process may use a chlorinated chemistry that takes place in a plasma reactor. In one implementation, the etchant recipe may consist of a combination of NF3 and Cl2 with argon or helium used as a buffer or carrier gas. The flow rate for the active etchant species may vary between 50 and 200 standard cubic centimeters per minute (SCCM) while the flow rate of the carrier gas may vary between 150 and 400 SCCM. A high energy plasma may be employed at a power that ranges from 700 W to 1100 W with a low RF bias of less than 100 W. The reactor pressure may range from around 1 pascal (Pa) to around 2 Pa.
  • In another implementation, the etchant chemistry may consist of a combination of HBr and Cl2. The flow rate for the etchant species may vary between 40 SCCM and 100 SCCM. A high energy plasma may be employed at a power that ranges from around 600 W to around 1000 W with a low RF bias of less than 100 W. The reactor pressure may range from around 0.3 Pa to around 0.8 Pa. In another implementation, the etchant chemistry may consist of a combination of SF6 and Cl2. The SF6 flow rate may vary between 3 SCCM and 10 SCCM and the Cl2 flow rate may vary between 20 SCCM and 60 SCCM. A high energy plasma may be employed at a power that ranges from around 400 W to around 900 W with no RF bias or an RF bias of less than 50 W. In this implementation, the SF6 flow rate and the reactor pressure may be kept low to reduce the rate of removal and to maximize control. For instance, the reactor pressure may range from around 0.1 Pa to around 0.5 Pa. In yet another implementation, the etchant chemistry may consist of a combination of Ar and Cl2. Here, the flow rate for the etchant species may vary between 40 SCCM and 80 SCCM. A medium energy plasma may be employed at a power that ranges from around 400 W to around 800 W with a high RF bias of between around 100 W and 200 W. The reactor pressure may range from around 1 Pa to around 2 Pa.
  • FIG. 3 is an illustration of the device of FIG. 2 after etching portions of the substrate 110 to form source/drain and source/drain extension cavities. As shown, a source region cavity 310 and a drain region cavity 320 are formed. Furthermore, a source extension cavity 330 and a drain extension cavity 340 have been formed by the etching of the doped portions 210. The thickness of the spacers 220 has minimal impact on the etching of the source extension cavity 330 and the drain extension cavity 340 due to the use of dopants and etchant recipes that increase the etch rate of the doped portions 210.
  • After the dry etch process has completed, a wet etch process may be applied to clean and further etch the source region cavity 310, the source extension cavity 330, the drain region cavity 320, and the drain extension cavity 340. Conventional wet etch chemistries known in the art for cleaning silicon and oxide material may be used. For instance, wet etch chemistries capable of removing silicon along its crystallographic planes may be used.
  • The wet etch serves at least two objectives. First, the wet etch removes contaminants such as carbon, fluorine, chlorofluorocarbons, and oxides such as silicon oxide to provide a clean surface upon which subsequent processes may be carried out. Second, the wet etch removes a thin portion of the substrate along the <111> and <001> crystallographic planes to provide a smooth surface upon which a high quality epitaxial deposition may occur. The thin portion of the substrate that is etched away may be up to 5 nm thick and may also remove residual contaminants. As shown in FIG. 4, the wet etch causes edges of the source region cavity 310, the source extension cavity 330, the drain region cavity 320, and the drain extension cavity 340 to follow the <111> and <001> crystallographic planes. It should also be noted that the source and drain extensions 330 and 340 do not have the bulleted profile that occurs in conventional processing.
  • After the etching process, the source and drain region cavities, including the source and drain extensions, may be filled with a compound film such as a silicon germanium alloy using a selective epitaxial deposition process. The epitaxial deposition process is used to form the source and drain regions and the source and drain extensions in one process. In some implementations, the silicon alloy may be in-situ doped silicon germanium, whereby the in-situ doped silicon germanium may be doped with one or more of boron and/or phosphorus.
  • In implementations, the silicon germanium alloy material deposited in the source and drain region cavities has a lattice spacing that is different than the lattice spacing of the material or materials used to form the substrate 110. The difference in lattice spacing induces a tensile or compressive stress in the channel region of the MOS transistor that is accentuated by depositing the high germanium compound alloy in the source extension cavity 330 and the drain extension cavity 340.
  • In accordance with implementations of the invention, for a PMOS transistor, the source region cavity 310 and the drain region cavity 320 may be filled with a graded germanium silicon alloy such as the graded high-germanium content silicon germanium region according to one or methods such as the embodiment described below in FIG. 15. The graded germanium silicon alloy may be epitaxially deposited with a graded germanium concentration that may range from 10 atomic % to 50 atomic %. In further implementations, the graded germanium silicon alloy may be further doped in situ with boron. The boron concentration may range from 2×1019/cm3 to 7×1020/cm3. In this embodiment, the thickness of the graded germanium silicon alloy may range from 40 Å to 1500 Å.
  • FIG. 5 is an illustration of the device of FIG. 4 in which the source region cavity 310 has been filled with a graded high-germanium content silicon-germanium stack 1400, further described in FIG. 14, to form a source region 510 and the drain region cavity 320 has been filled with a graded high-germanium content silicon-germanium stack 1400, also further described in FIG. 14, to form a drain region 520. The extensions have been filled with the graded high-germanium content silicon-germanium stack 1400 to form an epitaxial source extension 530 and an epitaxial drain extension 540.
  • As shown in FIG. 5, unlike conventional source and drain tip regions formed through implant and diffusion techniques and having no clear boundary between the tip regions and the channel region, the self-aligned, epitaxial source and drain extensions of the invention have an abrupt boundary. As a result, the interface between the epitaxial source/drain extensions and the channel region is clear and well-defined. On one side of the interface is the epitaxially deposited doped silicon material and on the other side of the interface is the substrate material that makes up the channel region. The dopants in the epitaxial source/drain extensions remain substantially or completely within the extensions and do not tend to diffuse into the channel region, thereby enabling the epitaxial source and drain extensions to bring the heavily doped silicon material in very close proximity to the channel region relative to conventional techniques. As will be appreciated by those of skill in the art, this in turn enables the gate length to be scaled down without having to shorten the channel region.
  • Forming the epitaxial source and drain extensions in relatively close proximity to the channel region also imparts a larger hydrostatic stress on the channel. This stress increases the strain within the channel, thereby increasing mobility in the channel and increasing drive current. This stress can be further amplified by increasing the doping of the epitaxial source and drain extensions, which is easily controlled during the epitaxial deposition of the graded germanium silicon alloy.
  • As will be appreciated by those of skill in the art, the planar MOS device 100 may undergo further MOS processing, such as replacement gate oxide processes, replacement metal gate processes, annealing, or salicidation processes, that may further modify the device and/or provide the necessary electrical interconnections. For instance, after the epitaxial deposition of the source/drain regions and the source/drain extension, an interlayer dielectric (ILD) may be deposited and planarized over the device. The ILD may be formed using materials known for the applicability in dielectric layers for integrated circuit structures, such as low-k dielectric materials. Such dielectric materials include, but are not limited to, oxides such as silicon dioxide (SiO2) and carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass. The dielectric layer may include pores or other voids to further reduce its dielectric constant. FIG. 5 illustrates an ILD layer 550 that has been deposited over the planar MOS device 100.
  • The present invention is not limited to the formation of planar MOS devices comprising graded high germanium content silicon-germanium regions. For example, devices with a three-dimensional architecture, such as tri-gate devices, may benefit from the above process. FIGS. 6-12 provide illustrative views representing the formation of strain-inducing source/drain regions in a non-planar device in accordance with an embodiment of the present invention.
  • FIG. 6 is an illustration of a multi-gate device 600 after depositing a multi-gate dielectric layer 610 on a multi-gate body 620. The multi-gate transistor in FIG. 6 is a tri-gate transistor with three substantially equal length gates. In another embodiment (not shown) the multi-gate transistor is a dual-gate field effect transistor (FinFET) with two gates. The multi-gate dielectric layer 610 may comprise at least one of silicon oxide or a high-K material. The high-K material comprises at least one of lanthanum oxide, tantalum oxide, titanium oxide, hafnium oxide, zirconium oxide, lead-zirconate-titanate, barium-strontium-titanate, or aluminum oxide. The multi-gate dielectric layer 610 is deposited as a conformal layer on the multi-gate body 620 using methods known to persons having ordinary skill in the art, such as plasma enhanced chemical vapor deposition (PECVD), high density chemical vapor deposition (HDCVD), molecular organic chemical vapor deposition (MOCVD), Atomic Layer Deposition (ALD), or sputtering. The multi-gate body 620 may comprise silicon, Germanium, or III-V semiconductor such as gallium arsenide (GaAs) and indium antimonide (InSb). The multi-gate body 620 may be formed from an epitaxial layer, a monocrystalline substrate or from a silicon-on-insulator (SOI) layer.
  • The illustration in FIG. 7 depicts the multi-gate device 600 in FIG. 6 after depositing a conformal workfunction metal layer 710 at a desired thickness on the multi-gate dielectric layer 610. A workfunction metal is a metal with a known work function, which is an inherent characteristic of the metal expressed in units of electron volts (eV). In one embodiment, the workfunction metal layer 710 comprises at least one of titanium nitride, tantalum nitride, or another transition nitride metal. A workfunction metal layer thickness is a function of the targeted threshold voltage (Vt) for the multi-gate device.
  • In one embodiment, the workfunction metal layer is formed using a directional sensitive physical vapor deposition (PVD) process. Deposition of the workfunction metal layer 710 using the PVD process is characterized by a microstructure that comprises columnar grains that nucleate at a surface and grow normal to the surface. In another embodiment, a workfunction metal layer 710 with columnar grains may be formed using layering techniques including molecular beam epitaxy (MBE), chemical vapor deposition (CVD), electroplating, or evaporation.
  • FIG. 8 illustrates the multi-gate device 600 in FIG. 7 after forming a protective mask comprising a multi-gate electrode 810, such as polysilicon, and a hard mask 820 on a portion of the workfunction metal layer 710. The protective mask is formed by a series of deposition, lithography, and etch processes known to one ordinarily skilled in the art. The multi-gate electrode 810 may be doped or un-doped and the hard mask 820 may comprise silicon nitride or silicon oxynitride.
  • FIG. 9 illustrates the multi-gate device 600 in FIG. 8 after anisotropically etching an exposed region of the workfunction metal layer 710. The exposed region of the workfunction metal layer 710 is etched using a wet etch process utilizing a wet-etchant comprising a base and an oxidant. The appropriate wet-etch process is selectively designed to etch the workfunction metal layer 710 without significantly eroding the polysilicon 810 or the hard mask 820. The base may comprise at least one of ammonium hydroxide (NH4OH), tetramethylammonium hydroxide (TMAH), or potassium hydroxide (KOH). The oxidant may comprise at least one of hydrogen peroxide (H2O2) or ozone (O3). The workfunction metal layer 710 is etched anisotropically, meaning that an etch rate in the direction normal to a surface is much higher than in a direction parallel to the surface. The workfunction metal layer 710 etches anisotropically because an etch rate of the workfunction metal layer 710 in a direction normal to the gate surface is much faster than an etch rate of the workfunction metal layer 710 in a direction parallel to the gate surface. This allows protected regions of the workfunction metal layer 710 to remain largely intact while the exposed regions of the workfunction metal layer 710 are etched by the wet-etchant.
  • FIG. 10 is an illustration of the device of FIG. 9 after forming gate isolation spacers 1010 adjacent to the multi-gate electrode 810. The gate isolation spacers 1010 protect the multi-gate electrode 810, the workfunction metal layer 710, and the multi-gate dielectric layer 610 during subsequent processing.
  • FIG. 11 is an illustration of the device of FIG. 10 after removing portions of the multi-gate body 620 to provide a multi-gate channel region 1110. FIG. 12 is an illustration of the device of FIG. 11 after epitaxially forming source and drain regions 1210. The epitaxially formed source/drain regions 1210 are formed using a graded high germanium content silicon-germanium film stack. The epitaxially formed source/drain regions 1210 may be formed using a graded high-germanium content silicon-germanium film stack 1400, further described in FIG. 14, according to one or methods such as the embodiment described below in FIG. 15.
  • FIG. 13 illustrates a communications system 1300 with a central processing unit (CPU) 1310 for processing data comprising transistors with a graded high-germanium content silicon-germanium region in accordance with one embodiment. The communications system 1300 may include a motherboard 1320 with the CPU 1310, and a networking interface 1330 coupled to a bus 1340. More specifically, the CPU 1310 may comprise the graded high-germanium content silicon-germanium region and/or its method of fabrication. Depending on the applications, the communications system 1300 may additionally include other components, including but are not limited to volatile and non-volatile memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, mass storage (such as hard disk, compact disk (CD), digital versatile disk (DVD) and so forth), and so forth. One or more of these components may also include the earlier described graded high germanium content silicon-germanium region and/or its method of fabrication. In various embodiments, communications system 1300 may be a personal digital assistant (PDA), a mobile device, a tablet computing device, a laptop computing device, a desktop computing device, a set-top box, an entertainment control unit, a digital camera, a digital video recorder, a CD player, a DVD player, or other digital device of the like.
  • FIG. 15 is a flowchart describing one embodiment of a fabrication process used to form a strained semiconductor device comprising a graded high-germanium content silicon-germanium stack 1400 with a crystalline structure, as shown in FIG. 14. In one embodiment, the graded high-germanium content silicon-germanium stack 1400 comprises a stack of layers grown at a pressure ranging between 10-150 Torr (T) using a carrier gas such as hydrogen (H2) at a flow ranging between 10-40 standard liters per minute (slm) on a substrate 110 with an epitaxial deposition process using a deposition tool such as an Applied Materials Centura® or an ASM Epsilon® tool.
  • A bottom layer is selectively formed preferentially on exposed regions of the substrate 110 in element 1500. In one embodiment, the bottom layer is a silicon-germanium seed layer 1410 with a relatively low germanium content ranging between 20-25 atomic weight % and deposited for a time ranging between 10-30 seconds to provide a final thickness ranging between 100 Å-300 Å. The silicon-germanium seed layer 1410 may optionally be doped with boron to a concentration ranging between 5.0×1019 atoms/cm3 to 1.5×1020 atoms/cm3. The silicon-germanium seed layer 1410 may be deposited in a temperature range between 700-800 degrees Celsius (° C.) or more preferably between 745-765° C. Further, the silicon-germanium seed layer 1410 may be formed using a mixture of process gases comprising hydrogen chloride (HCl), dichlorosilane (DCS), germane (GeH4), and diborane (B2H6) with a HCl flow between 20-200 standard cubic centimeters per minute (sccm), DCS flow between 10-100 sccm, germane flow between 20-200 sccm using a mixture of 1% germane and hydrogen balance, and diborane flow between 10-75 sccm.
  • A transition layer 1420 is selectively formed on silicon-germanium seed layer 1410 in element 1510 to provide a very gentle transition between a base nucleation layer, such as the silicon-germanium seed layer 1410, to a high germanium content layer 1430. In one embodiment, a lower portion of the transition layer 1420 adjacent and/or close to the silicon-germanium seed layer 1410 may have a germanium content that is substantially equal to the silicon-germanium seed layer 1410, 20-25 atomic weight %, at a silicon-germanium seed layer 1410/transition layer 1420 interface. Further, an upper portion of the transition layer 1420 adjacent and/or close to a high germanium content layer 1430 may have a germanium content that is substantially equal to the high germanium content layer 1430, substantially ranging between 40-55 atomic weight % at a transition layer 1420/high germanium content layer 1430 interface. A thickness of the transition layer 420 may range between 150 Å and 450 Å.
  • The transition layer 1420 may be formed with an epitaxial process in a dynamic manner by decrementing a process temperature from a starting temperature substantially equal to the formation temperature of the silicon-germanium seed layer 1410, ranging between 700-800° C. or more preferably between 745-765° C. The formation temperature is decremented in a substantially linear manner from the starting temperature to an ending temperature. The ending temperature or second temperature, in one embodiment, is substantially between 25-100° C. lower than the starting temperature, or first temperature, or more preferably is approximately 45-55° C. lower than the starting temperature or first temperature. In one embodiment, the transition layer 1420 is deposited over a time period ranging between 20-60 seconds.
  • The transition layer 1420 is further formed epitaxially by decrementing a gas mixture flow of DCS, HCl, and GeH4 from a higher flow to a lower flow during the formation of the transitional layer 1420. If doping the transition layer 1420, the diborane flow is increased while forming the transitional layer 1420 to provide a boron concentration substantially equal to or greater than 2.0×1020 atoms/cm3 at or near the transition layer 1420/high germanium content layer 1430 interface. Formation of the transition layer 1420 in this embodiment provides a graded silicon-germanium film that is selectively formed at a growth rate of approximately 300 Å/minute with equal to or less than 2% film relaxation and health film morphology.
  • The high germanium content layer 1430 is selectively formed in element 1520 on the transitional layer 1420 to provide a strained transistor structure. In one embodiment, the high germanium content layer 1430 is formed while leaving the process recipe parameters constant after the formation of a final portion of the transition layer 1420. The high germanium content layer 1430 in this embodiment is formed with a thickness ranging between 300 Å-600 Å and a germanium content ranging between 40-55 atomic weight %. The high germanium content layer 1430 may optionally be doped with boron to a concentration ranging between 2.0×1020 atoms/cm3 to 3.0×1020 atoms/cm3.
  • The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed. This description and the claims following include terms, such as left, right, top, bottom, over, under, upper, lower, first, second, etc. that are used for descriptive purposes only and are not to be construed as limiting. For example, terms designating relative vertical position refer to a situation where a device side (or active surface) of a substrate or integrated circuit is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and still fall within the meaning of the term “top.” The term “on” as used herein (including in the claims) does not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer. The embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations.
  • Persons skilled in the relevant art can appreciate that many modifications and variations are possible in light of the above teaching. Persons skilled in the art will recognize various equivalent combinations and substitutions for various components shown in the Figures. It is therefore intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto.

Claims (20)

1. A strained semiconductor device, comprising:
a gate electrode on at least two gates of a multi-gate channel region;
a graded high germanium content film stack, comprising:
a low germanium content bottom layer;
a transition layer, comprising germanium, directly adjacent to the low germanium content bottom layer, wherein the transition layer transitions from a low germanium content, at an interface with the low germanium content bottom layer, to a high germanium content; and
a high germanium content layer directly adjacent to the transition layer; and
wherein the graded high germanium content film stack is configured to strain the multi-gate channel region of the strained semiconductor device.
2. The device of claim 1, further comprising a spacer formed directly adjacent to the gate electrode.
3. The device of claim 2, wherein the graded high germanium content film stack is positioned directly adjacent to the multi-gate channel region and the spacer.
4. The device of claim 1, wherein a lower portion of the transition layer has a germanium content ranging between 20-25 atomic weight % and an upper portion of the transition layer has a germanium content ranging between 40-55 atomic weight %.
5. The device of claim 1, wherein the low germanium content bottom layer is doped with boron.
6. The device of claim 1, wherein the multi-gate channel region is directly adjacent to the gate electrode, the graded high germanium content film stack, and the substrate.
7. A transistor comprising:
a gate stack and spacers on three gates of a tri-gate channel region, wherein the spacers are formed on laterally opposite sides of the gate stack; and
a graded high germanium content film stack directly adjacent to the tri-gate channel region.
8. The transistor of claim 7, wherein the graded high germanium content film is formed of a silicon-germanium seed layer, a transition layer, and a high germanium content layer.
9. The transistor of claim 8, wherein the graded high germanium content film stack is directly adjacent to the tri-gate channel region and the spacers.
10. The transistor of claim 9, wherein the graded high germanium content film stack recessed within at least one of the spacers.
11. The transistor of claim 8, wherein the graded high germanium content film stack is doped with boron.
12. The transistor of claim 8, wherein the tri-gate channel region is directly adjacent to a substrate and the gate stack is formed directly adjacent to the substrate and the three gates of a tri-gate channel region.
13. The transistor of claim 8, wherein the gate stack comprises a workfunction metal layer.
14. A multi-gate semiconductor device, comprising:
a gate stack directly adjacent to a substrate and two or more gates of a multi-gate channel region;
spacers formed on laterally opposite sides of the gate stack; and
a source region and a drain region directly adjacent the substrate and the multi-gate channel region, the source region and the drain region comprising a silicon-germanium bottom layer, a transition layer, and a high germanium content layer.
15. The device of claim 14, wherein a lower portion of the transition layer has a germanium content ranging between 20-25 atomic weight % and an upper portion of the transition layer has a germanium content ranging between 40-55 atomic weight %.
16. The device of claim 14, wherein the silicon-germanium bottom layer is doped with boron to a concentration ranging between 5.0×1019 atoms/cm3 to 1.5×1020 atoms/cm3.
17. The device of claim 15, wherein the high germanium content layer is doped with boron to a concentration ranging between 2.0×1020 atoms/cm3 to 3.0×1020 atoms/cm3.
18. The device of claim 15, wherein a thickness of the transition layer is selected from a range between 150 Å and 450 Å.
19. The device of claim 18, wherein a thickness of the silicon-germanium bottom layer is selected from a range between 100 Å-300 Å.
20. The device of claim 19, wherein a thickness of the high germanium content layer is selected from a range between 300 Å-600 Å.
US13/020,945 2008-12-11 2011-02-04 Graded high germanium compound films for strained semiconductor devices Abandoned US20120032265A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/020,945 US20120032265A1 (en) 2008-12-11 2011-02-04 Graded high germanium compound films for strained semiconductor devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/316,510 US7902009B2 (en) 2008-12-11 2008-12-11 Graded high germanium compound films for strained semiconductor devices
US13/020,945 US20120032265A1 (en) 2008-12-11 2011-02-04 Graded high germanium compound films for strained semiconductor devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/316,510 Continuation US7902009B2 (en) 2008-12-11 2008-12-11 Graded high germanium compound films for strained semiconductor devices

Publications (1)

Publication Number Publication Date
US20120032265A1 true US20120032265A1 (en) 2012-02-09

Family

ID=42239460

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/316,510 Expired - Fee Related US7902009B2 (en) 2008-12-11 2008-12-11 Graded high germanium compound films for strained semiconductor devices
US13/020,945 Abandoned US20120032265A1 (en) 2008-12-11 2011-02-04 Graded high germanium compound films for strained semiconductor devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/316,510 Expired - Fee Related US7902009B2 (en) 2008-12-11 2008-12-11 Graded high germanium compound films for strained semiconductor devices

Country Status (7)

Country Link
US (2) US7902009B2 (en)
EP (1) EP2356670A4 (en)
JP (1) JP2012510720A (en)
KR (1) KR20110050713A (en)
CN (1) CN102171794B (en)
TW (1) TWI409861B (en)
WO (1) WO2010068530A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399922B2 (en) * 2004-09-29 2013-03-19 Intel Corporation Independently accessed double-gate and tri-gate transistors
US8884298B2 (en) 2012-06-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern and method of forming the same
US8927373B2 (en) 2013-03-13 2015-01-06 Samsung Electronics Co, Ltd. Methods of fabricating non-planar transistors including current enhancing structures
US9159812B1 (en) * 2014-03-26 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Fin sidewall removal to enlarge epitaxial source/drain volume
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
US9570359B2 (en) 2013-10-31 2017-02-14 Samsung Electronics Co., Ltd. Substrate structure, complementary metal oxide semiconductor device, and method of manufacturing complementary metal oxide semiconductor device
US10741641B2 (en) 2018-06-20 2020-08-11 International Business Machines Corporation Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices
US10847427B2 (en) 2017-11-17 2020-11-24 Samsung Electronics Co., Ltd. Semiconductor device

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP2009099702A (en) * 2007-10-16 2009-05-07 Toshiba Corp Semiconductor device and its manufacturing method
US7867891B2 (en) 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8202768B2 (en) * 2009-10-07 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
CN102465336B (en) * 2010-11-05 2014-07-09 上海华虹宏力半导体制造有限公司 Method for germanium-silicon epitaxy of high germanium concentration
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US20120161105A1 (en) * 2010-12-22 2012-06-28 Willy Rachmady Uniaxially strained quantum well device and method of making same
US8957454B2 (en) * 2011-03-03 2015-02-17 International Rectifier Corporation III-Nitride semiconductor structures with strain absorbing interlayer transition modules
US8236634B1 (en) 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8871584B2 (en) 2011-07-27 2014-10-28 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
US8685825B2 (en) * 2011-07-27 2014-04-01 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
CN102931058B (en) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure and method for forming P-channel metal oxide semiconductor (PMOS) transistor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8658505B2 (en) 2011-12-14 2014-02-25 International Business Machines Corporation Embedded stressors for multigate transistor devices
CN107680968B (en) * 2011-12-28 2022-02-22 英特尔公司 Method of integrating multiple gate dielectric transistors on a tri-gate (FINFET) process
US9224604B2 (en) 2012-04-05 2015-12-29 Globalfoundries Inc. Device and method for forming sharp extension region with controllable junction depth and lateral overlap
CN103377897B (en) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 A kind of formation method of silicon germanium source/drain structure
US8884370B2 (en) * 2012-04-27 2014-11-11 International Business Machines Corporation Narrow body field-effect transistor structures with free-standing extension regions
US8901615B2 (en) 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8633516B1 (en) * 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103811340B (en) * 2012-11-09 2017-07-14 中国科学院微电子研究所 Semiconductor devices and its manufacture method
CN103839810B (en) * 2012-11-21 2017-02-22 中芯国际集成电路制造(上海)有限公司 Fin field effect transistor chip and manufacturing method thereof
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US20140264493A1 (en) * 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Fabricating the Same
US9978650B2 (en) 2013-03-13 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor channel
US9070710B2 (en) * 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US20150021689A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Asymmetrical replacement metal gate field effect transistor
US9153669B2 (en) 2014-01-29 2015-10-06 International Business Machines Corporation Low capacitance finFET gate structure
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9082698B1 (en) * 2014-03-07 2015-07-14 Globalfoundries Inc. Methods to improve FinFet semiconductor device behavior using co-implantation under the channel region
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9570554B2 (en) 2014-04-04 2017-02-14 International Business Machines Corporation Robust gate spacer for semiconductor devices
US9716172B2 (en) 2014-04-21 2017-07-25 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having multiple active area layers and its formation thereof
CN105280496B (en) * 2014-06-05 2019-06-11 联华电子股份有限公司 Semiconductor element and preparation method thereof with fin structure
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160056261A1 (en) * 2014-08-22 2016-02-25 Globalfoundries Inc. Embedded sigma-shaped semiconductor alloys formed in transistors
US9812323B2 (en) 2014-09-08 2017-11-07 Internaitonal Business Machines Corporation Low external resistance channels in III-V semiconductor devices
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9406752B2 (en) 2014-12-29 2016-08-02 Globalfoundries Inc. FinFET conformal junction and high EPI surface dopant concentration method and device
US9397162B1 (en) * 2014-12-29 2016-07-19 Globalfoundries Inc. FinFET conformal junction and abrupt junction with reduced damage method and device
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106847755B (en) * 2015-12-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 Method for improving SRAM performance
US9425196B1 (en) 2015-12-08 2016-08-23 International Business Machines Corporation Multiple threshold voltage FinFETs
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11018254B2 (en) * 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10141430B1 (en) * 2017-07-27 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures with uniform threshold voltage distribution and method of making the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10312350B1 (en) 2017-11-28 2019-06-04 International Business Machines Corporation Nanosheet with changing SiGe percentage for SiGe lateral recess
US20190221483A1 (en) * 2018-01-12 2019-07-18 Globalfoundries Inc. Single work function enablement for silicon nanowire device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
CN110571259B (en) * 2018-06-05 2023-04-07 中芯国际集成电路制造(上海)有限公司 FINFET device and preparation method thereof
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11316030B2 (en) * 2020-02-19 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087117A1 (en) * 2002-08-23 2004-05-06 Amberwave Systems Corporation Semiconductor heterostructures and related methods
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US20050156171A1 (en) * 2003-12-30 2005-07-21 Brask Justin K. Nonplanar transistors with metal gate electrodes
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100406537B1 (en) * 2001-12-03 2003-11-20 주식회사 하이닉스반도체 Method for fabricating semiconductor device
GB0212616D0 (en) * 2002-05-31 2002-07-10 Univ Warwick Formation of lattice-tuning semiconductor substrates
US7105894B2 (en) * 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
US7682952B2 (en) * 2004-11-30 2010-03-23 Massachusetts Institute Of Technology Method for forming low defect density alloy graded layers and structure containing such layers
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7348232B2 (en) * 2005-03-01 2008-03-25 Texas Instruments Incorporated Highly activated carbon selective epitaxial process for CMOS
JP2006324466A (en) * 2005-05-19 2006-11-30 Sumco Corp Manufacturing method of semiconductor wafer
WO2007100589A1 (en) * 2006-02-28 2007-09-07 Advanced Micro Devices, Inc. Transistor device having an increased threshold stability without drive current degradation
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (en) * 2006-04-26 2009-10-14 ソニー株式会社 Manufacturing method of semiconductor device
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
JP4271210B2 (en) * 2006-06-30 2009-06-03 株式会社東芝 Field effect transistor, integrated circuit device, and manufacturing method thereof
JP5076388B2 (en) * 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7700470B2 (en) 2006-09-22 2010-04-20 Intel Corporation Selective anisotropic wet etching of workfunction metal for semiconductor devices
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
US7732285B2 (en) 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US20040087117A1 (en) * 2002-08-23 2004-05-06 Amberwave Systems Corporation Semiconductor heterostructures and related methods
US20050156171A1 (en) * 2003-12-30 2005-07-21 Brask Justin K. Nonplanar transistors with metal gate electrodes
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399922B2 (en) * 2004-09-29 2013-03-19 Intel Corporation Independently accessed double-gate and tri-gate transistors
US8884298B2 (en) 2012-06-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern and method of forming the same
US8962435B2 (en) 2012-06-25 2015-02-24 Samsung Electronics Co., Ltd. Method of forming semiconductor device having embedded strain-inducing pattern
US9240481B2 (en) 2012-06-25 2016-01-19 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern
US8927373B2 (en) 2013-03-13 2015-01-06 Samsung Electronics Co, Ltd. Methods of fabricating non-planar transistors including current enhancing structures
US9570359B2 (en) 2013-10-31 2017-02-14 Samsung Electronics Co., Ltd. Substrate structure, complementary metal oxide semiconductor device, and method of manufacturing complementary metal oxide semiconductor device
US9159812B1 (en) * 2014-03-26 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Fin sidewall removal to enlarge epitaxial source/drain volume
US9490254B2 (en) 2014-03-26 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fin sidewall removal to enlarge epitaxial source/drain volume
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
US10847427B2 (en) 2017-11-17 2020-11-24 Samsung Electronics Co., Ltd. Semiconductor device
US10741641B2 (en) 2018-06-20 2020-08-11 International Business Machines Corporation Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices

Also Published As

Publication number Publication date
WO2010068530A3 (en) 2010-08-12
WO2010068530A2 (en) 2010-06-17
TWI409861B (en) 2013-09-21
EP2356670A4 (en) 2015-06-03
JP2012510720A (en) 2012-05-10
KR20110050713A (en) 2011-05-16
TW201036044A (en) 2010-10-01
EP2356670A2 (en) 2011-08-17
CN102171794B (en) 2014-05-07
US20100148217A1 (en) 2010-06-17
CN102171794A (en) 2011-08-31
US7902009B2 (en) 2011-03-08

Similar Documents

Publication Publication Date Title
US7902009B2 (en) Graded high germanium compound films for strained semiconductor devices
US10937907B2 (en) Method for fabricating transistor with thinned channel
EP2517231B1 (en) Method of forming a multi-gate transistor
US10068970B2 (en) Nanowire isolation scheme to reduce parasitic capacitance
EP3361512B1 (en) Column iv transistors for pmos integration
US10276695B2 (en) Self-aligned inner-spacer replacement process using implantation
US8084329B2 (en) Transistor devices and methods of making
US7700470B2 (en) Selective anisotropic wet etching of workfunction metal for semiconductor devices
US20120161105A1 (en) Uniaxially strained quantum well device and method of making same
US9704866B2 (en) Integrated circuit having dual material CMOS integration and method to fabricate same
US20230178618A1 (en) Channel protection of gate-all-around devices for performance optimization
US20210210637A1 (en) Fishbone long channel nanosheet device
TW202310400A (en) Semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION