US20120282779A1 - Sidewall image transfer process employing a cap material layer for a metal nitride layer - Google Patents
Sidewall image transfer process employing a cap material layer for a metal nitride layer Download PDFInfo
- Publication number
- US20120282779A1 US20120282779A1 US13/102,224 US201113102224A US2012282779A1 US 20120282779 A1 US20120282779 A1 US 20120282779A1 US 201113102224 A US201113102224 A US 201113102224A US 2012282779 A1 US2012282779 A1 US 2012282779A1
- Authority
- US
- United States
- Prior art keywords
- layer
- opl
- metal nitride
- arc
- material layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
Definitions
- a sidewall image transfer (SIT) process employs a titanium nitride layer as an etch mask for transferring a composite image of two independent images.
- An organic planarizing layer (OPL) is formed directly on the titanium nitride layer, and is consumed during the transfer of the composite pattern into the titanium nitride layer.
- OPL organic planarizing layer
- the OPL tends to be consumed during the pattern transfer into the titanium nitride layer, resulting in distortion or loss of fidelity in the transferred pattern in the titanium nitride layer.
- a method of enhancing the fidelity of pattern transfer during a SIT process is desired.
- a cap material layer is deposited on a metal nitride layer.
- An antireflective coating (ARC) layer, an organic planarizing layer (OPL), and patterned line structures are formed upon the cap material layer.
- the pattern in the patterned line structures is transferred into the ARC layer and the OPL.
- Exposed portions of the cap material layer are etched simultaneously with the etch removal of the patterned line structures and the ARC layer.
- the OPL and the dielectric cap material layer are employed to etch the metal nitride layer.
- the patterned cap material layer located over the metal nitride layer protects the top surface of the metal nitride layer, and enables high fidelity reproduction of the pattern in the metal nitride layer without pattern distortion.
- the metal nitride layer is subsequently employed as an etch mask for pattern transfer into an underlying layer.
- FIG. 1 is a vertical cross-sectional view of a first exemplary structure after deposition of a metal nitride layer, a cap material layer, an organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrels having parallel vertical sidewalls according to a first embodiment of the present disclosure.
- OPL organic planarizing layer
- ARC first antireflective coating
- FIG. 2 is a vertical cross-sectional view of the first exemplary structure after deposition of a conformal dielectric layer according to the first embodiment of the present disclosure.
- FIG. 3 is a vertical cross-sectional view of the first exemplary structure after formation of patterned line structures by anisotropically etching the conformal dielectric layer and removal of the mandrels according to the first embodiment of the present disclosure.
- FIG. 4 is a vertical cross-sectional view of the first exemplary structure after deposition of a second OPL and a second ARC layer according to the first embodiment of the present disclosure.
- FIG. 5 is a vertical cross-sectional view of the first exemplary structure after application and lithographic patterning of a block level photoresist according to the first embodiment of the present disclosure.
- FIG. 6 is a vertical cross-sectional view of the first exemplary structure after etching portions of the second ARC layer and the second OPL that are not covered by the patterned photoresist according to the first embodiment of the present disclosure.
- FIG. 7 is a vertical cross-sectional view of the first exemplary structure after simultaneous etching of the remaining portions of the second ARC layer and exposed portions of the first ARC layer, followed by simultaneous etching of the second OPL and exposed portions of the first OPL according to the first embodiment of the present disclosure.
- FIG. 8 is a vertical cross-sectional view of the first exemplary structure after simultaneous etching of the patterned spacer line structures, the first ARC layer, and exposed portions of the cap material layer according to the first embodiment of the present disclosure.
- FIG. 9 is a vertical cross-sectional view of the first exemplary structure after etching exposed portions of the metal nitride layer employing the first OPL as an etch mask according to the first embodiment of the present disclosure.
- FIG. 10 is a vertical cross-sectional view of the first exemplary structure after transferring the pattern in the metal nitride layer into an underlying material layer according to the first embodiment of the present disclosure.
- FIG. 11 is a vertical cross-sectional view of the first exemplary structure after forming conductive line structures within the underlying material layer according to the first embodiment of the present disclosure.
- FIG. 12 is a vertical cross-sectional view of a second exemplary structure after transferring the pattern in the metal nitride layer through an underlying material layer according to a second embodiment of the present disclosure.
- FIG. 13 is a vertical cross-sectional view of the second exemplary structure after forming conductive line structures within the underlying material layer according to the second embodiment of the present disclosure.
- FIG. 15 is a vertical cross-sectional view of the third exemplary structure after forming a dielectric material layer over the patterned underlying material layer according to the third embodiment of the present disclosure.
- the present disclosure relates to methods for sidewall image transfer process employing a cap material layer for a dielectric metal nitride layer, which are now described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments. The drawings are not necessarily drawn to scale.
- a metal nitride layer 40 is deposited on the adhesion promotion layer 30 , or on the underlying material layer 20 if an adhesion promotion layer is present.
- the metal nitride layer 40 includes a metal nitride such as TiN, TaN, WN, or other metal nitride that can function as an etch mask for etching the material of the underlying material layer 20 .
- the composition of the metal nitride layer 40 can be selected depending on the composition of the underlying material layer 20 .
- TiN, TaN, and WN generally function as a suitable etch mask material.
- the metal nitride layer 40 can be deposited, for example, by chemical vapor deposition (CVD), physical vapor deposition (PVD), or a combination thereof.
- the thickness of the metal nitride layer 40 can be from 2 nm to 60 nm, and typically from 4 nm to 30 nm, although lesser and greater thicknesses can also be employed.
- An organic planarizing layer is deposited on the surface of the cap material layer 50 .
- This OPL is herein referred to as a first OPL 60 .
- the first OPL 60 includes a non-photosensitive organic polymer including carbon, hydrogen, oxygen, and optionally fluorine.
- the first OPL 60 can include hydrocarbons and/or hydrofluorocarbons.
- the first OPL 60 can be formed, for example, by spin coating.
- the thickness of the first OPL 60 can be from 50 nm to 300 nm, although lesser and greater thicknesses can also be employed.
- the antireflective coating (ARC) layer is deposited on the first OPL 60 .
- the antireflective coating (ARC) layer is herein referred to as the first antireflective coating (ARC) layer 62 .
- the first ARC layer 62 can include a hydrocarbon based material having a different material composition than the first OPL 60 .
- the first ARC layer 62 comprises silicon at an atomic concentration from 1% to 50%, and typically from 15% to 43%.
- the first ARC layer 62 comprises a refractory metal at an atomic concentration from 1% to 50%, and typically from 8% to 45%.
- the first ARC layer 62 controls reflectivity of the surface (i.e., the surface of the cap material layer 50 ) over which the first OPL 60 is patterned by reducing standing waves and optical notching.
- the thickness of the first ARC layer 62 may be from 15 nm to 150 nm, and typically from 30 nm to 100 nm, although lesser and greater thicknesses are explicitly contemplated herein.
- the first ARC layer can be applied, for example, by spin coating.
- the pattern in the mandrels 70 may have another periodicity in another direction.
- overexposure or underexposure may be employed so that the width of each pattern between a neighboring pair of the mandrels 70 is less than one half of the lithographic pitch p.
- the lithographic pitch p is a lithographic dimension, i.e., a dimension that may be formed by lithographic means.
- the lithographic pitch p is the same as, or greater than, the minimum lithographic pitch that may be obtained by commercially available lithography tools. For example, if ArF lithography employing 193 nm wavelength light is used, the lithographic pitch p is the same as, or greater than 80 nm, which is the lithographic minimum pitch.
- the mandrel material layer includes amorphous carbon or other non-photosensitive material.
- a photoresist (not shown) can be applied over the mandrel material and is lithographically patterned into shapes including multiple parallel lines.
- the multiple parallel lines can have the same width and the same pitch.
- the pitch of the multiple parallel lines is a lithographic pitch, i.e., a pitch that can be printed by a single lithographic exposure employing a commercially available lithography tool and photoresist.
- a minimum lithographic pitch is herein referred to as a critical pitch, and a pitch that is less than the critical pitch is herein referred to as a sublithographic pitch.
- the pattern in the photoresist is transferred into the mandrel material layer to pattern the mandrel material layer into mandrels 70 .
- the first OPL layer 60 can be replaced by a organic layer that has degas temperature higher than the mandrel deposition temperature.
- OPL layer 60 can be replaced by amorphous carbon material through CVD deposition.
- the mandrels have parallel sidewalls.
- the parallel sidewalls of the mandrels may vertically coincide with parallel sidewalls of the patterned photoresist, or may be laterally recessed inward (so that the mandrels 70 have lesser widths than the widths of the patterned photoresist).
- the mandrels 70 have a lithographic pitch in one direction, which is a horizontal direction perpendicular to the parallel sidewalls of the mandrels 70 .
- a conformal dielectric layer 72 L is deposited on the mandrels 70 and the exposed top surface of the first ARC layer 62 .
- the conformal dielectric layer 72 L is deposited employing a conformal deposition method such as molecular layer deposition (MLD), in which multiple reactants are alternately provided in a process chamber to deposit the conformal dielectric layer.
- MLD molecular layer deposition
- the deposition of the material of the conformal dielectric layer 72 L occurs one molecular layer at a time.
- the dielectric material of the conformal dielectric layer 72 L can include, but is not limited to, silicon oxide, silicon nitride, or a combination thereof.
- the temperature of the deposition process is maintained below the decomposition temperature of the material of the mandrels 70 .
- the mandrels 70 include a photoresist, and the conformal dielectric layer includes silicon dioxide. Silicon oxide can be deposited at room temperature employing a molecular layer deposition process.
- the mandrels 70 include amorphous carbon
- the conformal dielectric layer includes silicon oxide or silicon nitride. Silicon nitride can be deposited at a temperature about 400° C. employing a molecular layer deposition process.
- any other combination of materials for the mandrels 70 and the conformal dielectric layer 72 L can be employed provided that the material of the mandrels 70 can withstand the deposition process for the conformal dielectric layer, that the conformal dielectric layer 72 L can be conformally deposited on the sidewalls of the mandrels 70 , and that the mandrels can be removed selective to the material of the conformal dielectric layer 72 L and the first ARC layer 62 .
- an anisotropic etch is performed to remove horizontal portions of the conformal dielectric layer 72 L.
- the vertical portions of the conformal dielectric layer 72 L that remains on the vertical sidewalls of the mandrels constitute patterned line structures 72 , which include the same dielectric material as the conformal dielectric layer 72 L.
- the mandrels 70 are removed by another etch, which can be an anisotropic etch or an isotropic etch, that is selective to the materials of the patterned line structures 72 and the first ARC layer 62 .
- the pattern in the patterned line structures 72 is herein referred to as a first pattern.
- the first pattern may include two patterned line structures within a lithographic pitch p. If the lithographic pitch is a minimum lithographic pitch that can be lithographically printed, the width of the patterned line structures 72 can be a sublithographic width, i.e., a width that is less than the minimum width of a patterned structure that can be formed by single exposure and development.
- a stack of a blanket organic planarizing layer and another antireflective coating layer is deposited, for example, by a spin coating.
- the blanket organic planarizing layer is herein referred to as a second OPL 80 , which can have the same composition and range of thickness as the first OPL 60 .
- the same deposition method can be employed for the second OPL 80 as for the first OPL 60 .
- the other antireflective coating layer is herein referred to as a second ARC layer 82 , which can have the same composition and range of thickness as the first ARC layer 62 .
- the same deposition method can be employed for the second ARC layer 82 as for the first ARC layer 62 .
- a block level photoresist 90 is applied over the stack of the second OPL 80 and the second ARC layer 82 .
- the block level photoresist 90 is applied directly on the second ARC layer 82 .
- the block level photoresist 90 is lithographically patterned to block areas in which the transfer of the pattern in the patterned line structures 72 is not desired. Specifically, openings in the block level photoresist 90 are formed only in areas within which the pattern of the patterned line structures is to be transferred to underlying layers.
- the lithographic pattern of the block level photoresist 90 which is herein referred to as a second pattern, may be a pattern of a periodic array, or may be an irregular pattern. In some embodiments, the dimensions of the second pattern are longer than the lithographic pitch p in the first pattern.
- the second pattern defines areas in which the first pattern is to be transferred during a subsequent image transfer, which is referred to as a sidewall image transfer (SIT) process.
- SIT sidewall image transfer
- the area of the opening in the patterned block level photoresist 90 corresponds to the area in which the first pattern is subsequently transferred into the cap material layer 50 and the metal nitride layer 40 , and the area in which the patterned block level photoresist 90 is present corresponds to the area within which the first pattern is not to be transferred.
- exposed portions of the second ARC layer 82 and the second OPL that are not covered by the patterned block level photoresist 90 are removed by an etch that employs the patterned block level photoresist 90 as an etch mask.
- This etch can be an anisotropic etch.
- the second ARC layer 82 and second OPL 80 are patterned to replicate the pattern in the patterned block level photoresist 90 , i.e., the patterned remaining portion of the blanket OPL as originally deposited is the patterned second OPL 80 .
- the patterned block level photoresist 90 is removed by the end of OPL layer 80 patterning.
- the pattern of the patterned line structures 72 is exposed within the area from which the second OPL 80 is removed, and the rest of the patterned line structures 72 outside the exposed area are covered by the patterned second OPL 80 and the second ARC layer 82 .
- the patterned line structures 72 are exposed outside the area of the patterned stack 84 after the patterning of the stack of the second ARC layer 82 and the second OPL 80 .
- the remaining second ARC layer 82 and the exposed portions of the first ARC layer 62 between the exposed patterned line structures 72 are simultaneously etched. Specifically, exposed portions of the first ARC layer 62 and the remaining portion of the second ARC layer 82 are etched simultaneously in an etch, which can be an anisotropic etch. This anisotropic etch is herein referred to as a first anisotropic etch.
- the pattern of the patterned line structures 72 is transferred into the first ARC layer 62 within the area in which the second OPL 80 is not present. Openings are formed within the first ARC layer 62 during the anisotropic etch employing at least the patterned line structures 72 as an etch mask. If the block level photoresist 90 is employed in previous processing steps, the first ARC layer 62 is patterned during the anisotropic etch employing a combination of the patterned line structures 72 and the patterned second OPL 80 as an etch mask.
- the second OPL 80 and the portions of the first OPL underlying the openings in the first ARC layer are simultaneously etched, for example, by another anisotropic etch, which is herein referred to as a second anisotropic etch.
- a second anisotropic etch is herein referred to as a second anisotropic etch.
- This anisotropic etch proceeds until the second OPL 80 is completely consumed and the trenches formed within the first OPL 60 extends to the bottom surface of the first OPL 60 , i.e., to the top surface of the cap material layer.
- the patterned line structures 72 are partly removed, the pattern present in the patterned line structures 72 within the area not covered by the second OPL is transferred through the first ARC layer, and the first OPL.
- the patterned line structures 72 , the first ARC layer 62 , and the exposed portions of the cap material layer 50 underneath the trenches within the first OPL are simultaneously etched by another etch, which can be an anisotropic etch.
- This anisotropic etch is herein referred to as a third anisotropic etch.
- the pattern in the first ARC layer 62 is transferred into the cap material layer 50 .
- the third anisotropic etch can be a reactive ion etch employing a plasma of at least one fluorocarbon gas such as CF 4 , CHF 3 , and C 4 F 8 . Argon or nitrogen can also be added to the plasma.
- the chemistry of the third anisotropic etch is selected to simultaneously etch the material of the cap material layer 50 and the materials for the patterned line structures and/or the first ARC layer 62 .
- the pattern in the first OPL 60 is transferred into the cap material layer 50 to form a pattern of trenches therein, and the top surface of the metal nitride layer 40 is exposed at the bottom of the trenches.
- the patterned line structures 72 and the first ARC layer 62 are consumed during the third anisotropic etch.
- any pair of two adjacent anisotropic etches or all three anisotropic etches can be integrated into a single anisotropic etch step that employs the same etch chemistry throughout or changes the etch chemistry during the etch process.
- the pattern present in the cap material layer 50 and the first OPL 60 and transferred into the metal nitride layer 40 is a composite pattern of the first pattern and the second pattern because only the portion of the first pattern that is located within the openings of the block level photoresist 90 , as defined by the second pattern, is transferred into the cap material layer 50 and the first OPL 60 , and subsequently into the metal nitride layer 40 .
- Etch chemistry that removes a metal nitride layer with high selectivity to an organic planarizing material is not known in the art. Thus, it is inevitable that a significant portion of the first OPL 60 is consumed during the transfer of the pattern in the first OPL 60 into the metal nitride layer 40 .
- the first OPL 60 is partially consumed during the pattern transfer into the metal nitride layer 40 .
- a substantial portion of the first OPL 60 is consumed during the pattern transfer into the metal nitride layer 40 , but a portion of the first OPL may be present at the end of this pattern transfer.
- all or almost all of the first OPL 60 is consumed during the pattern transfer into the metal nitride layer 40 , i.e., by the time the metal nitride layer 40 is etched through.
- the presence of the composite pattern within the cap material layer 50 enhances the fidelity of pattern replication in the metal nitride layer 40 because the effect of erosion of the first OPL 60 toward the end of the pattern transfer does not affect the fidelity of the pattern that is present in the cap material layer 50 .
- the combination of the cap material layer 50 and the first OPL 60 function as an etch mask so that the erosion of edges in the first OPL 60 during the etch does not affect the fidelity of the pattern transfer, but the pattern present in the cap material layer 50 is replicated with high fidelity even if the pattern in the first OPL 60 is degraded toward the end of the etch process due to edge erosion. Any residual first OPL at the end of the etch is removed, for example, by ashing.
- the pattern in the metal nitride layer 40 is transferred into the underlying material layer 20 , for example, by an anisotropic etch such as a reactive ion etch.
- the underlying material layer 20 is located in the upper portion of the substrate 10 .
- the cap material layer 50 may be removed selective to the metal nitride layer 40 prior to the transfer of the pattern through the adhesion promotion layer 30 , if present, and into the underlying material layer 20 .
- conductive line structures 22 are formed within the underlying material layer 20 by depositing a conductive material such as Cu or W into the trenches 21 in the underlying material layer 20 , and removing excess conductive material above the topmost surface of the underlying material layer 20 or the adhesion promotion layer 30 , for example, by chemical mechanical planarization (CMP).
- CMP chemical mechanical planarization
- the remaining portions of the metal nitride layer 40 can be removed during the removal of the excess conductive material from above the underlying material layer 20 .
- the adhesion promotion layer 30 may be removed.
- a second exemplary structure according to a second embodiment of the present disclosure can be derived from the first exemplary structure by extending the duration of the etch and the depth of the trenches 21 at a processing step corresponding to FIG. 10 .
- the trenches 21 are extended to the bottom of the underlying material layer 20 at the end of the etch step.
- conductive line structures 22 are formed within the underlying material layer 20 employing the same processing steps as in the first embodiment.
- the underlying material layer 20 can include a conductive material.
- the trenches 21 are formed through the underlying material layer 20 to the top surface of the substrate 10 , which may include a dielectric surface.
- the underlying material layer 20 can be patterned into multiple conductive portions that do not contact one another.
Abstract
A cap material layer is deposited on a metal nitride layer. An antireflective coating (ARC) layer, an organic planarizing layer (OPL), and patterned line structures are formed upon the cap material layer. The pattern in the patterned line structures is transferred into the ARC layer and the OPL. Exposed portions of the cap material layer are etched simultaneously with the etch removal of the patterned line structures and the ARC layer. The OPL is employed to etch the metal nitride layer. The patterned cap material layer located over the metal nitride layer protects the top surface of the metal nitride layer, and enables high fidelity reproduction of the pattern in the metal nitride layer without pattern distortion. The metal nitride layer is subsequently employed as an etch mask for pattern transfer into an underlying layer.
Description
- The present disclosure generally relates to a process for manufacturing semiconductor structures, and particularly to methods for sidewall image transfer employing a dielectric cap material layer on top of a metal nitride layer.
- A sidewall image transfer (SIT) process as known in the art employs a titanium nitride layer as an etch mask for transferring a composite image of two independent images. An organic planarizing layer (OPL) is formed directly on the titanium nitride layer, and is consumed during the transfer of the composite pattern into the titanium nitride layer. The OPL tends to be consumed during the pattern transfer into the titanium nitride layer, resulting in distortion or loss of fidelity in the transferred pattern in the titanium nitride layer. A method of enhancing the fidelity of pattern transfer during a SIT process is desired.
- A cap material layer is deposited on a metal nitride layer. An antireflective coating (ARC) layer, an organic planarizing layer (OPL), and patterned line structures are formed upon the cap material layer. The pattern in the patterned line structures is transferred into the ARC layer and the OPL. Exposed portions of the cap material layer are etched simultaneously with the etch removal of the patterned line structures and the ARC layer. The OPL and the dielectric cap material layer are employed to etch the metal nitride layer. The patterned cap material layer located over the metal nitride layer protects the top surface of the metal nitride layer, and enables high fidelity reproduction of the pattern in the metal nitride layer without pattern distortion. The metal nitride layer is subsequently employed as an etch mask for pattern transfer into an underlying layer.
- According to an aspect of the present disclosure, a method of patterning a structure includes: forming a metal nitride layer on a substrate; forming a cap material layer having a different composition than the metal nitride layer directly on the metal nitride layer; forming a stack of an organic planarizing layer (OPL) and an antireflective coating (ARC) layer on the cap material layer; forming patterned line structures including a dielectric material on the ARC layer; simultaneously etching the cap material layer and at least one of the patterned line structures and the ARC layer, wherein a pattern present in the ARC layer is transferred through the OPL and the cap material layer and a top surfaces of the metal nitride layer is exposed after the simultaneous etching; transferring said pattern from the OPL into the metal nitride layer; and transferring said pattern from the metal nitride layer into an upper portion of the substrate.
- According to another aspect of the present disclosure, a method of patterning a structure includes: forming a metal nitride layer on a substrate; forming a cap material layer having a different composition than the metal nitride layer directly on the metal nitride layer; forming a stack of an organic planarizing layer (OPL) and an antireflective coating (ARC) layer on the cap material layer; forming patterned line structures including a dielectric material on the ARC layer; simultaneously etching the cap material layer and at least one of the patterned line structures and the ARC layer employing at least the patterned line structures as an etch mask, wherein a pattern present in the ARC layer is transferred through the OPL and the cap material layer, and a top surfaces of the metal nitride layer is exposed after the simultaneous etching; transferring the pattern from the OPL into the metal nitride layer; and transferring the pattern from the metal nitride layer into an upper portion of the substrate.
- According to yet another aspect of the present disclosure, a method of patterning a structure includes: forming a metal nitride layer on a substrate; forming a cap material layer having a different composition than the metal nitride layer directly on the metal nitride layer; forming a stack of an organic planarizing layer (OPL) and an antireflective coating (ARC) layer on the cap material layer; forming mandrels having parallel sidewalls on the ARC layer; depositing a conformal dielectric layer on the parallel sidewalls and exposed surfaces of the ARC layer; anisotropically etching the conformal dielectric layer, wherein remaining portions of said conformal dielectric layer form patterned line structures on the parallel sidewalls of the mandrels; simultaneously etching the cap material layer and at least one of the patterned line structures and the ARC layer, wherein a pattern present in the ARC layer is transferred through the OPL and the cap material layer, and a top surfaces of the metal nitride layer is exposed after the simultaneous etching; transferring the pattern from the OPL into the metal nitride layer; and transferring the pattern from the metal nitride layer into an upper portion of the substrate.
-
FIG. 1 is a vertical cross-sectional view of a first exemplary structure after deposition of a metal nitride layer, a cap material layer, an organic planarizing layer (OPL), a first antireflective coating (ARC) layer, and mandrels having parallel vertical sidewalls according to a first embodiment of the present disclosure. -
FIG. 2 is a vertical cross-sectional view of the first exemplary structure after deposition of a conformal dielectric layer according to the first embodiment of the present disclosure. -
FIG. 3 is a vertical cross-sectional view of the first exemplary structure after formation of patterned line structures by anisotropically etching the conformal dielectric layer and removal of the mandrels according to the first embodiment of the present disclosure. -
FIG. 4 is a vertical cross-sectional view of the first exemplary structure after deposition of a second OPL and a second ARC layer according to the first embodiment of the present disclosure. -
FIG. 5 is a vertical cross-sectional view of the first exemplary structure after application and lithographic patterning of a block level photoresist according to the first embodiment of the present disclosure. -
FIG. 6 is a vertical cross-sectional view of the first exemplary structure after etching portions of the second ARC layer and the second OPL that are not covered by the patterned photoresist according to the first embodiment of the present disclosure. -
FIG. 7 is a vertical cross-sectional view of the first exemplary structure after simultaneous etching of the remaining portions of the second ARC layer and exposed portions of the first ARC layer, followed by simultaneous etching of the second OPL and exposed portions of the first OPL according to the first embodiment of the present disclosure. -
FIG. 8 is a vertical cross-sectional view of the first exemplary structure after simultaneous etching of the patterned spacer line structures, the first ARC layer, and exposed portions of the cap material layer according to the first embodiment of the present disclosure. -
FIG. 9 is a vertical cross-sectional view of the first exemplary structure after etching exposed portions of the metal nitride layer employing the first OPL as an etch mask according to the first embodiment of the present disclosure. -
FIG. 10 is a vertical cross-sectional view of the first exemplary structure after transferring the pattern in the metal nitride layer into an underlying material layer according to the first embodiment of the present disclosure. -
FIG. 11 is a vertical cross-sectional view of the first exemplary structure after forming conductive line structures within the underlying material layer according to the first embodiment of the present disclosure. -
FIG. 12 is a vertical cross-sectional view of a second exemplary structure after transferring the pattern in the metal nitride layer through an underlying material layer according to a second embodiment of the present disclosure. -
FIG. 13 is a vertical cross-sectional view of the second exemplary structure after forming conductive line structures within the underlying material layer according to the second embodiment of the present disclosure. -
FIG. 14 is a vertical cross-sectional view of a third exemplary structure after forming trenches in the underlying material layer including a conductive material according to a third embodiment of the present disclosure. -
FIG. 15 is a vertical cross-sectional view of the third exemplary structure after forming a dielectric material layer over the patterned underlying material layer according to the third embodiment of the present disclosure. - As stated above, the present disclosure relates to methods for sidewall image transfer process employing a cap material layer for a dielectric metal nitride layer, which are now described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments. The drawings are not necessarily drawn to scale.
- Referring to
FIG. 1 , a first exemplary structure according to a first embodiment of the present disclosure includes asubstrate 10 and a material stack formed thereupon. Thesubstrate 10 can include a semiconductor substrate having semiconductor devices (not shown) therein. The semiconductor devices can include, for example, field effect transistors, junction transistors, diodes, resistors, capacitors, inductors, or any other semiconductor device known in the art. Thesubstrate 10 may, or may not, include contact-level dielectric material layers (not shown) and/or interconnect level dielectric material layers (not shown) as well as embedded contact via structures (not shown) and/or embedded wiring level metal interconnect structures. Alternately, the topmost portion of thesubstrate 10 can include a semiconductor material such as single crystalline silicon. - An
underlying material layer 20 can be formed on thesubstrate 10. Theunderlying material layer 20 can be a single dielectric material layer, a plurality of dielectric material layers, or a stack of at least one dielectric material layer and a conductive material layer. For example, theunderlying material layer 20 can be a wiring-level dielectric material layer, a contact-level dielectric material layer, a conductive material layer such as a metal layer or a doped semiconductor layer, or layers for a gate stack such as a stack of a gate dielectric layer and a gate conductor layer. Exemplary materials that can be included in the underlying material layer include, but are not limited to, silicon oxide, silicon nitride, silicon oxynitride, organosilicate glass, gate dielectric materials known in the art, gate conductor materials known in the art, doped semiconductor materials, and conductive metallic materials. Theunderlying material layer 20 can be deposited, for example, by chemical vapor deposition (CVD), spin coating, or by any other deposition method known in the art. The thickness of theunderlying material layer 20 can be from 10 nm to 2,000 nm, although lesser and greater thicknesses can also be employed. - An
adhesion promotion layer 30 can be optionally deposited on the top surface of theunderlying material layer 20. Theadhesion promotion layer 30 can help enhance adhesion of subsequently deposited material layers to theunderlying material layer 20. Theadhesion promotion layer 30 can include a dielectric material such as silicon oxide, although other materials can be employed for the adhesion promotion layer provided that the material enhances adhesion between theunderlying material layer 20 and a metal nitride layer to be subsequently deposited. If theadhesion promotion layer 30 includes silicon oxide, theadhesion promotion layer 30 can be deposited by a chemical vapor deposition (CVD) using tetraethylorthosilicate (TEOS) as a precursor material. Silicon oxide derived from TEOS, commonly referred to as TEOS oxide, can be deposited by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). The thickness of theadhesion promotion layer 30 can be from 3 nm to 60 nm, and typically from 6 nm to 30 nm, although lesser and greater thicknesses can also be employed. - A
metal nitride layer 40 is deposited on theadhesion promotion layer 30, or on theunderlying material layer 20 if an adhesion promotion layer is present. Themetal nitride layer 40 includes a metal nitride such as TiN, TaN, WN, or other metal nitride that can function as an etch mask for etching the material of theunderlying material layer 20. Thus, the composition of themetal nitride layer 40 can be selected depending on the composition of theunderlying material layer 20. For anunderlying material layer 20 including dielectric materials, TiN, TaN, and WN generally function as a suitable etch mask material. In one embodiment, TiN is preferred because TiN provides high etch selectivity relative to silicon oxide, silicon nitride, organosilicate glass, and semiconductor materials such as silicon and germanium. For an underlying material layer including other materials (such as conductive materials), the composition of the metal nitride layer can be optimized to enhance the etch selectivity of an etch process that employs the metal nitride layer as an etch mask. - The
metal nitride layer 40 can be deposited, for example, by chemical vapor deposition (CVD), physical vapor deposition (PVD), or a combination thereof. The thickness of themetal nitride layer 40 can be from 2 nm to 60 nm, and typically from 4 nm to 30 nm, although lesser and greater thicknesses can also be employed. - A
cap material layer 50 is deposited on themetal nitride layer 40. Thecap material layer 50 includes a material different from the material of themetal nitride layer 40. - In one embodiment, the
cap material layer 50 includes a dielectric material. Exemplary dielectric materials that can be employed for thecap material layer 50 include, but are not limited to, silicon oxide, silicon nitride, silicon carbide, and combinations thereof. If thecap material layer 50 includes a dielectric material, thecap material layer 50 can be deposited, for example, by chemical vapor deposition (CVD), molecular layer deposition (MLD), and/or spin coating. A thermal treatment (such as an anneal) or a radiation treatment (such as exposure to ultraviolet light) can be performed on the cap material layer as needed. The thickness of thecap material layer 50 including a dielectric material can be from 2 nm to 60 nm, and typically from 4 nm to 30 nm, although lesser and greater thicknesses can also be employed. - In another embodiment, the
cap material layer 50 includes a conductive material. Exemplary conductive materials that can be employed for thecap material layer 50 include, but are not limited to, TaN, WN, Ti, Ta, W, Cu, and combinations thereof. For example, themetal nitride layer 40 can be a TiN layer, and thecap material layer 50 can include a metallic material different from TiN. If thecap material layer 50 includes a metallic material, thecap material layer 50 can be deposited, for example, by physical vapor deposition (PVD), chemical vapor deposition (CVD), or a combination thereof. The thickness of thecap material layer 50 including a metallic material can be from 3 nm to 100 nm, and typically from 10 nm to 50 nm, although lesser and greater thicknesses can also be employed. - An organic planarizing layer (OPL) is deposited on the surface of the
cap material layer 50. This OPL is herein referred to as afirst OPL 60. Thefirst OPL 60 includes a non-photosensitive organic polymer including carbon, hydrogen, oxygen, and optionally fluorine. For example, thefirst OPL 60 can include hydrocarbons and/or hydrofluorocarbons. Thefirst OPL 60 can be formed, for example, by spin coating. The thickness of thefirst OPL 60 can be from 50 nm to 300 nm, although lesser and greater thicknesses can also be employed. - An antireflective coating (ARC) layer is deposited on the
first OPL 60. The antireflective coating (ARC) layer is herein referred to as the first antireflective coating (ARC)layer 62. Thefirst ARC layer 62 can include a hydrocarbon based material having a different material composition than thefirst OPL 60. In one embodiment, thefirst ARC layer 62 comprises silicon at an atomic concentration from 1% to 50%, and typically from 15% to 43%. In another embodiment, thefirst ARC layer 62 comprises a refractory metal at an atomic concentration from 1% to 50%, and typically from 8% to 45%. Thefirst ARC layer 62 controls reflectivity of the surface (i.e., the surface of the cap material layer 50) over which thefirst OPL 60 is patterned by reducing standing waves and optical notching. The thickness of thefirst ARC layer 62 may be from 15 nm to 150 nm, and typically from 30 nm to 100 nm, although lesser and greater thicknesses are explicitly contemplated herein. The first ARC layer can be applied, for example, by spin coating. - A mandrel material layer is deposited on the
first ARC layer 62. The mandrel material layer can include a photoresist, an amorphous carbon layer, or a material that can be removed selective to the material of a conformal dielectric layer to be subsequently deposited. The mandrel material layer is deposited as a blanket layer (unpatterned layer), for example, by chemical vapor deposition (CVD) or spin coating. The thickness of the mandrel material layer can be from 30 nm to 300 nm, and typically from 60 nm to 150 nm, although lesser and greater thicknesses can also be employed. - In one embodiment, the mandrel material layer is a photoresist layer that can be directly patterned by lithographic exposure and development. The mandrel material layer is patterned by lithographic means, i.e., exposure and development, to form
mandrels 70. The lithographic pattern may be a pattern of a periodic array, or may be an irregular pattern. Preferably, the lithographic pattern is a pattern of a regular periodic array. The lithographic pattern may contain an array of lines and spaces, or may contain a pattern of via holes in a matrix of the mandrel material layer, or may contain a pattern of isolated structures separated from one another by a contiguous cavity that laterally surrounds each isolated structure, i.e., eachmandrel 70. Each of themandrels 70 may be separated from one another as in the case of a lithographic pattern containing an array of lines and spaces, or may be adjoined among one another as in the case of a lithographic pattern containing an array of via holes. - In case the pattern in the
mandrels 70 comprises a periodic one dimensional array, the pitch of the pattern in themandrels 70 is a lithographic dimension, which is herein referred to as a lithographic pitch p. If the pattern in themandrels 70 is a pattern of lines and spaces, the lithographic pitch p is the lateral dimension of a unit pattern comprising one line and one space. If the pattern in themandrels 70 is a pattern of via holes in a matrix of acontiguous mandrel 70, the lithographic pitch is the lateral dimension of a unit pattern comprising at least one via hole. In addition to having periodicity in one direction at the lithographic pitch p, the pattern in themandrels 70 may have another periodicity in another direction. Optionally, overexposure or underexposure may be employed so that the width of each pattern between a neighboring pair of themandrels 70 is less than one half of the lithographic pitch p. - The lithographic pitch p is a lithographic dimension, i.e., a dimension that may be formed by lithographic means. The lithographic pitch p is the same as, or greater than, the minimum lithographic pitch that may be obtained by commercially available lithography tools. For example, if ArF lithography employing 193 nm wavelength light is used, the lithographic pitch p is the same as, or greater than 80 nm, which is the lithographic minimum pitch.
- In other embodiments, the mandrel material layer includes amorphous carbon or other non-photosensitive material. In such embodiments, a photoresist (not shown) can be applied over the mandrel material and is lithographically patterned into shapes including multiple parallel lines. In one embodiment, the multiple parallel lines can have the same width and the same pitch. The pitch of the multiple parallel lines is a lithographic pitch, i.e., a pitch that can be printed by a single lithographic exposure employing a commercially available lithography tool and photoresist. A minimum lithographic pitch is herein referred to as a critical pitch, and a pitch that is less than the critical pitch is herein referred to as a sublithographic pitch. The pattern in the photoresist is transferred into the mandrel material layer to pattern the mandrel material layer into
mandrels 70. In the case amorphous carbon or even amorphous silicon is employed as the mandrel material, thefirst OPL layer 60 can be replaced by a organic layer that has degas temperature higher than the mandrel deposition temperature. In one embodiment,OPL layer 60 can be replaced by amorphous carbon material through CVD deposition. - The mandrels have parallel sidewalls. The parallel sidewalls of the mandrels may vertically coincide with parallel sidewalls of the patterned photoresist, or may be laterally recessed inward (so that the
mandrels 70 have lesser widths than the widths of the patterned photoresist). In one embodiment, themandrels 70 have a lithographic pitch in one direction, which is a horizontal direction perpendicular to the parallel sidewalls of themandrels 70. - Referring to
FIG. 2 , aconformal dielectric layer 72L is deposited on themandrels 70 and the exposed top surface of thefirst ARC layer 62. Theconformal dielectric layer 72L is deposited employing a conformal deposition method such as molecular layer deposition (MLD), in which multiple reactants are alternately provided in a process chamber to deposit the conformal dielectric layer. In MLD, the deposition of the material of theconformal dielectric layer 72L occurs one molecular layer at a time. The dielectric material of theconformal dielectric layer 72L can include, but is not limited to, silicon oxide, silicon nitride, or a combination thereof. The temperature of the deposition process is maintained below the decomposition temperature of the material of themandrels 70. - In one embodiment, the
mandrels 70 include a photoresist, and the conformal dielectric layer includes silicon dioxide. Silicon oxide can be deposited at room temperature employing a molecular layer deposition process. - In another embodiment, the
mandrels 70 include amorphous carbon, and the conformal dielectric layer includes silicon oxide or silicon nitride. Silicon nitride can be deposited at a temperature about 400° C. employing a molecular layer deposition process. - Any other combination of materials for the
mandrels 70 and theconformal dielectric layer 72L can be employed provided that the material of themandrels 70 can withstand the deposition process for the conformal dielectric layer, that theconformal dielectric layer 72L can be conformally deposited on the sidewalls of themandrels 70, and that the mandrels can be removed selective to the material of theconformal dielectric layer 72L and thefirst ARC layer 62. - Referring to
FIG. 3 , an anisotropic etch is performed to remove horizontal portions of theconformal dielectric layer 72L. The vertical portions of theconformal dielectric layer 72L that remains on the vertical sidewalls of the mandrels constitute patternedline structures 72, which include the same dielectric material as theconformal dielectric layer 72L. - The
mandrels 70 are removed by another etch, which can be an anisotropic etch or an isotropic etch, that is selective to the materials of the patternedline structures 72 and thefirst ARC layer 62. - The pattern in the patterned
line structures 72 is herein referred to as a first pattern. The first pattern may include two patterned line structures within a lithographic pitch p. If the lithographic pitch is a minimum lithographic pitch that can be lithographically printed, the width of the patternedline structures 72 can be a sublithographic width, i.e., a width that is less than the minimum width of a patterned structure that can be formed by single exposure and development. - Referring to
FIG. 4 , a stack of a blanket organic planarizing layer and another antireflective coating layer is deposited, for example, by a spin coating. The blanket organic planarizing layer is herein referred to as asecond OPL 80, which can have the same composition and range of thickness as thefirst OPL 60. The same deposition method can be employed for thesecond OPL 80 as for thefirst OPL 60. The other antireflective coating layer is herein referred to as asecond ARC layer 82, which can have the same composition and range of thickness as thefirst ARC layer 62. The same deposition method can be employed for thesecond ARC layer 82 as for thefirst ARC layer 62. - Referring to
FIG. 5 , ablock level photoresist 90 is applied over the stack of thesecond OPL 80 and thesecond ARC layer 82. Theblock level photoresist 90 is applied directly on thesecond ARC layer 82. Theblock level photoresist 90 is lithographically patterned to block areas in which the transfer of the pattern in the patternedline structures 72 is not desired. Specifically, openings in theblock level photoresist 90 are formed only in areas within which the pattern of the patterned line structures is to be transferred to underlying layers. - The lithographic pattern of the
block level photoresist 90, which is herein referred to as a second pattern, may be a pattern of a periodic array, or may be an irregular pattern. In some embodiments, the dimensions of the second pattern are longer than the lithographic pitch p in the first pattern. The second pattern defines areas in which the first pattern is to be transferred during a subsequent image transfer, which is referred to as a sidewall image transfer (SIT) process. The area of the opening in the patternedblock level photoresist 90 corresponds to the area in which the first pattern is subsequently transferred into thecap material layer 50 and themetal nitride layer 40, and the area in which the patternedblock level photoresist 90 is present corresponds to the area within which the first pattern is not to be transferred. - Referring to
FIG. 6 , exposed portions of thesecond ARC layer 82 and the second OPL that are not covered by the patternedblock level photoresist 90 are removed by an etch that employs the patternedblock level photoresist 90 as an etch mask. This etch can be an anisotropic etch. Thesecond ARC layer 82 andsecond OPL 80 are patterned to replicate the pattern in the patternedblock level photoresist 90, i.e., the patterned remaining portion of the blanket OPL as originally deposited is the patternedsecond OPL 80. The patternedblock level photoresist 90 is removed by the end ofOPL layer 80 patterning. The pattern of the patternedline structures 72 is exposed within the area from which thesecond OPL 80 is removed, and the rest of the patternedline structures 72 outside the exposed area are covered by the patternedsecond OPL 80 and thesecond ARC layer 82. The patternedline structures 72 are exposed outside the area of the patternedstack 84 after the patterning of the stack of thesecond ARC layer 82 and thesecond OPL 80. - Referring to
FIG. 7 , the remainingsecond ARC layer 82 and the exposed portions of thefirst ARC layer 62 between the exposedpatterned line structures 72 are simultaneously etched. Specifically, exposed portions of thefirst ARC layer 62 and the remaining portion of thesecond ARC layer 82 are etched simultaneously in an etch, which can be an anisotropic etch. This anisotropic etch is herein referred to as a first anisotropic etch. The pattern of the patternedline structures 72 is transferred into thefirst ARC layer 62 within the area in which thesecond OPL 80 is not present. Openings are formed within thefirst ARC layer 62 during the anisotropic etch employing at least thepatterned line structures 72 as an etch mask. If theblock level photoresist 90 is employed in previous processing steps, thefirst ARC layer 62 is patterned during the anisotropic etch employing a combination of the patternedline structures 72 and the patternedsecond OPL 80 as an etch mask. - Once the
second ARC layer 82 and the exposed portions of thefirst ARC layer 62 are etched through, thesecond OPL 80 and the portions of the first OPL underlying the openings in the first ARC layer are simultaneously etched, for example, by another anisotropic etch, which is herein referred to as a second anisotropic etch. Thus, the patternedsecond OPL 80 and portions of thefirst OPL 60 that are not covered by the patterned line structures or thesecond OPL 80 are etched by this anisotropic etch. This anisotropic etch proceeds until thesecond OPL 80 is completely consumed and the trenches formed within thefirst OPL 60 extends to the bottom surface of thefirst OPL 60, i.e., to the top surface of the cap material layer. Thus, while the patternedline structures 72 are partly removed, the pattern present in the patternedline structures 72 within the area not covered by the second OPL is transferred through the first ARC layer, and the first OPL. - Referring to
FIG. 8 , the patternedline structures 72, thefirst ARC layer 62, and the exposed portions of thecap material layer 50 underneath the trenches within the first OPL are simultaneously etched by another etch, which can be an anisotropic etch. This anisotropic etch is herein referred to as a third anisotropic etch. The pattern in thefirst ARC layer 62 is transferred into thecap material layer 50. The third anisotropic etch can be a reactive ion etch employing a plasma of at least one fluorocarbon gas such as CF4, CHF3, and C4F8. Argon or nitrogen can also be added to the plasma. In general, the chemistry of the third anisotropic etch is selected to simultaneously etch the material of thecap material layer 50 and the materials for the patterned line structures and/or thefirst ARC layer 62. Thus, the pattern in thefirst OPL 60 is transferred into thecap material layer 50 to form a pattern of trenches therein, and the top surface of themetal nitride layer 40 is exposed at the bottom of the trenches. In one embodiment, the patternedline structures 72 and thefirst ARC layer 62 are consumed during the third anisotropic etch. - While the first, second, and third anisotropic etches are described herein as three distinctive consecutive etch steps, any pair of two adjacent anisotropic etches or all three anisotropic etches can be integrated into a single anisotropic etch step that employs the same etch chemistry throughout or changes the etch chemistry during the etch process.
- Referring to
FIG. 9 , exposed portions of themetal nitride layer 40 is etched employing thefirst OPL 60 and thecap material layer 50 as the etch mask. - The pattern present in the
cap material layer 50 and thefirst OPL 60 and transferred into themetal nitride layer 40 is a composite pattern of the first pattern and the second pattern because only the portion of the first pattern that is located within the openings of theblock level photoresist 90, as defined by the second pattern, is transferred into thecap material layer 50 and thefirst OPL 60, and subsequently into themetal nitride layer 40. Etch chemistry that removes a metal nitride layer with high selectivity to an organic planarizing material is not known in the art. Thus, it is inevitable that a significant portion of thefirst OPL 60 is consumed during the transfer of the pattern in thefirst OPL 60 into themetal nitride layer 40. - In one embodiment, the
first OPL 60 is partially consumed during the pattern transfer into themetal nitride layer 40. A substantial portion of thefirst OPL 60 is consumed during the pattern transfer into themetal nitride layer 40, but a portion of the first OPL may be present at the end of this pattern transfer. In another embodiment, all or almost all of thefirst OPL 60 is consumed during the pattern transfer into themetal nitride layer 40, i.e., by the time themetal nitride layer 40 is etched through. In such embodiment, the presence of the composite pattern within thecap material layer 50 enhances the fidelity of pattern replication in themetal nitride layer 40 because the effect of erosion of thefirst OPL 60 toward the end of the pattern transfer does not affect the fidelity of the pattern that is present in thecap material layer 50. In other words, the combination of thecap material layer 50 and thefirst OPL 60 function as an etch mask so that the erosion of edges in thefirst OPL 60 during the etch does not affect the fidelity of the pattern transfer, but the pattern present in thecap material layer 50 is replicated with high fidelity even if the pattern in thefirst OPL 60 is degraded toward the end of the etch process due to edge erosion. Any residual first OPL at the end of the etch is removed, for example, by ashing. - Referring to
FIG. 10 , the pattern in themetal nitride layer 40 is transferred into theunderlying material layer 20, for example, by an anisotropic etch such as a reactive ion etch. Theunderlying material layer 20 is located in the upper portion of thesubstrate 10. In one case, thecap material layer 50 may be removed selective to themetal nitride layer 40 prior to the transfer of the pattern through theadhesion promotion layer 30, if present, and into theunderlying material layer 20. In another case, thecap material layer 50 may be employed as an additional etch mask that is consumed during an initial phase of the anisotropic etch that transfers the pattern in themetal nitride layer 40 through theadhesion promotion layer 30, if present, and into theunderlying material layer 20. In this case, the combination of thecap material layer 50 and themetal nitride layer 40 is employed as an etch mask for transferring the composite pattern of the first pattern and the second pattern into theunderlying material layer 20. Once thecap material layer 50 is consumed, the metal nitride layer is used as the etch mask. - The
underlying material layer 20 can be a dielectric material layer such as a contact-level dielectric material layer in which contact via structures can be subsequently formed, or a wiring-level dielectric material layer in which metal line structures or metal via structures can be subsequently formed. Thetrenches 21 formed in theunderlying material layer 20 can extend to a depth between the top surface and the bottom surface of theunderlying material layer 20. - Referring to
FIG. 11 ,conductive line structures 22 are formed within theunderlying material layer 20 by depositing a conductive material such as Cu or W into thetrenches 21 in theunderlying material layer 20, and removing excess conductive material above the topmost surface of theunderlying material layer 20 or theadhesion promotion layer 30, for example, by chemical mechanical planarization (CMP). The remaining portions of themetal nitride layer 40 can be removed during the removal of the excess conductive material from above theunderlying material layer 20. Optionally, theadhesion promotion layer 30 may be removed. - Referring to
FIG. 12 , a second exemplary structure according to a second embodiment of the present disclosure can be derived from the first exemplary structure by extending the duration of the etch and the depth of thetrenches 21 at a processing step corresponding toFIG. 10 . Thetrenches 21 are extended to the bottom of theunderlying material layer 20 at the end of the etch step. - Referring to
FIG. 13 ,conductive line structures 22 are formed within theunderlying material layer 20 employing the same processing steps as in the first embodiment. - Referring to
FIG. 14 , in a third exemplary structure according to a third embodiment of the present disclosure, theunderlying material layer 20 can include a conductive material. Thetrenches 21 are formed through theunderlying material layer 20 to the top surface of thesubstrate 10, which may include a dielectric surface. Theunderlying material layer 20 can be patterned into multiple conductive portions that do not contact one another. - Referring to
FIG. 15 , adielectric material layer 24 can be deposited over the patternedunderlying material layer 20 to provide electrical isolation between the various conductive portions of the patternedunderlying material layer 20. Optionally, additional conductive structures (not shown) may be formed in an upper portion of thedielectric material layer 24 to provide electrical connections among the various conductive portions of theunderlying material layer 20. - While the present disclosure has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present disclosure. It is therefore intended that the present disclosure not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.
Claims (20)
1. A method of patterning a structure comprising:
forming a metal nitride layer on a substrate;
forming a cap material layer having a different composition than said metal nitride layer directly on said metal nitride layer;
forming a stack of an organic planarizing layer (OPL) and an antireflective coating (ARC) layer on said cap material layer;
forming patterned line structures comprising a dielectric material on said ARC layer;
simultaneously etching said cap material layer and at least one of said patterned line structures and said ARC layer, wherein a pattern present in said ARC layer is transferred through said OPL and said cap material layer, and a top surfaces of said metal nitride layer is exposed after said simultaneous etching;
transferring said pattern from said OPL into said metal nitride layer; and
transferring said pattern from said metal nitride layer into an upper portion of said substrate.
2. The method of claim 1 , further comprising:
forming a second OPL over said patterned line structures;
applying and patterning a block level photoresist over said second OPL; and
removing a portion of said second OPL that is not covered by said patterned block level photoresist.
3. The method of claim 2 , further comprising forming a second ARC layer on said second OPL, wherein said block level photoresist is applied directly on said second ARC layer.
4. The method of claim 2 , further comprising patterning said second OPL by etching exposed portions of said second OPL employing said patterned block level photoresist as an etch mask.
5. The method of claim 1 , wherein said metal nitride layer is a TiN layer, a TiW layer, or a WN layer.
6. The method of claim 5 , wherein said cap material layer comprises a dielectric material.
7. The method of claim 6 , wherein said cap material layer comprises silicon oxide, silicon nitride, silicon carbide, or a combination thereof.
8. The method of claim 1 , wherein said metal nitride layer is a TiN layer, and said cap material layer comprises a metallic material different from TiN.
9. A method of patterning a structure comprising:
forming a metal nitride layer on a substrate;
forming a cap material layer having a different composition than said metal nitride layer directly on said metal nitride layer;
forming a stack of an organic planarizing layer (OPL) and an antireflective coating (ARC) layer on said cap material layer;
forming patterned line structures comprising a dielectric material on said ARC layer;
etching said cap material layer and at least one of said patterned line structures and said ARC layer employing at least said patterned line structures as an etch mask, wherein a pattern present in said ARC layer is transferred through said OPL and said cap material layer, and a top surfaces of said metal nitride layer is exposed after said simultaneous etching;
transferring said pattern from said OPL into said metal nitride layer; and
transferring said pattern from said metal nitride layer into an upper portion of said substrate.
10. The method of claim 9 , further comprising covering portions of said patterned line structures with a patterned second OPL, wherein said OPL is etched employing a combination of said patterned line structures and said patterned second OPL as an etch mask.
11. The method of claim 10 , further comprising:
forming a stack of a blanket OPL, a second ARC layer, and a block level photoresist; and
patterning said stack, wherein a patterned portion of said blanket OPL is said patterned second OPL.
12. The method of claim 11 , wherein said patterned line structures are exposed outside an area of said patterned stack after said patterning of said stack.
13. The method of claim 11 , wherein exposed portions of said ARC layer and a remaining portion of said second ARC layer after said patterning of said stack are etched simultaneously in an etch.
14. The method of claim 13 , further comprising simultaneously etching said patterned second OPL and portions of said OPL that are not covered by said patterned line structures or said second OPL.
15. A method of patterning a structure comprising:
forming a metal nitride layer on a substrate;
forming a cap material layer having a different composition than said metal nitride layer directly on said metal nitride layer;
forming a stack of an organic planarizing layer (OPL) and an antireflective coating (ARC) layer on said cap material layer;
forming mandrels having parallel sidewalls on said ARC layer;
depositing a conformal dielectric layer on said parallel sidewalls and exposed surfaces of said ARC layer;
anisotropically etching said conformal dielectric layer, wherein remaining portions of said conformal dielectric layer form patterned line structures on said parallel sidewalls of said mandrels;
etching said cap material layer and at least one of said patterned line structures and said ARC layer, wherein a pattern present in said ARC layer is transferred through said OPL and said cap material layer, and a top surfaces of said metal nitride layer is exposed after said simultaneous etching;
transferring said pattern from said OPL into said metal nitride layer; and
transferring said pattern from said metal nitride layer into an upper portion of said substrate.
16. The method of claim 15 , further comprising:
depositing a mandrel material layer on said ARC layer; and
patterning said mandrel material layer, wherein patterned portions of said mandrel material layer are said mandrels.
17. The method of claim 15 , wherein said mandrels comprise a photoresist or amorphous carbon.
18. The method of claim 15 , wherein said conformal dielectric layer is deposited by molecular layer deposition (MLD) in which multiple reactants are alternately provided in a process chamber to deposit said conformal dielectric layer.
19. The method of claim 15 , wherein said conformal dielectric layer comprises silicon oxide, silicon nitride, or a combination thereof.
20. The method of claim 15 , wherein said mandrels have a lithographic pitch in one direction, and a plurality of said patterned line structures are formed by said anisotropic etching within said lithographic pitch in said direction.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/102,224 US8298954B1 (en) | 2011-05-06 | 2011-05-06 | Sidewall image transfer process employing a cap material layer for a metal nitride layer |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/102,224 US8298954B1 (en) | 2011-05-06 | 2011-05-06 | Sidewall image transfer process employing a cap material layer for a metal nitride layer |
Publications (2)
Publication Number | Publication Date |
---|---|
US8298954B1 US8298954B1 (en) | 2012-10-30 |
US20120282779A1 true US20120282779A1 (en) | 2012-11-08 |
Family
ID=47045744
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/102,224 Expired - Fee Related US8298954B1 (en) | 2011-05-06 | 2011-05-06 | Sidewall image transfer process employing a cap material layer for a metal nitride layer |
Country Status (1)
Country | Link |
---|---|
US (1) | US8298954B1 (en) |
Cited By (117)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20140091434A1 (en) * | 2012-09-28 | 2014-04-03 | Micron Technology, Inc. | Patterned Bases, and Patterning Methods |
US8716133B2 (en) * | 2012-08-23 | 2014-05-06 | International Business Machines Corporation | Three photomask sidewall image transfer method |
US20140138800A1 (en) * | 2012-11-21 | 2014-05-22 | Semiconductor Manufacturing International Corp. | Small pitch patterns and fabrication method |
US20140264758A1 (en) * | 2013-03-13 | 2014-09-18 | Globalfoundries Inc. | Methods of forming a protection layer to protect a metal hard mask layer during lithography reworking processes |
WO2015112272A1 (en) * | 2014-01-24 | 2015-07-30 | Intel Corporation | Methods for forming interconnect layers having tight pitch interconnect structures |
US20160027654A1 (en) * | 2014-07-24 | 2016-01-28 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9472417B2 (en) | 2013-11-12 | 2016-10-18 | Applied Materials, Inc. | Plasma-free metal etch |
US9472412B2 (en) | 2013-12-02 | 2016-10-18 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9478432B2 (en) | 2014-09-25 | 2016-10-25 | Applied Materials, Inc. | Silicon oxide selective removal |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9564296B2 (en) | 2014-03-20 | 2017-02-07 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9607856B2 (en) | 2013-03-05 | 2017-03-28 | Applied Materials, Inc. | Selective titanium nitride removal |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9659792B2 (en) | 2013-03-15 | 2017-05-23 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9754800B2 (en) | 2010-05-27 | 2017-09-05 | Applied Materials, Inc. | Selective etch for silicon films |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9842744B2 (en) | 2011-03-14 | 2017-12-12 | Applied Materials, Inc. | Methods for etch of SiN films |
US20170372906A1 (en) * | 2016-06-27 | 2017-12-28 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9885117B2 (en) | 2014-03-31 | 2018-02-06 | Applied Materials, Inc. | Conditioned semiconductor system parts |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9978564B2 (en) | 2012-09-21 | 2018-05-22 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10032606B2 (en) | 2012-08-02 | 2018-07-24 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10056291B2 (en) | 2016-11-23 | 2018-08-21 | Globalfoundries Inc. | Post spacer self-aligned cuts |
US10056292B2 (en) | 2016-11-22 | 2018-08-21 | Globalfoundries Inc. | Self-aligned lithographic patterning |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10062587B2 (en) | 2012-07-18 | 2018-08-28 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062578B2 (en) | 2011-03-14 | 2018-08-28 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
CN108511387A (en) * | 2017-02-27 | 2018-09-07 | Imec 非营利协会 | The method for forming conductive path pattern in the dielectric layer |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10163633B2 (en) * | 2017-03-13 | 2018-12-25 | Globalfoundries Inc. | Non-mandrel cut formation |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10424463B2 (en) | 2015-08-07 | 2019-09-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10424485B2 (en) | 2013-03-01 | 2019-09-24 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10465294B2 (en) | 2014-05-28 | 2019-11-05 | Applied Materials, Inc. | Oxide and metal removal |
US10468267B2 (en) | 2017-05-31 | 2019-11-05 | Applied Materials, Inc. | Water-free etching methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20200020531A1 (en) * | 2018-07-12 | 2020-01-16 | Globalfoundries Inc. | Interconnects with non-mandrel cuts formed by early block patterning |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10593523B2 (en) | 2014-10-14 | 2020-03-17 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10615047B2 (en) | 2018-02-28 | 2020-04-07 | Applied Materials, Inc. | Systems and methods to form airgaps |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10734275B2 (en) * | 2017-09-29 | 2020-08-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal routing with flexible space formed using self-aligned spacer patterning |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10825720B2 (en) * | 2018-08-24 | 2020-11-03 | International Business Machines Corporation | Single trench damascene interconnect using TiN HMO |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11211390B2 (en) | 2018-10-11 | 2021-12-28 | International Business Machines Corporation | Staircase patterning for 3D NAND devices |
US11239061B2 (en) | 2014-11-26 | 2022-02-01 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11502006B2 (en) * | 2017-12-07 | 2022-11-15 | Micron Technology, Inc. | Apparatuses having an interconnect extending from an upper conductive structure, through a hole in another conductive structure, and to an underlying structure |
US11594428B2 (en) | 2015-02-03 | 2023-02-28 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9153478B2 (en) * | 2013-03-15 | 2015-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacer etching process for integrated circuit design |
US20140353767A1 (en) | 2013-05-31 | 2014-12-04 | Stmicroelectronics, Inc. | Method for the formation of fin structures for finfet devices |
KR102249196B1 (en) * | 2014-10-06 | 2021-05-11 | 삼성전자주식회사 | Method of controlling etch process for forming fine patterns of semiconductor device |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9536744B1 (en) * | 2015-12-17 | 2017-01-03 | International Business Machines Corporation | Enabling large feature alignment marks with sidewall image transfer patterning |
US9799765B1 (en) * | 2016-06-29 | 2017-10-24 | International Business Machines Corporation | Formation of a bottom source-drain for vertical field-effect transistors |
US10629435B2 (en) * | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
TWI697032B (en) * | 2016-10-24 | 2020-06-21 | 聯華電子股份有限公司 | Method of fabricating semiconductor device |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
WO2019169335A1 (en) | 2018-03-02 | 2019-09-06 | Lam Research Corporation | Selective deposition using hydrolysis |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5053105A (en) * | 1990-07-19 | 1991-10-01 | Micron Technology, Inc. | Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template |
US20060046484A1 (en) * | 2004-09-02 | 2006-03-02 | Abatchev Mirzafer K | Method for integrated circuit fabrication using pitch multiplication |
US7132369B2 (en) * | 2002-12-31 | 2006-11-07 | Applied Materials, Inc. | Method of forming a low-K dual damascene interconnect structure |
US7199046B2 (en) * | 2003-11-14 | 2007-04-03 | Tokyo Electron Ltd. | Structure comprising tunable anti-reflective coating and method of forming thereof |
US20070123037A1 (en) * | 2005-04-19 | 2007-05-31 | Ji-Young Lee | Method of forming pattern using fine pitch hard mask |
US7892982B2 (en) * | 2006-03-06 | 2011-02-22 | Samsung Electronics Co., Ltd. | Method for forming fine patterns of a semiconductor device using a double patterning process |
US7972967B1 (en) * | 2010-07-09 | 2011-07-05 | Hynix Semiconductor Inc. | Method of forming patterns of a semiconductor device including forming spacers on sidewalls of auxiliary patterns and removing exposed auxiliary patterns |
-
2011
- 2011-05-06 US US13/102,224 patent/US8298954B1/en not_active Expired - Fee Related
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5053105A (en) * | 1990-07-19 | 1991-10-01 | Micron Technology, Inc. | Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template |
US7132369B2 (en) * | 2002-12-31 | 2006-11-07 | Applied Materials, Inc. | Method of forming a low-K dual damascene interconnect structure |
US7199046B2 (en) * | 2003-11-14 | 2007-04-03 | Tokyo Electron Ltd. | Structure comprising tunable anti-reflective coating and method of forming thereof |
US20060046484A1 (en) * | 2004-09-02 | 2006-03-02 | Abatchev Mirzafer K | Method for integrated circuit fabrication using pitch multiplication |
US20070123037A1 (en) * | 2005-04-19 | 2007-05-31 | Ji-Young Lee | Method of forming pattern using fine pitch hard mask |
US7892982B2 (en) * | 2006-03-06 | 2011-02-22 | Samsung Electronics Co., Ltd. | Method for forming fine patterns of a semiconductor device using a double patterning process |
US7972967B1 (en) * | 2010-07-09 | 2011-07-05 | Hynix Semiconductor Inc. | Method of forming patterns of a semiconductor device including forming spacers on sidewalls of auxiliary patterns and removing exposed auxiliary patterns |
Cited By (171)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9754800B2 (en) | 2010-05-27 | 2017-09-05 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9842744B2 (en) | 2011-03-14 | 2017-12-12 | Applied Materials, Inc. | Methods for etch of SiN films |
US10062578B2 (en) | 2011-03-14 | 2018-08-28 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US10062587B2 (en) | 2012-07-18 | 2018-08-28 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US10032606B2 (en) | 2012-08-02 | 2018-07-24 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8716133B2 (en) * | 2012-08-23 | 2014-05-06 | International Business Machines Corporation | Three photomask sidewall image transfer method |
US10354843B2 (en) | 2012-09-21 | 2019-07-16 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9978564B2 (en) | 2012-09-21 | 2018-05-22 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US11264213B2 (en) | 2012-09-21 | 2022-03-01 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9293342B2 (en) | 2012-09-28 | 2016-03-22 | Micron Technology, Inc. | Patterned bases, and patterning methods |
US8921034B2 (en) * | 2012-09-28 | 2014-12-30 | Micron Technology, Inc. | Patterned bases, and patterning methods |
US20140091434A1 (en) * | 2012-09-28 | 2014-04-03 | Micron Technology, Inc. | Patterned Bases, and Patterning Methods |
US20140138800A1 (en) * | 2012-11-21 | 2014-05-22 | Semiconductor Manufacturing International Corp. | Small pitch patterns and fabrication method |
US9633851B2 (en) | 2012-11-21 | 2017-04-25 | Semiconductor Manufacturing International Corp. | Semiconductor device including small pitch patterns |
US9312328B2 (en) * | 2012-11-21 | 2016-04-12 | Semiconductor Manufacturing International Corp. | Small pitch patterns and fabrication method |
US11024486B2 (en) | 2013-02-08 | 2021-06-01 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US10424485B2 (en) | 2013-03-01 | 2019-09-24 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9607856B2 (en) | 2013-03-05 | 2017-03-28 | Applied Materials, Inc. | Selective titanium nitride removal |
US9287109B2 (en) * | 2013-03-13 | 2016-03-15 | Globalfoundries Inc. | Methods of forming a protection layer to protect a metal hard mask layer during lithography reworking processes |
US20140264758A1 (en) * | 2013-03-13 | 2014-09-18 | Globalfoundries Inc. | Methods of forming a protection layer to protect a metal hard mask layer during lithography reworking processes |
US9704723B2 (en) | 2013-03-15 | 2017-07-11 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9659792B2 (en) | 2013-03-15 | 2017-05-23 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9472417B2 (en) | 2013-11-12 | 2016-10-18 | Applied Materials, Inc. | Plasma-free metal etch |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9711366B2 (en) | 2013-11-12 | 2017-07-18 | Applied Materials, Inc. | Selective etch for metal-containing materials |
US9472412B2 (en) | 2013-12-02 | 2016-10-18 | Applied Materials, Inc. | Procedure for etch rate consistency |
WO2015112272A1 (en) * | 2014-01-24 | 2015-07-30 | Intel Corporation | Methods for forming interconnect layers having tight pitch interconnect structures |
US9379010B2 (en) | 2014-01-24 | 2016-06-28 | Intel Corporation | Methods for forming interconnect layers having tight pitch interconnect structures |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9837249B2 (en) | 2014-03-20 | 2017-12-05 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9564296B2 (en) | 2014-03-20 | 2017-02-07 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9885117B2 (en) | 2014-03-31 | 2018-02-06 | Applied Materials, Inc. | Conditioned semiconductor system parts |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US10465294B2 (en) | 2014-05-28 | 2019-11-05 | Applied Materials, Inc. | Oxide and metal removal |
US20160027654A1 (en) * | 2014-07-24 | 2016-01-28 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9425058B2 (en) * | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9773695B2 (en) | 2014-07-31 | 2017-09-26 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9478432B2 (en) | 2014-09-25 | 2016-10-25 | Applied Materials, Inc. | Silicon oxide selective removal |
US9837284B2 (en) | 2014-09-25 | 2017-12-05 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10593523B2 (en) | 2014-10-14 | 2020-03-17 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US10490418B2 (en) | 2014-10-14 | 2019-11-26 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US10707061B2 (en) | 2014-10-14 | 2020-07-07 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US10796922B2 (en) | 2014-10-14 | 2020-10-06 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US11239061B2 (en) | 2014-11-26 | 2022-02-01 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US11594428B2 (en) | 2015-02-03 | 2023-02-28 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10468285B2 (en) | 2015-02-03 | 2019-11-05 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10607867B2 (en) | 2015-08-06 | 2020-03-31 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US10147620B2 (en) | 2015-08-06 | 2018-12-04 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US11158527B2 (en) | 2015-08-06 | 2021-10-26 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US10468276B2 (en) | 2015-08-06 | 2019-11-05 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US10424463B2 (en) | 2015-08-07 | 2019-09-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10424464B2 (en) | 2015-08-07 | 2019-09-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US11476093B2 (en) | 2015-08-27 | 2022-10-18 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US11735441B2 (en) | 2016-05-19 | 2023-08-22 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US20170372906A1 (en) * | 2016-06-27 | 2017-12-28 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
KR20180001234A (en) * | 2016-06-27 | 2018-01-04 | 삼성전자주식회사 | Semiconductor device and method for fabricating the same |
US10553438B2 (en) * | 2016-06-27 | 2020-02-04 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
KR102436634B1 (en) | 2016-06-27 | 2022-08-25 | 삼성전자주식회사 | Semiconductor device and method for fabricating the same |
CN107546122A (en) * | 2016-06-27 | 2018-01-05 | 三星电子株式会社 | Semiconductor devices and its manufacture method |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10224180B2 (en) | 2016-10-04 | 2019-03-05 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10541113B2 (en) | 2016-10-04 | 2020-01-21 | Applied Materials, Inc. | Chamber with flow-through source |
US11049698B2 (en) | 2016-10-04 | 2021-06-29 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10319603B2 (en) | 2016-10-07 | 2019-06-11 | Applied Materials, Inc. | Selective SiN lateral recess |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10770346B2 (en) | 2016-11-11 | 2020-09-08 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10186428B2 (en) | 2016-11-11 | 2019-01-22 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10600639B2 (en) | 2016-11-14 | 2020-03-24 | Applied Materials, Inc. | SiN spacer profile patterning |
US10056292B2 (en) | 2016-11-22 | 2018-08-21 | Globalfoundries Inc. | Self-aligned lithographic patterning |
US10056291B2 (en) | 2016-11-23 | 2018-08-21 | Globalfoundries Inc. | Post spacer self-aligned cuts |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10903052B2 (en) | 2017-02-03 | 2021-01-26 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10325923B2 (en) | 2017-02-08 | 2019-06-18 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10529737B2 (en) | 2017-02-08 | 2020-01-07 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
CN108511387A (en) * | 2017-02-27 | 2018-09-07 | Imec 非营利协会 | The method for forming conductive path pattern in the dielectric layer |
US10163633B2 (en) * | 2017-03-13 | 2018-12-25 | Globalfoundries Inc. | Non-mandrel cut formation |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11361939B2 (en) | 2017-05-17 | 2022-06-14 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11915950B2 (en) | 2017-05-17 | 2024-02-27 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10468267B2 (en) | 2017-05-31 | 2019-11-05 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10593553B2 (en) | 2017-08-04 | 2020-03-17 | Applied Materials, Inc. | Germanium etching systems and methods |
US11101136B2 (en) | 2017-08-07 | 2021-08-24 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10957580B2 (en) | 2017-09-29 | 2021-03-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal routing with flexible space formed using self-aligned spacer patterning |
US10734275B2 (en) * | 2017-09-29 | 2020-08-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal routing with flexible space formed using self-aligned spacer patterning |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US11502006B2 (en) * | 2017-12-07 | 2022-11-15 | Micron Technology, Inc. | Apparatuses having an interconnect extending from an upper conductive structure, through a hole in another conductive structure, and to an underlying structure |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10861676B2 (en) | 2018-01-08 | 2020-12-08 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10699921B2 (en) | 2018-02-15 | 2020-06-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10615047B2 (en) | 2018-02-28 | 2020-04-07 | Applied Materials, Inc. | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11004689B2 (en) | 2018-03-12 | 2021-05-11 | Applied Materials, Inc. | Thermal silicon etch |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10777413B2 (en) * | 2018-07-12 | 2020-09-15 | Globalfoundries Inc. | Interconnects with non-mandrel cuts formed by early block patterning |
US20200020531A1 (en) * | 2018-07-12 | 2020-01-16 | Globalfoundries Inc. | Interconnects with non-mandrel cuts formed by early block patterning |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10825720B2 (en) * | 2018-08-24 | 2020-11-03 | International Business Machines Corporation | Single trench damascene interconnect using TiN HMO |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11211390B2 (en) | 2018-10-11 | 2021-12-28 | International Business Machines Corporation | Staircase patterning for 3D NAND devices |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Also Published As
Publication number | Publication date |
---|---|
US8298954B1 (en) | 2012-10-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8298954B1 (en) | Sidewall image transfer process employing a cap material layer for a metal nitride layer | |
US8637406B1 (en) | Image transfer process employing a hard mask layer | |
US9911646B2 (en) | Self-aligned double spacer patterning process | |
US9373580B2 (en) | Dual hard mask lithography process | |
US9831117B2 (en) | Self-aligned double spacer patterning process | |
US7972959B2 (en) | Self aligned double patterning flow with non-sacrificial features | |
US9153458B2 (en) | Methods of forming a pattern on a substrate | |
US7919414B2 (en) | Method for forming fine patterns in semiconductor device | |
US20160372334A1 (en) | SiARC REMOVAL WITH PLASMA ETCH AND FLUORINATED WET CHEMICAL SOLUTION COMBINATION | |
US7989336B2 (en) | Methods of forming a plurality of conductive lines in the fabrication of integrated circuitry, methods of forming an array of conductive lines, and integrated circuitry | |
US10978301B2 (en) | Morphology of resist mask prior to etching | |
US8962432B2 (en) | Semiconductor device with self aligned end-to-end conductive line structure and method for forming the same | |
US11676821B2 (en) | Self-aligned double patterning | |
US8697340B2 (en) | Semiconductor structure and method of fabricating the same | |
US10256110B2 (en) | Self-aligned patterning process utilizing self-aligned blocking and spacer self-healing | |
TW201027626A (en) | Methods for forming a conductive material, methods for selectively forming a conductive material, methods for forming platinum, and methods for forming conductive structures | |
JP2007005770A (en) | Method for forming contact hole of semiconductor element | |
US7575997B2 (en) | Method for forming contact hole of semiconductor device | |
JP2008166750A (en) | Manufacturing method of semiconductor device including landing plug contact | |
TWI252535B (en) | Method for forming contact plug of semiconductor device | |
KR100609036B1 (en) | Method of forming contact hole for semiconductor device | |
KR100465837B1 (en) | Method for fabricating capacitor with ruthenium bottom electrode | |
KR100876879B1 (en) | How to Form a Storage Node for Capacitors | |
CN117672820A (en) | Method for forming semiconductor structure |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ARNOLD, JOHN C.;BURNS, SEAN D.;COLBURN, MATTHEW E.;AND OTHERS;SIGNING DATES FROM 20110503 TO 20110505;REEL/FRAME:026236/0754 |
|
REMI | Maintenance fee reminder mailed | ||
LAPS | Lapse for failure to pay maintenance fees | ||
STCH | Information on status: patent discontinuation |
Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362 |
|
FP | Lapsed due to failure to pay maintenance fee |
Effective date: 20161030 |