US20120291952A1 - Method and system for monitoring an etch process - Google Patents

Method and system for monitoring an etch process Download PDF

Info

Publication number
US20120291952A1
US20120291952A1 US13/564,963 US201213564963A US2012291952A1 US 20120291952 A1 US20120291952 A1 US 20120291952A1 US 201213564963 A US201213564963 A US 201213564963A US 2012291952 A1 US2012291952 A1 US 2012291952A1
Authority
US
United States
Prior art keywords
etch
substrate
situ
reactor
etch process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/564,963
Inventor
Matthew Fenton Davis
John M. Yamartino
Lei Lian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/564,963 priority Critical patent/US20120291952A1/en
Publication of US20120291952A1 publication Critical patent/US20120291952A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention generally relates to semiconductor substrate processing systems. More specifically, the present invention relates to a method and apparatus for monitoring an etch process.
  • One method of fabricating such devices comprises forming a patterned mask (e.g., photoresist mask) on a material layer formed on a substrate and then etching the material layer using the patterned mask as an etch mask.
  • the etch mask generally is a replica of the structure being formed (i.e., etched) in the underlying material layer (or layers). As such the etch mask has the same topographic dimensions as the structures to be formed in the underlying layer(s).
  • the smallest widths for elements of an etch mask such as lines, columns, openings, spaces between lines, and the like are measured.
  • Such smallest widths are known as “critical dimensions”, or CDs.
  • critical dimensions In advanced ultra large scale integrated (ULSI) circuits, the critical dimensions are generally sub-micron dimensions of about 20 to 200 nm.
  • thickness measurements for material layers to be etched are ascertained. Parameters for an etch process recipe are generally selected using statistically generated results of the critical dimension measurements of the patterned masks formed on wafers as well as the thickness measurements of material layers to be etched.
  • etch process monitoring is critical when etching a material layer using a patterned mask.
  • manufacturing variables for the etch process may decrease dimensional accuracy of devices formed therewith, as well as cause a broad statistical distribution (i.e., a large ⁇ , where is ⁇ a standard deviation) for the dimensions of devices formed on substrates within a group (i.e., batch) of substrates.
  • the present invention is a method and system for monitoring an etch process.
  • the etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process.
  • measurement information e.g., critical dimensions (CD), layer thickness, and the like
  • in-situ monitoring e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like
  • the ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
  • the ex-situ measurement information is performed prior to and/or after the etch process.
  • the ex-situ measurement information is provided to an etch reactor and is used during the etch process in combination with the in-situ monitoring.
  • the ex-situ measurements may be performed using measurement equipment integrated with the etch reactor (e.g., modules of the same etching system) or alternatively provided from measurement equipment not integrated with the etch reactor (e.g., stand alone equipment).
  • the in-situ monitoring may be performed using measurement equipment coupled to the etch reactor.
  • FIG. 1 depicts a flow diagram of a method for monitoring an etch process according to the present invention
  • FIG. 1A depicts an in-situ metrology tool with interferometric and/or spectrometric measurement assembly and an optical electromagnetic emission (OES) monitoring assembly;
  • OES optical electromagnetic emission
  • FIG. 1B is a schematic diagram of a process chamber showing a path for reflected light from a wafer inside the process chamber to a light collecting devices;
  • FIGS. 2A-2B depict a flow diagram of a method for monitoring a mask trimming process in accordance with one embodiment of the present invention
  • FIGS. 3A-3B depict schematic, cross-sectional views of a substrate having a patterned mask being trimmed
  • FIG. 4 shows a graph illustrating the correlation between vertical and horizontal etch rates during a mask trimming process
  • FIGS. 5A-5B depict a flow diagram of a method monitoring a mask trimming process in accordance with another embodiment of the present invention.
  • FIGS. 6A-6B depict a series of graphs illustrating changes in the spectral intensity of radiation reflected from a substrate during a mask trimming process
  • FIG. 7 shows a graph illustrating the correlation between the spectral position of a minimum in the spectrum of reflected radiation and the width of the trimmed patterned mask features
  • FIGS. 8A-8B depict a flow diagram of a method of monitoring a material layer etch process in accordance with another embodiment of the present invention.
  • FIGS. 9A-9B depict a series of schematic, cross-sectional views of a substrate having a material layer being etched
  • FIG. 9C depicts a graph of an interference fringe intensity versus time during steady intensity period
  • FIG. 9D depicts a graph of an interference fringe intensity versus frequency
  • FIG. 9E depicts a graph of an interference fringe intensity versus frequency during decreasing intensity periods
  • FIG. 9F depicts a graph of the interference fringe intensity versus frequency between times T 10 to T 11 of FIG. 9E ;
  • FIG. 9G depicts a graph of the interference fringe intensity versus frequency between times T 10 to T 12 of FIG. 9E ;
  • FIG. 9H depicts a graph of the interference fringe intensity versus frequency between times T 10 to T 13 of FIG. 9E ;
  • FIG. 10 depicts a schematic view of an exemplary etch reactor including an in-situ monitoring module of the kind used in performing portions of the invention.
  • FIG. 11 depicts a schematic diagram of an exemplary process system of the kind used in performing portions of the invention.
  • the present invention is a method and system for monitoring an etch process.
  • the etch process is monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process.
  • the ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
  • Fault detection of an integrated circuit manufacturing process may include for example, monitoring the etch process to determine when the critical dimensions (CD) of features being etched are outside of a pre-determined range so as to halt such process.
  • Ex-situ measurement information is performed prior to and/or after the etch process.
  • the ex-situ measurement information is provided to an etch reactor and is used during the etch process in combination with the in-situ monitoring.
  • the ex-situ measurements may be performed using measurement equipment integrated with the etch reactor (e.g., modules of the same etching system) or alternatively provided from measurement equipment not integrated with the etch reactor (e.g., stand alone equipment).
  • the in-situ monitoring may be performed using measurement equipment coupled to the etch reactor.
  • FIG. 1 depicts a flow diagram of the inventive method for monitoring an etch process as sequence 10 .
  • the sequence 10 includes a series of processing steps, which may be performed on test and product substrates to accomplish the method.
  • the sequence 10 starts at step 11 and proceeds to step 12 .
  • measurement information is provided ex-situ to the etch process.
  • the ex-situ measurement information comprises critical dimension (CD) information, i.e., the width of the structures, as well as the height of for example a patterned mask.
  • CD critical dimension
  • the ex-situ measurement information is generally provided for each substrate of a batch of the substrates. Such ex-situ measurements may be performed using measurement equipment integrated with the etch reactor (e.g., modules of the same etching system) or alternatively provided from measurement equipment not integrated with the etch reactor (e.g., stand alone equipment).
  • such ex-situ measurement information is determined using a TRANSFORMATM metrology module of the CENTURA® processing system, available from Applied Materials of Santa Clara, Calif.
  • the TRANSFORMATM metrology module may use one or more non-destructive optical measuring techniques, such as spectroscopy, interferometry, scatterometry, reflectometry, ellipsometry, and the like.
  • the measured parameters include topographic dimensions and profiles of the structures fabricated on substrates, as well as a thickness of either patterned or blanket dielectric and conductive films. Measurements for critical dimensions of the structures are typically performed in a plurality of regions of the substrate, such as a statistically significant number of regions (e.g., 5 to 9 or more regions), and then averaged for such a substrate.
  • the measurement information may be provided to the etch reactor from measurement equipment (e.g., stand alone equipment) not integrated with the etch reactor.
  • measurement equipment e.g., stand alone equipment
  • Such stand-alone equipment may provide measurement information using scanning electron microscopy (SEM), atomic force metrology (AFM), optical metrology, surface profiling, and the like.
  • the ex-situ measurement information may include information related to the topographic dimensions and profiles of the structures to be fabricated.
  • film thicknesses of either blanket or patterned films e.g., dielectric films and conductive films
  • mapping information e.g., location on a substrate
  • any anomalies in the measured data can cause the process parameters to be incorrectly established, resulting in incorrectly processed substrates.
  • the anomalies could be a result of poor photoresist patterning or measurement errors due to local wafer surface condition or defect.
  • an optional outlier filter can be used to remove from the data set any ex-situ data measurements that are not correct.
  • an outlier filter is applied to the ex-situ measurement data to remove any data points that are outliers.
  • the outlier filter can also examine the measurement results, such as means, maximum, minimum, standard deviations, goodness of fits and the likes, to determine if the substrate has received good photoresist patterning.
  • Step 14 queries if the substrate passes the “good” patterning criteria, the substrate should receive etch process as described in step 15 . If the results do not pass the “good” patterning criteria at step 14 , the substrate will not receive etch process and will be marked by the system for remeasurement, re-examination or rework.
  • the etch reactor within which the etch process is performed includes an in-situ monitoring apparatus configured to measure, in real time, metrics associated with the etch process. Performing in-situ real time monitoring during the etch process enhances the determination of, for example, the endpoint for such etch process independent of the etch rate. Additionally, such in-situ monitoring may provide fault detection determination with respect to the etch process (e.g., non-uniformities within the etch process outside of a predetermined range) as well as provide specific critical dimension information with respect to elements identified using ex-situ mapping of the substrate.
  • in-situ monitoring may provide fault detection determination with respect to the etch process (e.g., non-uniformities within the etch process outside of a predetermined range) as well as provide specific critical dimension information with respect to elements identified using ex-situ mapping of the substrate.
  • the in-situ metrology tool may be the EyeDTM metrology module, available from Applied Materials of Santa Clara, Calif.
  • EyeDTM chamber module 50 comprises of two parts. One is an interferometric and/or spectrometric measurement assembly to measure the film thickness and/or the width of structures. The other is an optical electromagnetic emission (OES) monitor assembly to monitor the chamber plasma state.
  • OES optical electromagnetic emission
  • the interferometric and/or spectrometric measurement assembly may be, for example, configured to perform an interferometric monitoring technique (e.g., counting interference fringes in the time domain, measuring position of the fringes in the frequency domain, and the like) to measure the etch depth profile of the structures being formed on the substrate in real time.
  • an interferometric monitoring technique e.g., counting interference fringes in the time domain, measuring position of the fringes in the frequency domain, and the like
  • Light 72 reflected from a wafer 75 are collected by beam-forming optics 74 and the signals are transmitted by a signal cable 73 to a spectrometer 59 .
  • the signals are analyzed by the spectrometer 59 and a processor 60 .
  • the analyzed results can be used to generate control commands that control the reactor chamber via controller 61 .
  • IEP interferometric endpoint
  • broadband light source 58 e.g., a mercury, deuterium or xenon lamp
  • Such a light source may be used in addition to or instead of using the plasma as the light source.
  • Details of film thickness measurement and control (or endpoint) by EyeDTM have been disclosed in commonly assigned U.S. Pat. No. 6,413,867, entitled “Film Thickness Control Using Spectral Interferometry”, issued on Jul. 2, 2002, and U.S. application Ser. No.
  • the assembly may also use one or more non-destructive optical measuring techniques, such as spectroscopy, scatterometry, reflectometry, and the like, to measure the width of structures.
  • spectroscopy As shown in FIG. 1B , light 72 reflected from the wafer 75 surfaces and interfaces passes through a window 71 and is collected by beam-forming optics 74 and signal cable 73 .
  • the signals are analyzed by a spectrometer 59 and a processor 60 ( FIG. 1A ) to determine the width of the structures. Details of how to determine the width of the structures will be described below.
  • the images in FIGS. 1A-1B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 3A and 3B .
  • OES optical electromagnetic emission
  • the OES monitor can be used to determine the degree of chamber matching and the source(s) of process and/or system fault.
  • OES signals emitted from the plasma 76 are collected by a signal collecting device 55 and the signals are transmitted by a signal cable 56 .
  • the signals are analyzed by the spectrometer 59 and the processor 60 .
  • the analyzed results can be used to generate control command and be used to control the reactor chamber via controller 61 . Details of this application have been disclosed in commonly assigned patent application Ser. No. 10/628,001, entitled “Method For Automatic Determination Of Semiconductor Plasma Chamber Matching And Source Of Fault By Comprehensive Plasma Monitoring”, filed on Jul. 25, 2003.
  • step 16 of FIG. 1 the sequence 10 queries if all substrates from the batch of such substrates have been processed. If the query of step 16 is negatively answered, the sequence 10 proceeds to step 12 . If the query of step 16 is affirmatively answered, the sequence 10 proceeds to step 18 . At step 18 , the sequence 10 ends.
  • the endpoint of a photoresist trimming process is monitored using ex-situ critical dimension (CD) measurement information in combination with in-situ monitoring performed during the trim process.
  • CD critical dimension
  • the in-situ monitoring uses a metric associated with a correlation between a vertical etch rate and a horizontal etch rate of the trimming process.
  • the in-situ monitoring uses a metric associated with a correlation between a spectral position of a minimum in the spectrum of radiation reflected from the substrate and a width for structures of the patterned mask.
  • a process system suitable for facilitating the trimming process includes a metrology module for measuring critical dimension (CD) (e.g., widths) of the structures of the patterned mask and an etch reactor for performing the etch process (e.g., trimming process).
  • CD critical dimension
  • the etch reactor includes an in-situ module for monitoring a metric associated with the structures being etched (e.g., spectral intensity of the radiation reflected from the substrate or a correlation between the vertical etch rate and the horizontal etch rate).
  • FIGS. 2A-2B depict a flow diagram of one exemplary etch process for monitoring the endpoint of a mask trimming process as a sequence 100 .
  • the sequence 100 includes a series of processing steps, which may be performed on test and product substrates.
  • FIGS. 3A-3B depict schematic, cross-sectional views of a substrate having a mask being trimmed using the inventive method.
  • the images in FIGS. 3A-3B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 2A-2B and FIGS. 3A-3B .
  • the sequence 100 starts at step 101 and proceeds to step 102 .
  • a layer 202 and a patterned mask 210 are illustratively formed on a substrate 200 (e.g., silicon wafer) ( FIG. 3A ).
  • a substrate 200 e.g., silicon wafer
  • FIG. 3A For convenience, herein the same schematic, cross-sectional view and respective reference numerals may relate to either a test or product substrate 200 .
  • the layer 202 may comprise a single material film (e.g., dielectric film, metal film, or any other film used in integrated circuits) or a multi-layered film stack, either unpatterned (as shown) or, alternatively, patterned. During a subsequent etch process, the layer 202 may be etched using the patterned mask 210 as an etch mask.
  • the layer 202 can be formed using any conventional thin film deposition technique, for example, atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like that is performed using, e.g., respective processing reactors of CENTURA®, ENDURA®, or other processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • the patterned mask 210 may generally be in a form of a photoresist mask, an ⁇ -carbon (i.e., amorphous carbon) mask, a hard mask, an Advanced Patterning FilmTM (APF) available from Applied Materials of Santa Clara, Calif., and the like.
  • the patterned mask 210 is a photoresist mask.
  • the patterned mask 210 has a height 214 and includes structures 220 and 230 (e.g., lines, walls, columns, and the like) having widths 206 and 218 , respectively.
  • the width 206 is smaller than the width 218 or a width of any other structure of the patterned mask 210 .
  • Structures 220 having the smallest widths i.e., critical dimensions, or CDs
  • CDs critical dimensions
  • the photoresist mask 210 may be fabricated using a lithographic process in which a pattern of features of the device to be formed is optically transferred into a layer of photoresist. The photoresist is then developed, unexposed portions of the photoresist are removed, and the remaining photoresist forms the patterned mask 210 .
  • the layer 202 may further comprise an optional anti-reflective coating (ARC). As sizes of structures of the patterned mask are reduced, inaccuracies in a pattern transfer process may arise from optical limitations inherent to the lithographic process, such as light reflection. The ARC enhances the resolution of the lithographic process by controlling reflection of the light used to expose the photoresist.
  • ARC anti-reflective coating
  • the lithographic process forms the structures 220 having smallest widths 206 of about 80 nm to about 120 nm.
  • Processes of forming the patterned mask 210 are described, for example, in commonly assigned U.S. patent application Ser. No. 10/218,244, filed Aug. 12, 2002 (Attorney docket number 7454), which is incorporated herein by reference.
  • the substrate(s) 200 are inspected and topographic dimensions for structures of the patterned mask 210 are measured.
  • the measured dimensions comprise critical dimensions, i.e., the width 206 of the structures 220 , as well as the height 214 of the patterned mask 210 .
  • the width 206 and height 214 are generally measured on each test substrate 200 of a batch of the test substrates.
  • Such measurements may be performed using a metrology tool that is ex-situ with respect to the etch reactor.
  • such optical measuring tool is the TRANSFORMATM metrology module of the CENTURA® processing system available from Applied Materials of Santa Clara, Calif.
  • the TRANSFORMATM metrology module may use one or more non-destructive optical measuring techniques, such as spectroscopy, interferometry, scatterometry, reflectometry, ellipsometry, and the like.
  • the measured parameters include topographic dimensions and profiles of the structures fabricated on substrates, as well as a thickness of either patterned or blanket dielectric and conductive films. Measurements of critical dimensions for the structures 220 are typically performed in a plurality of regions of the substrate 200 , such as a statistically significant number of the regions (e.g., 5 to 9 or more regions), and then averaged for such a substrate.
  • the photoresist patterned mask 210 typically comprises structures (e.g., structures 220 , 230 , and the like) which are scaled-up images of the features to be etched in the underlying layer(s), e.g., layer 202 .
  • the photoresist mask 210 should be trimmed to reduce the widths of such structures before the mask may be used as the etch mask.
  • an outlier filter may be optionally applied to the height and width values such that those data points that are outliers are removed from the data set. Such outliers are caused by poor local wafer surface conditions or defects. If not removed, outliers may result in the substrate processing parameters being incorrectly established.
  • the patterned mask 210 is trimmed to reduce the widths of the structures 220 and 230 to pre-determined values.
  • step 106 trims the structures 220 to a pre-determined width 216 .
  • step 106 reduces the width of any other structure (i.e., the width 218 of the structure 230 ) of the patterned mask 210 in the same ratio as the width 206 is reduced.
  • a trimming process is generally an isotropic etch process (e.g., isotropic plasma etch process) having a high lateral etch rate and high selectivity for the material of the patterned mask 210 (e.g., photoresist) over the material of the underlying layer 202 .
  • widths of the features of the patterned mask 210 i.e., structures 220 , 230 , and the like
  • the method establishes a “trim time” during which isotropic etch is performed. The value of the “trim time” establishes the amount of trimming that will occur.
  • Step 106 may be performed using an etch reactor, e.g., the Decoupled Plasma Source (DPS II) module of the CENTURA® system.
  • DPS II Decoupled Plasma Source
  • the DPS II module uses an inductive source (i.e., antenna) to produce a high-density plasma.
  • the photoresist mask 210 is trimmed using the DPS II module by providing hydrogen bromide (HBr) at a flow rate of 2 to 200 sccm, oxygen (O 2 ) at a flow rate of 5 to 100 sccm (e.g., a HBr:O 2 flow ratio ranging from 1:10 to 10:1), argon (Ar) at a flow rate of 10 to 200 sccm, applying power to an inductively coupled antenna between about 200 to 1000 W, applying a cathode bias power between 0 and 300 W, and maintaining a wafer pedestal temperature between 0 and 80 degrees Celsius at a pressure in the process chamber between 2 and 30 mTorr.
  • HBr hydrogen bromide
  • O 2 oxygen
  • Ar argon
  • One illustrative process provides hydrogen bromide (HBr) at a flow rate of 60 sccm, oxygen (O 2 ) at a flow rate of 28 sccm (i.e., a HBr:O 2 flow ratio of about 2:1), argon (Ar) at a flow rate of 60 sccm, applies 600 W of power to the inductively coupled antenna, 100 W of cathode bias power and maintains a wafer pedestal temperature of 50 degrees Celsius at a pressure of 4 mTorr.
  • HBr hydrogen bromide
  • oxygen oxygen
  • Ar argon
  • the etch reactor further comprises an in-situ metrology tool configured to measure in real time a metric that corresponds to a height of the structures on the substrate being etched (e.g., the height 214 of the structures 220 ).
  • the in-situ metrology tool illuminates the substrate 200 using a broadband radiation source to produce radiation (i.e., incident radiation) having wavelengths in ultra-violet and deep ultra-violet ranges, e.g., from about 200 to 800 nm.
  • radiation i.e., incident radiation
  • the in-situ measuring tool illuminates a region of the substrate 200 that is occupied by the structures (i.e., structures 220 ) having critical dimensions, such as the width 206 .
  • the intensity of incident radiation may optionally be modulated and/or pulsed, as well as the radiation may optionally be polarized.
  • the frequency of the incident radiation of modulation is about 10 Hz.
  • the incident radiation may be pulsed using a chopper.
  • results were obtained using the incident radiation linearly polarized in the direction (shown using arrow 209 ) that is approximately orthogonal to sidewalls of the structures 220 .
  • the in-situ measuring tool may be configured to perform interferometric and/or spectrometric measurements (discussed below in reference to FIG. 10 ).
  • such in-situ measuring tool is the EyeDTM, available from Applied Materials, Inc. of Santa Clara, Calif.
  • the ray R 5 further partially propagate through a surface 205 beneath the structure into the layer 202 (ray R 6 ) and absorbed therein, partially are trapped in (rays R 7 ) and absorbed by the material of the structure 220 (e.g., photoresist), and partially are reflected back (ray R 4 ).
  • a portion of the incident radiation (ray R 2 ) illuminating regions 203 near the structures 220 may partially propagate into the layer 202 (ray R 8 ) where they are absorbed, with a portion reflected back (ray R 9 ) from the layer 202 .
  • the sequence 100 uses the in-situ measuring tool configured to perform an interferometric measuring technique (e.g., counting interference fringes in the time domain, measuring position of the fringes in the frequency domain, and the like) to measure the height of the structures 220 of the patterned mask 210 .
  • the in-situ measuring tool uses the interference pattern formed by rays R 9 , R 4 and R 3 to measure, during the trimming process, to determine a change of the height 215 of the structures 220 with respect to a pre-selected height (e.g., starting height 214 ) of the structures.
  • a portion of the incident radiation may also be used as the reference radiation.
  • the interferometric measurements are performed using at least one wavelength in the spectrum of the radiation.
  • the in-situ measuring tool periodically measures in real time an intermediate height (i.e., height 215 ) of the structures 220 of patterned mask 210 as the trimming process progresses (for example, measurements performed every 100 milliseconds). The trimming process and measurements continue until the intermediate width 207 becomes equal to or smaller than the pre-determined width 216 . To increase accuracy when measuring the height 215 and width 207 , these measurements may be repeated using several test substrates 200 and then statistically processed (e.g., averaged).
  • a correlation between a vertical etch rate and the horizontal etch rate of the trimming process is defined. Such a correlation is defined using the results of measurements performed during step 106 . It has been discovered that, during a trimming process, a horizontal trim (i.e., etch) rate is proportional to the vertical trim rate. As such, any trimming process recipe may be characterized by a related fixed proportion between the horizontal and vertical etch rates.
  • horizontal trim rate and vertical trim rate relate to a rate of lateral etching the structures of the patterned mask 210 and the rate of decreasing the height of the structures during the trimming process, respectively.
  • the horizontal trim rate specifically relates to the rate of decreasing the width 206 and the vertical trim rate relates to the rate of decreasing the height 214 of the structures 220 .
  • the width i.e., width 207
  • the sequence 100 uses the correlation between a calculated target height 224 and the pre-determined width 216 of the structures 220 having the pre-trimmed height 214 and starting width 206 for close-loop control of an end point of the trimming process. As such, the structures 220 may be controllably trimmed to the pre-determined width 216 .
  • a batch of product substrates each having the patterned mask 210 is provided.
  • the width 206 and height 214 of the structures 220 are measured on each product substrate. In one exemplary embodiment, these measurements are performed using the same measuring tool (e.g., TRANSFORMATM metrology module) and same methodology as described above in step 104 with reference to the test substrates.
  • the target height 224 of the structures 220 is calculated for each product substrate using the defined correlation (step 108 ) between the starting width 206 (measured at step 110 ) of the structure to be trimmed, vertical trim rate of the trimming process, and the pre-determined (target) width 216 of the trimmed structure.
  • the patterned mask 210 of the product substrate is trimmed using an etch rector comprising the in-situ measuring tool adapted to measure, in real time, the height of the structures of the mask 210 .
  • the in-situ measuring tool in real time measures the intermediate height (i.e., height 215 ) of the structures 220 of the patterned mask 210 (e.g., photoresist mask) being trimmed and communicates the results of these measurements to a processor (or controller) of the etch reactor.
  • the trimming process is performed using the DPS II module comprising the in-situ measuring tool described above in reference to step 106 .
  • step 116 the sequence 100 queries if the height (i.e., intermediate height 215 ) of the structures 220 has been trimmed to the target height 224 calculated at step 112 above. If the query of step 116 is negatively answered, the sequence 100 proceeds to step 114 to continue the trimming process and real time in-situ measurements of the intermediate height 215 of the structures 220 . If the query of step 116 is affirmatively answered, the sequence 100 proceeds to an optional step 118 .
  • the layer 202 may be in-situ etched using the trimmed patterned mask 210 as an etch mask.
  • the sequence 100 queries if all product substrates from the batch of such substrates have been processed. If the query of step 120 is negatively answered, the sequence 100 proceeds to step 110 . If the query of step 120 is affirmatively answered, the sequence 100 proceeds to step 122 . At step 122 , the sequence 100 ends.
  • FIG. 4 depicts a graph 300 illustrating exemplary results of the measurements performed on the test substrate 200 during step 106 . More specifically, the graph 300 depicts a width (y-axis 302 ) of a structure (e.g., structure 220 ) of the patterned mask 210 versus the height (x-axis 304 ) of the structure during the trimming process. In the graph 300 , an angle 314 corresponds to a ratio between the horizontal and vertical trim rates.
  • the measurements 308 and 306 relate to the width 206 and height 214 of the structure 220 to be trimmed, respectively. Accordingly, the measurements 312 and 310 correspond to the pre-determined width 216 and the target height 224 of the trimmed structure 220 .
  • the target height 224 may be calculated as illustrated using arrow 316 .
  • FIGS. 5A-5B depict a flow diagram of another embodiment of the inventive method for endpoint detection of a mask trimming process as sequence 400 .
  • the sequence 400 includes a series of processing steps, which are performed on test and product substrates to accomplish the method.
  • FIGS. 2A-2B and FIGS. 5A-5B the same reference numerals are used to designate similar processing steps. To best understand the invention, the reader should simultaneously refer to FIGS. 5A-5B and FIGS. 3A-3B .
  • the sequence 400 starts at step 401 , performs step 102 , and proceeds to step 402 .
  • the test substrate 200 is transported to an etch reactor (e.g., the DPS II module of the CENTURA® system) comprising the in-situ optical measuring tool described above in reference to step 104 of the sequence 100 .
  • the sequence 400 uses the in-situ measuring tool configured to perform spectrometric measurements.
  • Step 402 trims the patterned mask 210 using, e.g., the trimming process described above in reference to step 106 , as well as contemporaneously performs measurements of the spectral intensity of a portion of the radiation reflected from the substrate 200 .
  • the term “spectral intensity” is used to describe distribution of energy of the radiation in the spectrum of the radiation.
  • the in-situ measuring tool performs these measurements using a portion of the radiation that is reflected from the region on the substrate 200 that is occupied by the structures 220 .
  • the trimming process is terminated periodically (for example, every 100 milliseconds) for measuring the intermediate width 207 of the structures 220 being trimmed.
  • These measurements may be performed in-situ using, e.g., the EyeDTM metrology module described above in reference to step 104 of the sequence 100 .
  • the spectral intensity of the radiation reflected back from the surfaces 221 , 205 and regions 203 does not change noticeably during the trimming process.
  • the sequence 400 uses the in-situ measuring tool that is adjusted to perform a spectroscopic measuring technique (i.e., measurements of intensity of the radiation versus a wavelength).
  • a spectroscopic measuring technique i.e., measurements of intensity of the radiation versus a wavelength.
  • the in-situ measuring tool defines a spectral location ⁇ MIN of a minimum in the spectrum of the radiation reflected from the substrate 200 with respect to a pre-selected reference wavelength.
  • the radiation reflected from the region occupied by the structures 220 has a low spectral intensity (i.e., a minimum in the spectrum of such reflected radiation) at the wavelength ⁇ MIN .
  • the spectral position i.e., wavelength ⁇ MIN
  • the minimum in the spectrum of the reflected radiation changes in a direction of the shorter wavelengths.
  • the in-situ measuring tool performs real time measurements of the spectral position of the minimum ⁇ MIN in the spectrum of the reflected radiation, as well as of the intermediate width 207 of the structures 220 .
  • the trimming process and in-situ measurements continue until the intermediate width 207 becomes equal to or smaller than the pre-determined (target) width 216 .
  • these measurements may be repeated using several test substrates 200 and then statistically processed (e.g., averaged).
  • a correlation between the spectral position of the minimum ⁇ MIN in the spectrum of reflected radiation and the intermediate width 207 of the structure 220 is defined using the results of measurements performed during step 402 .
  • Such a correlation allows calculating in real time the starting width 206 , as well as detecting the target width 216 of the structure 220 using the results of the in-situ measurements of
  • the patterned mask 210 of the product substrate of a batch of such substrates is trimmed using the etch rector comprising the in-situ measuring tool adapted to measure in real time the spectral position of the minimum ⁇ MIN in the spectrum of reflected radiation.
  • step 406 uses the DPS II module comprising the in-situ measuring tool described above in reference to step 402 .
  • the in-situ measuring tool is adjusted to illuminate on the product substrate the region occupied be the structures 220 of the patterned mask 210 (e.g., photoresist mask) being trimmed.
  • the in-situ measuring tool measures in real time the spectral position of the minimum ⁇ MIN and communicates the results of these measurements to a processor (or controller) of the etch reactor.
  • the sequence 400 queries if the width (i.e., intermediate width 207 ) of the structures 220 has been trimmed to the target width 216 calculated at step 404 above. If the query of step 408 is negatively answered, the sequence 400 proceeds to step 406 to continue the trimming process and real time in-situ measurements of the intermediate width 207 of the structures 220 being trimmed. If the query of step 408 is affirmatively answered, the sequence 400 proceeds to an optional step 118 .
  • the layer 202 may be in-situ etched using the trimmed patterned mask 210 as an etch mask.
  • the sequence 400 queries if all product substrates from the batch of such substrates have been processed. If the query of step 120 is negatively answered, the sequence 400 proceeds to step 406 . If the query of step 120 is affirmatively answered, the sequence 400 proceeds to step 122 . At step 122 , the sequence 400 ends.
  • FIGS. 6A-6B depict a series of graphs illustrating an example of changes in spectral intensity of radiation reflected from the substrate 200 during the trimming process.
  • a first graph 510 depicts intensity (y-axis 502 ) of the incident radiation versus a wavelength (x-axis 504 ).
  • a second graph 520 depicts changes, during the trimming process, in intensity (y-axis 522 ) of radiation reflected from the region on the substrate 200 occupied by the structures 220 versus a wavelength (x-axis 514 ).
  • the spectral intensity of the reflected radiation comprises a minimum 518 having a spectral position (i.e., wavelength ⁇ MIN ) 511 that corresponds to the starting width 206 of the structure 220 .
  • the width of the structures 220 decreases to the intermediate width 207 and the spectral position of the minimum 518 changes to the wavelength 513 that is shorter than the wavelength 51 .
  • the spectral position of the minimum 518 decreases to the wavelength 515 when, at moment T 3 , the structure 220 is trimmed to the target width 516 .
  • FIG. 7 depicts a graph 600 illustrating exemplary results of the measurements performed on the test substrate 200 during step 402 . More specifically, the graph 600 depicts a width (y-axis 602 ) of a structure of the patterned mask 210 versus the spectral position (i.e., wavelength ⁇ MIN ) of the minimum in the spectrum of radiation reflected from the region on the substrate 200 occupied by such structures (x-axis 604 ).
  • the measurements 608 and 606 relate to the wavelength 511 and the starting width 206 of the structure 220
  • the measurements 612 and 610 correspond to the wavelength 515 and the target width 216 of the structure 220 , respectively (wavelengths 511 and 515 are discussed in reference to FIG. 5B above).
  • the target width 216 may be calculated as illustrated using arrow 616 .
  • FIGS. 8A-8B depict a flow diagram of one exemplary etch process for monitoring a feature being etched in a material layer as a sequence 650 .
  • the sequence 650 includes a series of processing steps, which may be performed on test and product substrates.
  • FIGS. 9A-9B depict schematic, cross-sectional views of a substrate having a feature being etched in a material layer using the inventive method.
  • the images in FIGS. 9A-9B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 8A-8B and FIGS. 9A-9B .
  • the sequence 650 starts at step 651 and proceeds to step 652 .
  • a layer 202 and a patterned mask 210 are illustratively formed on a substrate 200 (e.g., silicon wafer) ( FIG. 9A ).
  • a substrate 200 e.g., silicon wafer
  • FIG. 9A For convenience, herein the same schematic, cross-sectional
  • views and respective reference numerals may relate to either a test or product substrate 200 .
  • the layer 202 may comprise a single material film (e.g., dielectric film, metal film, or any other film used in integrated circuits) or a multi-layered film stack, either unpatterned (as shown) or, alternatively, patterned. During a subsequent etch process, the layer 202 may be etched using the patterned mask 210 as an etch mask.
  • the layer 202 can be formed using any conventional thin film deposition technique, for example, atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like that is performed using, e.g., respective processing reactors of CENTURA®, ENDURA®, or other processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • the patterned mask 210 may generally be in a form of a photoresist mask, an ⁇ -carbon (i.e., amorphous carbon) mask, a hard mask, an Advanced Patterning FilmTM (APF) available from Applied Materials of Santa Clara, Calif., and the like.
  • the patterned mask 210 is a photoresist mask.
  • the patterned mask 210 has openings defining a structure 250 of a width 206 defined therein.
  • the photoresist mask 210 may be fabricated using a lithographic process in which a pattern of features of the device to be formed is optically transferred into a layer of photoresist. The photoresist is then developed, unexposed portions of the photoresist are removed, and the remaining photoresist forms the patterned mask 210 .
  • the layer 202 may further comprise an optional anti-reflective coating (ARC). As sizes of structures of the patterned mask are reduced, inaccuracies in a pattern transfer process may arise from optical limitations inherent to the lithographic process, such as light reflection. The ARC enhances the resolution of the lithographic process by controlling reflection of the light used to expose the photoresist.
  • ARC anti-reflective coating
  • the lithographic process forms the structures 220 having smallest widths 206 of about 80 nm to about 120 nm. Processes of forming the patterned mask 210 are described, for example, in commonly assigned U.S. patent application Ser. No. 10/218,244, filed Aug. 12, 2002, which is incorporated herein by reference.
  • the substrate(s) 200 are inspected and topographic dimensions for structures of the patterned mask 210 are measured.
  • the measured dimensions comprise critical dimensions, i.e., the width 206 of the structures 250 of the patterned mask 210 as well as a thickness for the layer 202 being etched.
  • the width 206 is generally measured on each test substrate 200 of a batch of the test substrates.
  • Such measurements may be performed using a metrology tool that is ex-situ with respect to the etch process.
  • such optical measuring tool is the TRANSFORMATM metrology module of the CENTURA® processing system available from Applied Materials of Santa Clara, Calif.
  • the TRANSFORMATM metrology module may use one or more non-destructive optical measuring techniques, such as spectroscopy, interferometry, scatterometry, reflectometry, ellipsometry, and the like.
  • the measured parameters include topographic dimensions and profiles of the structures fabricated on substrates, as well as a thickness of either patterned or blanket dielectric and conductive films. Measurements of critical dimensions for the structures 250 are typically performed in a plurality of regions of the substrate 200 , such as a statistically significant number of the regions (e.g., 5 to 9 or more regions), and then averaged for such a substrate.
  • the material layer is etched to a pre-determined depth.
  • step 656 etches the material layer 202 to a pre-determined depth 275 .
  • the etching process is generally an anisotropic etch process (e.g., anisotropic plasma etch process) having a high vertical etch rate and high selectivity for the material of the material layer 202 (e.g., silicon oxide) over the material of the mask 210 .
  • Step 656 may be performed using an etch reactor, e.g., the Decoupled Plasma Source (DPS II) module of the CENTURA® system.
  • DPS II Decoupled Plasma Source
  • the DPS II module uses an inductive source (i.e., antenna) to produce a high-density plasma.
  • the material layer 202 comprising silicon dioxide is etched using the DPS II module by providing carbon tetrafluoride (CF 4 ) at a flow rate of 2 to 200 sccm, argon (Ar) at a flow rate of 10 to 200 sccm, applying power to an inductively coupled antenna between about 200 to 1000 W, applying a cathode bias power between 0 and 300 W, and maintaining a wafer pedestal temperature between 0 and 80 degrees Celsius at a pressure in the process chamber between 2 and 30 mTorr.
  • CF 4 carbon tetrafluoride
  • Ar argon
  • One illustrative process provides carbon tetrafluoride (CF 4 ) at a flow rate of 60 sccm, argon (Ar) at a flow rate of 60 sccm, applies 600 W of power to the inductively coupled antenna, 100 W of cathode bias power and maintains a wafer pedestal temperature of 50 degrees Celsius at a pressure of 4 mTorr.
  • CF 4 carbon tetrafluoride
  • Ar argon
  • the etch reactor further comprises an in-situ metrology tool configured to measure in real time a metric that corresponds to an etch depth for the material layer being etched (e.g., etch depth 275 ).
  • the in-situ metrology tool can illuminate the substrate 200 using a broadband radiation source producing the radiation (i.e., incident radiation) having wavelengths in ultra-violet and deep ultra-violet ranges, e.g., from about 200 to 800 nm.
  • the radiation i.e., incident radiation
  • such a radiation is directed substantially perpendicular to the substrate 200 and illuminates a region having topographic dimensions of less than about 1 mm.
  • the in-situ measuring tool illuminates a region of the substrate 200 that is occupied by the structures (i.e., structures 250 ) having critical dimensions, such as the width 206 .
  • the structures i.e., structures 250
  • critical dimensions such as the width 206 .
  • Details of film thickness measurement and control (or endpoint) by EyeDTM have been disclosed in commonly assigned U.S. Pat. No. 6,413,867, entitled “Film thickness Control Using Spectral Interferometry”, issued on Jul. 2, 2002, and U.S. application Ser. No. 10/286,402 entitled “Interferometric Endpoint Determination In A Substrate Etching Process”, filed Nov. 1, 2002.
  • etch depth and etching process endpoint can be determined by counting the constructive or destructive interference fringes (intensity maximum or minimum) of reflected light beams from the wafer (or substrate) surface.
  • An example interference fringe trend signal graph is shown in FIG. 9C .
  • the period t 1 between peak 301 to peak 302 (or bottom to bottom) equals the period t 2 between peak 302 to peak 303 .
  • the thickness of material etched during t 1 (or t 2 ) equals ⁇ /2 ( ⁇ is the wavelength being monitored).
  • the equal periods indicate a steady etch rate and constant peak intensity I 1 of peaks 301 , 302 and 303 indicates constant signal intensity.
  • Discrete Fourier Transform (DFT) to convert the intensity versus time graph to a frequency versus time graph. If the intensity versus time graph is sinusoidal, the transformed intensity versus frequency graph will be a vertical line C 1 at f 1 , as shown in FIG. 9D . If the intensity graph of FIG. 9C is not sinusoidal, the transformed graph will be like curve C 2 in FIG. 9D with peak of the curve at f 1 . f 1 is the inverse of t 1 (1/t 1 ), which is the etch time of half of the monitored wavelength ( ⁇ /2). Since the intensity versus time curve is broad versus time, it's not easy to accurately determine the location of peaks 301 and 302 to determine T 3 and T 4 .
  • DFT Discrete Fourier Transform
  • t 1 (etch time) value cannot be accurately calculated.
  • the Discrete Fourier Transformed intensity versus time curve is narrower and can produce much more accurate peak 308 of the graph to accurately determine f 1 , which is the inverse of t 1 (1/t 1 ). Since period t 1 equals period t 2 , a time duration selected from time T 3 to time T 5 (beyond T 4 ) would still yield only one peak frequency
  • the interference trend signal graph is not as ideal as that shown in FIG. 9C .
  • An example of a non-ideal graph of interference fringe intensity versus time is shown as FIG. 9E .
  • the period t 7 between peak 304 to peak 305 is greater than the period t 8 between peaks 305 and 306 .
  • the decreasing periods indicate an increase in etch rate, possibly due to etch loading effect.
  • the peak intensities I 3 , I 4 , I 5 and I 6 of peaks 304 , 305 , 306 and 307 also decrease with etch time, which indicates decreasing reflected signals with time.
  • the increasing etch rate with time, in accompanying decreasing signals, could be observed during contact, via and trench etch.
  • FIG. 9E An example of a non-ideal graph of interference fringe intensity versus time is shown as FIG. 9E .
  • the period t 7 between peak 304 to peak 305 is greater than the period t 8 between peaks 305 and 306 .
  • the decreasing periods indicate an increase in
  • FIG. 9F shows a DFT processed portion of the graph of FIG. 9E between times T 10 and T 11 . Since the period t 6 between T 10 and T 11 is shorter than the full intensity cycle (peak to peak), the DFT did not yield a frequency maximum, as seen in FIG. 9D . If the DFT is performed on the signal between times T 10 and T 12 , the resulting intensity versus frequency graph yields a maximum f 2 (1/t 7 ) that provides an accurate measure of period t 7 . However, if a DFT is performed on the signal between times T 10 and T 13 , the resulting intensity versus frequency graph does not yield a singular maximum, but a combined result of dual curves with dual peaks as shown in FIG. 9H .
  • the in-situ measuring tool periodically measures in real time an intermediate etch depth (i.e., etch depth 265 ) of the structures 250 being etched in material layer 202 during the etch process (for example, measurements every 100 milliseconds). The etch process and measurements continue until the intermediate depth equals the pre-determined depth 275 .
  • an intermediate etch depth i.e., etch depth 265
  • a vertical etch rate for the etch process is defined. Such an etch rate is defined using the results of measurements performed during step 656 .
  • Etch depth and etch rate may be measured by tracking reflectance fringes of a particular wavelength ( ⁇ ) in a time series. For example, the etch depth equals half of the wavelength ( ⁇ /2) when the reflectance pattern reaches a wave cycle. The etch rate can be calculated by dividing the etch depth by the duration.
  • a batch of product substrates each having the patterned mask 210 is provided.
  • the width 206 and layer 202 thickness are measured on each product substrate. In one exemplary embodiment, these measurements are performed using the same measuring tool (e.g., TRANSFORMATM metrology module) and same methodology as described above in step 654 with reference to the test substrates.
  • the target etch rate of the structures 250 is calculated for each product substrate using the defined correlation (step 108 ) between the starting width 206 (measured at step 660 ) of the structure to be etched, vertical etch rate of the etching process, and the pre-determined (target) etch depth 275 of the etched structure.
  • the structures 250 are formed in the material layer 202 of the product substrate using an etch rector comprising the in-situ measuring tool adapted to measure in real time the etch depth profile of the structures 250 .
  • the in-situ measuring tool in real time measures the intermediate depth (i.e., height 275 ) of the structures 250 being etched and communicates the results of these measurements to a processor (or controller) of the etch reactor.
  • the etch process is performed using the DPS II module comprising the in-situ measuring tool described above in reference to step 656 .
  • the sequence 650 queries if the etch depth (i.e., intermediate depth 265 ) of the structures 250 has been etched to the target depth 275 calculated at step 662 above. If the query of step 666 is negatively answered, the sequence 650 proceeds to step 664 to continue the etching process and real time in-situ measurements of the intermediate height 275 of the structures 250 . If the query of step 666 is affirmatively answered, the sequence 650 proceeds to step 668 .
  • the etch depth i.e., intermediate depth 265
  • step 668 the sequence 650 queries if all product substrates from the batch of such substrates have been processed. If the query of step 668 is negatively answered, the sequence 650 proceeds to step 660 . If the query of step 668 is affirmatively answered, the sequence 650 proceeds to step 670 . At step 670 , the sequence 650 ends.
  • FIG. 10 depicts a schematic diagram of an exemplary Decoupled Plasma Source (DPS) II etch reactor 1000 that may illustratively be used to practice the invention.
  • the DPS II reactor 1000 is a processing module of a CENTURA® integrated semiconductor wafer processing system available from Applied Materials, Inc. of Santa Clara, Calif.
  • the particular embodiment of the reactor 1000 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • the reactor 1000 generally comprises a process chamber 1010 having a substrate pedestal 1016 within a conductive body (wall) 1030 , and a controller 1040 .
  • the chamber 1010 is supplied with a substantially flat dielectric ceiling 1020 .
  • Other modifications of the chamber 1010 may have other types of ceilings, e.g., a dome-shaped ceiling.
  • an antenna 1012 comprising one ore more inductive coil elements (two co-axial elements 1012 a and 1012 b are shown) that may be selectively controlled.
  • the antenna 1012 is coupled, through a first matching network 1019 , to a plasma power source 1018 .
  • the plasma power source 1018 typically is capable of producing up to 3000 W at a tunable frequency in a range from 50 kHz to 13.56 MHz.
  • the substrate pedestal (cathode) 1016 is coupled, through a second matching network 1024 , to a biasing power source 1022 .
  • the biasing source 1022 generally is a source of up to 500 W at a frequency of approximately 13.56 MHz that is capable of producing either continuous or pulsed power. In other embodiments, the source 1022 may be a DC or pulsed DC source.
  • a controller 1040 comprises a central processing unit (CPU) 1044 , a memory 1042 , and support circuits 1046 for the CPU 1044 and facilitates control of the components of the process chamber 1010 and, as such, of the etch process, as discussed below in further detail.
  • CPU central processing unit
  • An etchant gas and a passivation gas are provided to the process chamber 1010 from a gas panel 1038 .
  • the etchant gas is typically supplied through one ore more inlets 1026 (two inlets are shown) located above the substrate pedestal 1016 .
  • the passivation gas is supplied from the gas panel 1038 through a plurality of inlets 1028 (e.g., openings, injectors, and the like).
  • the inlets 1028 (two inlets are shown) are generally located substantially equidistantly around the substrate pedestal 1016 approximately coplanar with a semiconductor wafer 1014 being etched.
  • Embodiment and location of the inlets 1028 are selected to provide high controlled concentration of the passivation gas in a peripheral region (i.e., annular region near the edge) of the wafer 1014 .
  • the etchant gas and the passivation gas are delivered to the process chamber 1010 using separate gas conduits (conduits 1037 and 1039 , respectively) and do not mix until they are dispersed into a reaction volume 1053 of the chamber.
  • the etchant gas is provided to the inlets 1026 using an annular gas channel 1027 and, similarly, the passivation gas is provided to the inlets 1028 using an annular gas channel 1029 .
  • the gas channels 1027 and 1029 may be formed in the wall 1030 or in gas rings (as shown) that are coupled to the wall 1030 .
  • the etchant and passivation gases form a gaseous mixture 1050 .
  • the gaseous mixture 1050 is ignited into a plasma 1055 by applying power from the plasma source 1018 to the antenna 1012 .
  • the gas pressure in the chamber 1010 is controlled using a throttle valve 1063 and a vacuum pump 1036 .
  • the temperature of the wall 1030 may be controlled using liquid-containing conduits (not shown) that run through the wall 1030 .
  • the chamber wall 1030 is formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and coupled to an electrical ground 1034 .
  • the temperature of the wafer 1014 is controlled by stabilizing a temperature of the substrate pedestal 1016 .
  • a backside gas e.g., helium (He)
  • a gas source 1048 is provided via a gas conduit 1049 to channels that are formed in the pedestal surface under the wafer 1014 .
  • the backside gas is used to facilitate heat transfer between the pedestal 1016 and the wafer 1014 .
  • the pedestal 1016 may be heated by an embedded resistive heater 1032 to a steady-state temperature and then the helium gas facilitates uniform heating of the wafer 1014 .
  • the wafer 1014 may be maintained at a temperature between about 0 and 350 degrees Celsius.
  • the substrate pedestal 1016 comprises an electrostatic chuck 1060 , an edge ring 1015 , the resistive heater 1032 , a heat sink 1066 , and a mounting assembly 1006 .
  • the electrostatic chuck 1060 comprises at least one clamping electrode 1080 and is controlled by a chuck power supply 1076 .
  • the resistive heater 1032 generally comprises at least one heating element 1082 and is regulated by a heater power supply 1078 .
  • the substrate pedestal 1016 may comprise a susceptor clamp ring, a mechanical chuck, and the like substrate retention mechanism.
  • the lift mechanism 1062 is used to raise the wafer 1014 off the substrate support 1016 or to lower the substrate onto the substrate support.
  • the lift mechanism 1062 comprises a plurality of lift pins 1072 (one lift pin is shown) that travel through respective guide holes 1088 .
  • the process chamber 1010 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 1007 .
  • etch chambers may be used to practice the invention, including chambers with remote plasma sources, electron cyclotron resonance (ECR) plasma chambers, and the like.
  • ECR electron cyclotron resonance
  • the controller 1040 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the memory, or computer-readable medium, 1042 of the CPU 1044 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 1046 are coupled to the CPU 1044 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • the inventive method is generally stored in the memory 1042 as a software routine. Alternatively, such software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 1044 .
  • Applied Materials' Transforma system 800 An example of an etch system that is integrated with an ex-situ metrology tool with the capability of measuring CDs and film thickness is Applied Materials' Transforma system 800 ( FIG. 11 ).
  • Applied Materials' Transforma system 800 FIG. 11 .
  • Detailed information describing Applied Materials' Transforma system has been disclosed in a commonly assigned U.S. patent application Ser. No. 10/428,145, titled “Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices”, filed on May 1, 2003.
  • the system comprises a chamber or “mainframe” 801 , such as the CenturaTM processing system for mounting a plurality of processing chambers 802 , e.g., conventional etch reactors, such as DPSIITM silicon etch chambers and one or more transfer chambers 803 , also called “load locks”.
  • processing chambers 802 e.g., conventional etch reactors, such as DPSIITM silicon etch chambers and one or more transfer chambers 803 , also called “load locks”.
  • four etch processing chambers 802 are mounted to the mainframe 801 .
  • three etch processing chambers 802 are used for etching and one is optionally used for post-etch cleaning (i.e. removing photoresist polymers and other residue from wafers after etching).
  • a robot 804 is provided within the mainframe 801 for transferring wafers between the processing chambers 802 and the transfer chambers 803 .
  • the transfer chambers 803 are connected to a factory interface 805 , also known as a “mini environment”, which maintains a controlled environment.
  • a metrology (or measurement) tool 806 could be integrated in the load lock area 805 and with high-speed data collection and analysis capabilities, every wafer that enters the system 800 can be measured for thickness before and after etch processing.
  • the metrology tool 806 could also be placed at different location within the process system 800 .
  • One or more of the process chambers 802 could also be deposition chambers, since the concept of the invention also applies to deposition process.
  • the invention may be practiced using other semiconductor wafer processing systems wherein the processing parameters may be adjusted to achieve acceptable characteristics by those skilled in the arts by utilizing the teachings disclosed herein without departing from the spirit of the invention.

Abstract

A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 10/674,568, filed Sep. 29, 2003 (APPM/6716), which claims benefit of U.S. Provisional Application No. 60/479,601 filed Jun. 18, 2003, U.S. Provisional Application No. 60/462,493 filed Apr. 11, 2003 and U.S. Provisional Application No. 60/490,795 filed Jul. 28, 2003, all of which are hereby incorporated by reference in their entireties.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to semiconductor substrate processing systems. More specifically, the present invention relates to a method and apparatus for monitoring an etch process.
  • 2. Description of the Related Art
  • To increase operational speed, devices (e.g., transistors, capacitors, and the like) in integrated microelectronic circuits have become ever smaller. One method of fabricating such devices comprises forming a patterned mask (e.g., photoresist mask) on a material layer formed on a substrate and then etching the material layer using the patterned mask as an etch mask. The etch mask generally is a replica of the structure being formed (i.e., etched) in the underlying material layer (or layers). As such the etch mask has the same topographic dimensions as the structures to be formed in the underlying layer(s).
  • Typically, the smallest widths for elements of an etch mask, such as lines, columns, openings, spaces between lines, and the like are measured. Such smallest widths are known as “critical dimensions”, or CDs. In advanced ultra large scale integrated (ULSI) circuits, the critical dimensions are generally sub-micron dimensions of about 20 to 200 nm. Additionally, thickness measurements for material layers to be etched are ascertained. Parameters for an etch process recipe are generally selected using statistically generated results of the critical dimension measurements of the patterned masks formed on wafers as well as the thickness measurements of material layers to be etched.
  • Accurate etch process monitoring is critical when etching a material layer using a patterned mask. In particular, manufacturing variables for the etch process may decrease dimensional accuracy of devices formed therewith, as well as cause a broad statistical distribution (i.e., a large σ, where is σ a standard deviation) for the dimensions of devices formed on substrates within a group (i.e., batch) of substrates.
  • Therefore, there is a need in the art for improved etch process monitoring in the fabrication of integrated circuits.
  • SUMMARY OF THE INVENTION
  • The present invention is a method and system for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
  • The ex-situ measurement information is performed prior to and/or after the etch process. The ex-situ measurement information is provided to an etch reactor and is used during the etch process in combination with the in-situ monitoring. The ex-situ measurements may be performed using measurement equipment integrated with the etch reactor (e.g., modules of the same etching system) or alternatively provided from measurement equipment not integrated with the etch reactor (e.g., stand alone equipment). The in-situ monitoring may be performed using measurement equipment coupled to the etch reactor.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 depicts a flow diagram of a method for monitoring an etch process according to the present invention;
  • FIG. 1A depicts an in-situ metrology tool with interferometric and/or spectrometric measurement assembly and an optical electromagnetic emission (OES) monitoring assembly;
  • FIG. 1B is a schematic diagram of a process chamber showing a path for reflected light from a wafer inside the process chamber to a light collecting devices;
  • FIGS. 2A-2B depict a flow diagram of a method for monitoring a mask trimming process in accordance with one embodiment of the present invention;
  • FIGS. 3A-3B depict schematic, cross-sectional views of a substrate having a patterned mask being trimmed;
  • FIG. 4 shows a graph illustrating the correlation between vertical and horizontal etch rates during a mask trimming process;
  • FIGS. 5A-5B depict a flow diagram of a method monitoring a mask trimming process in accordance with another embodiment of the present invention;
  • FIGS. 6A-6B depict a series of graphs illustrating changes in the spectral intensity of radiation reflected from a substrate during a mask trimming process;
  • FIG. 7 shows a graph illustrating the correlation between the spectral position of a minimum in the spectrum of reflected radiation and the width of the trimmed patterned mask features;
  • FIGS. 8A-8B depict a flow diagram of a method of monitoring a material layer etch process in accordance with another embodiment of the present invention;
  • FIGS. 9A-9B depict a series of schematic, cross-sectional views of a substrate having a material layer being etched;
  • FIG. 9C depicts a graph of an interference fringe intensity versus time during steady intensity period;
  • FIG. 9D depicts a graph of an interference fringe intensity versus frequency;
  • FIG. 9E depicts a graph of an interference fringe intensity versus frequency during decreasing intensity periods;
  • FIG. 9F depicts a graph of the interference fringe intensity versus frequency between times T10 to T11 of FIG. 9E;
  • FIG. 9G depicts a graph of the interference fringe intensity versus frequency between times T10 to T12 of FIG. 9E;
  • FIG. 9H depicts a graph of the interference fringe intensity versus frequency between times T10 to T13 of FIG. 9E;
  • FIG. 10 depicts a schematic view of an exemplary etch reactor including an in-situ monitoring module of the kind used in performing portions of the invention; and
  • FIG. 11 depicts a schematic diagram of an exemplary process system of the kind used in performing portions of the invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention is a method and system for monitoring an etch process. The etch process is monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like. Fault detection of an integrated circuit manufacturing process may include for example, monitoring the etch process to determine when the critical dimensions (CD) of features being etched are outside of a pre-determined range so as to halt such process.
  • Ex-situ measurement information is performed prior to and/or after the etch process. The ex-situ measurement information is provided to an etch reactor and is used during the etch process in combination with the in-situ monitoring. The ex-situ measurements may be performed using measurement equipment integrated with the etch reactor (e.g., modules of the same etching system) or alternatively provided from measurement equipment not integrated with the etch reactor (e.g., stand alone equipment). The in-situ monitoring may be performed using measurement equipment coupled to the etch reactor.
  • FIG. 1 depicts a flow diagram of the inventive method for monitoring an etch process as sequence 10. The sequence 10 includes a series of processing steps, which may be performed on test and product substrates to accomplish the method.
  • The sequence 10 starts at step 11 and proceeds to step 12. At step 12, measurement information is provided ex-situ to the etch process. In one embodiment, the ex-situ measurement information comprises critical dimension (CD) information, i.e., the width of the structures, as well as the height of for example a patterned mask. The ex-situ measurement information is generally provided for each substrate of a batch of the substrates. Such ex-situ measurements may be performed using measurement equipment integrated with the etch reactor (e.g., modules of the same etching system) or alternatively provided from measurement equipment not integrated with the etch reactor (e.g., stand alone equipment).
  • In one exemplary embodiment, such ex-situ measurement information is determined using a TRANSFORMA™ metrology module of the CENTURA® processing system, available from Applied Materials of Santa Clara, Calif. The TRANSFORMA™ metrology module may use one or more non-destructive optical measuring techniques, such as spectroscopy, interferometry, scatterometry, reflectometry, ellipsometry, and the like. The measured parameters include topographic dimensions and profiles of the structures fabricated on substrates, as well as a thickness of either patterned or blanket dielectric and conductive films. Measurements for critical dimensions of the structures are typically performed in a plurality of regions of the substrate, such as a statistically significant number of regions (e.g., 5 to 9 or more regions), and then averaged for such a substrate.
  • Alternatively, the measurement information may be provided to the etch reactor from measurement equipment (e.g., stand alone equipment) not integrated with the etch reactor. Such stand-alone equipment may provide measurement information using scanning electron microscopy (SEM), atomic force metrology (AFM), optical metrology, surface profiling, and the like.
  • The ex-situ measurement information may include information related to the topographic dimensions and profiles of the structures to be fabricated. In addition, film thicknesses of either blanket or patterned films (e.g., dielectric films and conductive films) may be provided along with mapping information (e.g., location on a substrate) with respect to film thickness uniformity across topographic structures formed on the substrate.
  • Since the ex-situ measurements are used to set the parameters of a subsequent process, any anomalies in the measured data can cause the process parameters to be incorrectly established, resulting in incorrectly processed substrates. The anomalies could be a result of poor photoresist patterning or measurement errors due to local wafer surface condition or defect. To avoid having the process parameters established using incorrect measurement data, an optional outlier filter can be used to remove from the data set any ex-situ data measurements that are not correct. At step 13 (shown in phantom to indicate that this step is optional), an outlier filter is applied to the ex-situ measurement data to remove any data points that are outliers. The outlier filter can also examine the measurement results, such as means, maximum, minimum, standard deviations, goodness of fits and the likes, to determine if the substrate has received good photoresist patterning. Step 14 queries if the substrate passes the “good” patterning criteria, the substrate should receive etch process as described in step 15. If the results do not pass the “good” patterning criteria at step 14, the substrate will not receive etch process and will be marked by the system for remeasurement, re-examination or rework.
  • At step 15, the etch process is performed. The etch reactor within which the etch process is performed includes an in-situ monitoring apparatus configured to measure, in real time, metrics associated with the etch process. Performing in-situ real time monitoring during the etch process enhances the determination of, for example, the endpoint for such etch process independent of the etch rate. Additionally, such in-situ monitoring may provide fault detection determination with respect to the etch process (e.g., non-uniformities within the etch process outside of a predetermined range) as well as provide specific critical dimension information with respect to elements identified using ex-situ mapping of the substrate.
  • In one exemplary embodiment, the in-situ metrology tool may be the EyeD™ metrology module, available from Applied Materials of Santa Clara, Calif. As shown in FIG. 1A, EyeD™ chamber module 50 comprises of two parts. One is an interferometric and/or spectrometric measurement assembly to measure the film thickness and/or the width of structures. The other is an optical electromagnetic emission (OES) monitor assembly to monitor the chamber plasma state.
  • The interferometric and/or spectrometric measurement assembly may be, for example, configured to perform an interferometric monitoring technique (e.g., counting interference fringes in the time domain, measuring position of the fringes in the frequency domain, and the like) to measure the etch depth profile of the structures being formed on the substrate in real time. Light 72 reflected from a wafer 75 are collected by beam-forming optics 74 and the signals are transmitted by a signal cable 73 to a spectrometer 59. The signals are analyzed by the spectrometer 59 and a processor 60. The analyzed results can be used to generate control commands that control the reactor chamber via controller 61. If the assembly is used to control the endpoint of an etch process, it is called “interferometric endpoint” (IEP). External light from broadband light source 58, e.g., a mercury, deuterium or xenon lamp, could be employed to provide light through fiber optic cable 54 to the wafer. Such a light source may be used in addition to or instead of using the plasma as the light source. Details of film thickness measurement and control (or endpoint) by EyeD™ have been disclosed in commonly assigned U.S. Pat. No. 6,413,867, entitled “Film Thickness Control Using Spectral Interferometry”, issued on Jul. 2, 2002, and U.S. application Ser. No. 10/286,402 entitled “Interferometric Endpoint Determination In A Substrate Etching Process”, filed Nov. 1, 2002. The assembly may also use one or more non-destructive optical measuring techniques, such as spectroscopy, scatterometry, reflectometry, and the like, to measure the width of structures. As shown in FIG. 1B, light 72 reflected from the wafer 75 surfaces and interfaces passes through a window 71 and is collected by beam-forming optics 74 and signal cable 73. The signals are analyzed by a spectrometer 59 and a processor 60 (FIG. 1A) to determine the width of the structures. Details of how to determine the width of the structures will be described below. The images in FIGS. 1A-1B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 3A and 3B.
  • Another EyeD™ chamber module is an optical electromagnetic emission (OES) monitor assembly to monitor the chamber plasma state. The OES monitor can be used to determine the degree of chamber matching and the source(s) of process and/or system fault. OES signals emitted from the plasma 76 are collected by a signal collecting device 55 and the signals are transmitted by a signal cable 56. The signals are analyzed by the spectrometer 59 and the processor 60. The analyzed results can be used to generate control command and be used to control the reactor chamber via controller 61. Details of this application have been disclosed in commonly assigned patent application Ser. No. 10/628,001, entitled “Method For Automatic Determination Of Semiconductor Plasma Chamber Matching And Source Of Fault By Comprehensive Plasma Monitoring”, filed on Jul. 25, 2003.
  • At step 16 of FIG. 1, the sequence 10 queries if all substrates from the batch of such substrates have been processed. If the query of step 16 is negatively answered, the sequence 10 proceeds to step 12. If the query of step 16 is affirmatively answered, the sequence 10 proceeds to step 18. At step 18, the sequence 10 ends.
  • In one illustrative etch process, the endpoint of a photoresist trimming process is monitored using ex-situ critical dimension (CD) measurement information in combination with in-situ monitoring performed during the trim process. In one embodiment, the in-situ monitoring uses a metric associated with a correlation between a vertical etch rate and a horizontal etch rate of the trimming process. In another embodiment, the in-situ monitoring uses a metric associated with a correlation between a spectral position of a minimum in the spectrum of radiation reflected from the substrate and a width for structures of the patterned mask.
  • A process system suitable for facilitating the trimming process includes a metrology module for measuring critical dimension (CD) (e.g., widths) of the structures of the patterned mask and an etch reactor for performing the etch process (e.g., trimming process). The etch reactor includes an in-situ module for monitoring a metric associated with the structures being etched (e.g., spectral intensity of the radiation reflected from the substrate or a correlation between the vertical etch rate and the horizontal etch rate).
  • FIGS. 2A-2B depict a flow diagram of one exemplary etch process for monitoring the endpoint of a mask trimming process as a sequence 100. The sequence 100 includes a series of processing steps, which may be performed on test and product substrates.
  • FIGS. 3A-3B depict schematic, cross-sectional views of a substrate having a mask being trimmed using the inventive method. The images in FIGS. 3A-3B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 2A-2B and FIGS. 3A-3B.
  • The sequence 100 starts at step 101 and proceeds to step 102. At step 102, a layer 202 and a patterned mask 210 are illustratively formed on a substrate 200 (e.g., silicon wafer) (FIG. 3A). For convenience, herein the same schematic, cross-sectional view and respective reference numerals may relate to either a test or product substrate 200.
  • The layer 202 may comprise a single material film (e.g., dielectric film, metal film, or any other film used in integrated circuits) or a multi-layered film stack, either unpatterned (as shown) or, alternatively, patterned. During a subsequent etch process, the layer 202 may be etched using the patterned mask 210 as an etch mask. The layer 202 can be formed using any conventional thin film deposition technique, for example, atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like that is performed using, e.g., respective processing reactors of CENTURA®, ENDURA®, or other processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • The patterned mask 210 may generally be in a form of a photoresist mask, an α-carbon (i.e., amorphous carbon) mask, a hard mask, an Advanced Patterning Film™ (APF) available from Applied Materials of Santa Clara, Calif., and the like. In one exemplary embodiment, the patterned mask 210 is a photoresist mask. Illustratively, referring to FIG. 3A, the patterned mask 210 has a height 214 and includes structures 220 and 230 (e.g., lines, walls, columns, and the like) having widths 206 and 218, respectively. The width 206 is smaller than the width 218 or a width of any other structure of the patterned mask 210. Structures 220 having the smallest widths (i.e., critical dimensions, or CDs) generally are the most difficult structures to fabricate on the patterned mask.
  • The photoresist mask 210 may be fabricated using a lithographic process in which a pattern of features of the device to be formed is optically transferred into a layer of photoresist. The photoresist is then developed, unexposed portions of the photoresist are removed, and the remaining photoresist forms the patterned mask 210. When the patterned mask 210 is a photoresist mask, the layer 202 may further comprise an optional anti-reflective coating (ARC). As sizes of structures of the patterned mask are reduced, inaccuracies in a pattern transfer process may arise from optical limitations inherent to the lithographic process, such as light reflection. The ARC enhances the resolution of the lithographic process by controlling reflection of the light used to expose the photoresist. In one exemplary embodiment, the lithographic process forms the structures 220 having smallest widths 206 of about 80 nm to about 120 nm. Processes of forming the patterned mask 210 are described, for example, in commonly assigned U.S. patent application Ser. No. 10/218,244, filed Aug. 12, 2002 (Attorney docket number 7454), which is incorporated herein by reference.
  • At step 104, the substrate(s) 200 are inspected and topographic dimensions for structures of the patterned mask 210 are measured. In one embodiment, the measured dimensions comprise critical dimensions, i.e., the width 206 of the structures 220, as well as the height 214 of the patterned mask 210. The width 206 and height 214 are generally measured on each test substrate 200 of a batch of the test substrates. Such measurements may be performed using a metrology tool that is ex-situ with respect to the etch reactor. In one exemplary embodiment, such optical measuring tool is the TRANSFORMA™ metrology module of the CENTURA® processing system available from Applied Materials of Santa Clara, Calif. The TRANSFORMA™ metrology module may use one or more non-destructive optical measuring techniques, such as spectroscopy, interferometry, scatterometry, reflectometry, ellipsometry, and the like.
  • The measured parameters include topographic dimensions and profiles of the structures fabricated on substrates, as well as a thickness of either patterned or blanket dielectric and conductive films. Measurements of critical dimensions for the structures 220 are typically performed in a plurality of regions of the substrate 200, such as a statistically significant number of the regions (e.g., 5 to 9 or more regions), and then averaged for such a substrate.
  • Due to optical limitations of the lithographic process, the photoresist patterned mask 210 typically comprises structures (e.g., structures 220, 230, and the like) which are scaled-up images of the features to be etched in the underlying layer(s), e.g., layer 202. As such, after the lithographic process, the photoresist mask 210 should be trimmed to reduce the widths of such structures before the mask may be used as the etch mask.
  • At step 105, an outlier filter may be optionally applied to the height and width values such that those data points that are outliers are removed from the data set. Such outliers are caused by poor local wafer surface conditions or defects. If not removed, outliers may result in the substrate processing parameters being incorrectly established.
  • At step 106, the patterned mask 210 is trimmed to reduce the widths of the structures 220 and 230 to pre-determined values. As illustratively shown in FIG. 3B, step 106 trims the structures 220 to a pre-determined width 216. Simultaneously, step 106 reduces the width of any other structure (i.e., the width 218 of the structure 230) of the patterned mask 210 in the same ratio as the width 206 is reduced. A trimming process is generally an isotropic etch process (e.g., isotropic plasma etch process) having a high lateral etch rate and high selectivity for the material of the patterned mask 210 (e.g., photoresist) over the material of the underlying layer 202. Typically, using the trimming process, widths of the features of the patterned mask 210 (i.e., structures 220, 230, and the like) may reduced in a range of about 35 to 80%. By knowing the width and height of the patterned mask 210, the method establishes a “trim time” during which isotropic etch is performed. The value of the “trim time” establishes the amount of trimming that will occur.
  • Step 106 may be performed using an etch reactor, e.g., the Decoupled Plasma Source (DPS II) module of the CENTURA® system. The DPS II module (discussed below in reference to FIG. 10) uses an inductive source (i.e., antenna) to produce a high-density plasma.
  • In one exemplary embodiment, the photoresist mask 210 is trimmed using the DPS II module by providing hydrogen bromide (HBr) at a flow rate of 2 to 200 sccm, oxygen (O2) at a flow rate of 5 to 100 sccm (e.g., a HBr:O2 flow ratio ranging from 1:10 to 10:1), argon (Ar) at a flow rate of 10 to 200 sccm, applying power to an inductively coupled antenna between about 200 to 1000 W, applying a cathode bias power between 0 and 300 W, and maintaining a wafer pedestal temperature between 0 and 80 degrees Celsius at a pressure in the process chamber between 2 and 30 mTorr. One illustrative process provides hydrogen bromide (HBr) at a flow rate of 60 sccm, oxygen (O2) at a flow rate of 28 sccm (i.e., a HBr:O2 flow ratio of about 2:1), argon (Ar) at a flow rate of 60 sccm, applies 600 W of power to the inductively coupled antenna, 100 W of cathode bias power and maintains a wafer pedestal temperature of 50 degrees Celsius at a pressure of 4 mTorr.
  • In one embodiment, the etch reactor further comprises an in-situ metrology tool configured to measure in real time a metric that corresponds to a height of the structures on the substrate being etched (e.g., the height 214 of the structures 220). During the trimming process, the in-situ metrology tool illuminates the substrate 200 using a broadband radiation source to produce radiation (i.e., incident radiation) having wavelengths in ultra-violet and deep ultra-violet ranges, e.g., from about 200 to 800 nm. Generally, such radiation is directed substantially perpendicular to the substrate 200 and illuminates a region having topographic dimensions of less than about 1 mm. More specifically, the in-situ measuring tool illuminates a region of the substrate 200 that is occupied by the structures (i.e., structures 220) having critical dimensions, such as the width 206.
  • To increase accuracy of the measurements, the intensity of incident radiation may optionally be modulated and/or pulsed, as well as the radiation may optionally be polarized. The frequency of the incident radiation of modulation is about 10 Hz. The incident radiation may be pulsed using a chopper. In one embodiment, results were obtained using the incident radiation linearly polarized in the direction (shown using arrow 209) that is approximately orthogonal to sidewalls of the structures 220. Generally, the in-situ measuring tool may be configured to perform interferometric and/or spectrometric measurements (discussed below in reference to FIG. 10). In one exemplary embodiment, such in-situ measuring tool is the EyeD™, available from Applied Materials, Inc. of Santa Clara, Calif.
  • The incident radiation (ray R1), when radiated by the in-situ measuring tool to illuminate the structure 220, is partially reflected back (ray R3) from a surface 221 and partially propagates (ray R5) into the structure 220. The ray R5 further partially propagate through a surface 205 beneath the structure into the layer 202 (ray R6) and absorbed therein, partially are trapped in (rays R7) and absorbed by the material of the structure 220 (e.g., photoresist), and partially are reflected back (ray R4). Correspondingly, a portion of the incident radiation (ray R2) illuminating regions 203 near the structures 220 may partially propagate into the layer 202 (ray R8) where they are absorbed, with a portion reflected back (ray R9) from the layer 202.
  • The sequence 100 uses the in-situ measuring tool configured to perform an interferometric measuring technique (e.g., counting interference fringes in the time domain, measuring position of the fringes in the frequency domain, and the like) to measure the height of the structures 220 of the patterned mask 210. In one specific embodiment, the in-situ measuring tool uses the interference pattern formed by rays R9, R4 and R3 to measure, during the trimming process, to determine a change of the height 215 of the structures 220 with respect to a pre-selected height (e.g., starting height 214) of the structures. In these measurements, a portion of the incident radiation may also be used as the reference radiation. In one embodiment, the interferometric measurements are performed using at least one wavelength in the spectrum of the radiation.
  • In one exemplary embodiment, the in-situ measuring tool periodically measures in real time an intermediate height (i.e., height 215) of the structures 220 of patterned mask 210 as the trimming process progresses (for example, measurements performed every 100 milliseconds). The trimming process and measurements continue until the intermediate width 207 becomes equal to or smaller than the pre-determined width 216. To increase accuracy when measuring the height 215 and width 207, these measurements may be repeated using several test substrates 200 and then statistically processed (e.g., averaged).
  • At step 108, a correlation between a vertical etch rate and the horizontal etch rate of the trimming process is defined. Such a correlation is defined using the results of measurements performed during step 106. It has been discovered that, during a trimming process, a horizontal trim (i.e., etch) rate is proportional to the vertical trim rate. As such, any trimming process recipe may be characterized by a related fixed proportion between the horizontal and vertical etch rates. Herein the terms “horizontal trim rate” and “vertical trim rate” relate to a rate of lateral etching the structures of the patterned mask 210 and the rate of decreasing the height of the structures during the trimming process, respectively.
  • Referring to FIG. 3B, the horizontal trim rate specifically relates to the rate of decreasing the width 206 and the vertical trim rate relates to the rate of decreasing the height 214 of the structures 220. During the trimming process, using the results of in-situ measurements for vertical dimensions (i.e., height 215) of the structures 220 of the patterned mask 210 being trimmed, the width (i.e., width 207) of such structures may be calculated. The sequence 100 uses the correlation between a calculated target height 224 and the pre-determined width 216 of the structures 220 having the pre-trimmed height 214 and starting width 206 for close-loop control of an end point of the trimming process. As such, the structures 220 may be controllably trimmed to the pre-determined width 216.
  • At step 110, a batch of product substrates each having the patterned mask 210 (e.g., photoresist mask) is provided. Prior to the trimming process, the width 206 and height 214 of the structures 220 are measured on each product substrate. In one exemplary embodiment, these measurements are performed using the same measuring tool (e.g., TRANSFORMA™ metrology module) and same methodology as described above in step 104 with reference to the test substrates.
  • At step 112, the target height 224 of the structures 220 is calculated for each product substrate using the defined correlation (step 108) between the starting width 206 (measured at step 110) of the structure to be trimmed, vertical trim rate of the trimming process, and the pre-determined (target) width 216 of the trimmed structure.
  • At step 114, the patterned mask 210 of the product substrate is trimmed using an etch rector comprising the in-situ measuring tool adapted to measure, in real time, the height of the structures of the mask 210. During the trimming process, the in-situ measuring tool in real time measures the intermediate height (i.e., height 215) of the structures 220 of the patterned mask 210 (e.g., photoresist mask) being trimmed and communicates the results of these measurements to a processor (or controller) of the etch reactor. In one exemplary embodiment, the trimming process is performed using the DPS II module comprising the in-situ measuring tool described above in reference to step 106.
  • At step 116, the sequence 100 queries if the height (i.e., intermediate height 215) of the structures 220 has been trimmed to the target height 224 calculated at step 112 above. If the query of step 116 is negatively answered, the sequence 100 proceeds to step 114 to continue the trimming process and real time in-situ measurements of the intermediate height 215 of the structures 220. If the query of step 116 is affirmatively answered, the sequence 100 proceeds to an optional step 118.
  • At step 118, the layer 202 may be in-situ etched using the trimmed patterned mask 210 as an etch mask. At step 120, the sequence 100 queries if all product substrates from the batch of such substrates have been processed. If the query of step 120 is negatively answered, the sequence 100 proceeds to step 110. If the query of step 120 is affirmatively answered, the sequence 100 proceeds to step 122. At step 122, the sequence 100 ends.
  • FIG. 4 depicts a graph 300 illustrating exemplary results of the measurements performed on the test substrate 200 during step 106. More specifically, the graph 300 depicts a width (y-axis 302) of a structure (e.g., structure 220) of the patterned mask 210 versus the height (x-axis 304) of the structure during the trimming process. In the graph 300, an angle 314 corresponds to a ratio between the horizontal and vertical trim rates. The measurements 308 and 306 relate to the width 206 and height 214 of the structure 220 to be trimmed, respectively. Accordingly, the measurements 312 and 310 correspond to the pre-determined width 216 and the target height 224 of the trimmed structure 220. Using the graph 300 (shown for simplicity as a linear graph), at step 108, the target height 224 may be calculated as illustrated using arrow 316.
  • FIGS. 5A-5B depict a flow diagram of another embodiment of the inventive method for endpoint detection of a mask trimming process as sequence 400. The sequence 400 includes a series of processing steps, which are performed on test and product substrates to accomplish the method. In FIGS. 2A-2B and FIGS. 5A-5B, the same reference numerals are used to designate similar processing steps. To best understand the invention, the reader should simultaneously refer to FIGS. 5A-5B and FIGS. 3A-3B.
  • The sequence 400 starts at step 401, performs step 102, and proceeds to step 402. At step 402, the test substrate 200 is transported to an etch reactor (e.g., the DPS II module of the CENTURA® system) comprising the in-situ optical measuring tool described above in reference to step 104 of the sequence 100. The sequence 400 uses the in-situ measuring tool configured to perform spectrometric measurements. Step 402 trims the patterned mask 210 using, e.g., the trimming process described above in reference to step 106, as well as contemporaneously performs measurements of the spectral intensity of a portion of the radiation reflected from the substrate 200. Herein the term “spectral intensity” is used to describe distribution of energy of the radiation in the spectrum of the radiation.
  • In one embodiment, the in-situ measuring tool performs these measurements using a portion of the radiation that is reflected from the region on the substrate 200 that is occupied by the structures 220. The trimming process is terminated periodically (for example, every 100 milliseconds) for measuring the intermediate width 207 of the structures 220 being trimmed. These measurements may be performed in-situ using, e.g., the EyeD™ metrology module described above in reference to step 104 of the sequence 100.
  • The spectral intensity of the radiation reflected back from the surfaces 221, 205 and regions 203 (i.e., rays R3, R4, and R9) does not change noticeably during the trimming process. However, the structure 220 selectively traps in and absorbs the radiation (i.e., ray R7) having wavelengths coinciding with the intermediate width 207 of the structure. More specifically, the structure 220 having a width D and formed from material having a coefficient of refraction N, selectively traps in and absorbs the radiation having a wavelength λMIN that is proportional to λD=N×D. It is believed that the trapping of the radiation having the wavelength λMIN in the structure 220 relates to the phenomena of resonance and total internal reflection of the radiation within the structure.
  • To measure the width of the illuminated structures (e.g., structures 220) of the patterned mask 210, the sequence 400 uses the in-situ measuring tool that is adjusted to perform a spectroscopic measuring technique (i.e., measurements of intensity of the radiation versus a wavelength). In one specific embodiment, during the trimming process, the in-situ measuring tool defines a spectral location λMIN of a minimum in the spectrum of the radiation reflected from the substrate 200 with respect to a pre-selected reference wavelength.
  • As a result of selective trapping of a portion (ray R7) of the incident radiation, the radiation reflected from the region occupied by the structures 220 (rays R3, R4, and R9) has a low spectral intensity (i.e., a minimum in the spectrum of such reflected radiation) at the wavelength λMIN. As the trimming process progresses and the width D of the structure 220 decreases, ever shorter wavelengths are trapped in and absorbed by the structure 220. As such, during the trimming process, the spectral position (i.e., wavelength λMIN) of the minimum in the spectrum of the reflected radiation changes in a direction of the shorter wavelengths.
  • In one embodiment, during the trimming process, the in-situ measuring tool performs real time measurements of the spectral position of the minimum λMIN in the spectrum of the reflected radiation, as well as of the intermediate width 207 of the structures 220. The trimming process and in-situ measurements continue until the intermediate width 207 becomes equal to or smaller than the pre-determined (target) width 216. To increase accuracy of measuring the width 207, these measurements may be repeated using several test substrates 200 and then statistically processed (e.g., averaged).
  • At step 404, a correlation between the spectral position of the minimum λMIN in the spectrum of reflected radiation and the intermediate width 207 of the structure 220 is defined using the results of measurements performed during step 402. Such a correlation allows calculating in real time the starting width 206, as well as detecting the target width 216 of the structure 220 using the results of the in-situ measurements of
  • the spectral position of the minimum λMIN, that are performed during the trimming process.
  • At step 406, the patterned mask 210 of the product substrate of a batch of such substrates is trimmed using the etch rector comprising the in-situ measuring tool adapted to measure in real time the spectral position of the minimum λMIN in the spectrum of reflected radiation. In one exemplary embodiment, step 406 uses the DPS II module comprising the in-situ measuring tool described above in reference to step 402. The in-situ measuring tool is adjusted to illuminate on the product substrate the region occupied be the structures 220 of the patterned mask 210 (e.g., photoresist mask) being trimmed. During the trimming process, the in-situ measuring tool measures in real time the spectral position of the minimum λMIN and communicates the results of these measurements to a processor (or controller) of the etch reactor.
  • At step 408, the sequence 400 queries if the width (i.e., intermediate width 207) of the structures 220 has been trimmed to the target width 216 calculated at step 404 above. If the query of step 408 is negatively answered, the sequence 400 proceeds to step 406 to continue the trimming process and real time in-situ measurements of the intermediate width 207 of the structures 220 being trimmed. If the query of step 408 is affirmatively answered, the sequence 400 proceeds to an optional step 118.
  • At step 118, the layer 202 may be in-situ etched using the trimmed patterned mask 210 as an etch mask. At step 120, the sequence 400 queries if all product substrates from the batch of such substrates have been processed. If the query of step 120 is negatively answered, the sequence 400 proceeds to step 406. If the query of step 120 is affirmatively answered, the sequence 400 proceeds to step 122. At step 122, the sequence 400 ends.
  • FIGS. 6A-6B depict a series of graphs illustrating an example of changes in spectral intensity of radiation reflected from the substrate 200 during the trimming process. A first graph 510 depicts intensity (y-axis 502) of the incident radiation versus a wavelength (x-axis 504). A second graph 520 depicts changes, during the trimming process, in intensity (y-axis 522) of radiation reflected from the region on the substrate 200 occupied by the structures 220 versus a wavelength (x-axis 514). Referring to FIG. 6B, at moment T1, prior to the beginning of the trimming process, the spectral intensity of the reflected radiation comprises a minimum 518 having a spectral position (i.e., wavelength λMIN) 511 that corresponds to the starting width 206 of the structure 220. As the trimming process continues (illustrated using broken lines), at moment T2, the width of the structures 220 decreases to the intermediate width 207 and the spectral position of the minimum 518 changes to the wavelength 513 that is shorter than the wavelength 51. The spectral position of the minimum 518 decreases to the wavelength 515 when, at moment T3, the structure 220 is trimmed to the target width 516.
  • FIG. 7 depicts a graph 600 illustrating exemplary results of the measurements performed on the test substrate 200 during step 402. More specifically, the graph 600 depicts a width (y-axis 602) of a structure of the patterned mask 210 versus the spectral position (i.e., wavelength λMIN) of the minimum in the spectrum of radiation reflected from the region on the substrate 200 occupied by such structures (x-axis 604). In the graph 600, the measurements 608 and 606 relate to the wavelength 511 and the starting width 206 of the structure 220, and the measurements 612 and 610 correspond to the wavelength 515 and the target width 216 of the structure 220, respectively ( wavelengths 511 and 515 are discussed in reference to FIG. 5B above). Using the graph 600 (shown for simplicity as a linear graph), at step 408, the target width 216 may be calculated as illustrated using arrow 616.
  • FIGS. 8A-8B depict a flow diagram of one exemplary etch process for monitoring a feature being etched in a material layer as a sequence 650. The sequence 650 includes a series of processing steps, which may be performed on test and product substrates.
  • FIGS. 9A-9B depict schematic, cross-sectional views of a substrate having a feature being etched in a material layer using the inventive method. The images in FIGS. 9A-9B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIGS. 8A-8B and FIGS. 9A-9B.
  • The sequence 650 starts at step 651 and proceeds to step 652. At step 652, a layer 202 and a patterned mask 210 are illustratively formed on a substrate 200 (e.g., silicon wafer) (FIG. 9A). For convenience, herein the same schematic, cross-sectional
  • views and respective reference numerals may relate to either a test or product substrate 200.
  • The layer 202 may comprise a single material film (e.g., dielectric film, metal film, or any other film used in integrated circuits) or a multi-layered film stack, either unpatterned (as shown) or, alternatively, patterned. During a subsequent etch process, the layer 202 may be etched using the patterned mask 210 as an etch mask. The layer 202 can be formed using any conventional thin film deposition technique, for example, atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like that is performed using, e.g., respective processing reactors of CENTURA®, ENDURA®, or other processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • The patterned mask 210 may generally be in a form of a photoresist mask, an α-carbon (i.e., amorphous carbon) mask, a hard mask, an Advanced Patterning Film™ (APF) available from Applied Materials of Santa Clara, Calif., and the like. In one exemplary embodiment, the patterned mask 210 is a photoresist mask. Illustratively, referring to FIG. 9A, the patterned mask 210 has openings defining a structure 250 of a width 206 defined therein.
  • The photoresist mask 210 may be fabricated using a lithographic process in which a pattern of features of the device to be formed is optically transferred into a layer of photoresist. The photoresist is then developed, unexposed portions of the photoresist are removed, and the remaining photoresist forms the patterned mask 210. When the patterned mask 210 is a photoresist mask, the layer 202 may further comprise an optional anti-reflective coating (ARC). As sizes of structures of the patterned mask are reduced, inaccuracies in a pattern transfer process may arise from optical limitations inherent to the lithographic process, such as light reflection. The ARC enhances the resolution of the lithographic process by controlling reflection of the light used to expose the photoresist. In one exemplary embodiment, the lithographic process forms the structures 220 having smallest widths 206 of about 80 nm to about 120 nm. Processes of forming the patterned mask 210 are described, for example, in commonly assigned U.S. patent application Ser. No. 10/218,244, filed Aug. 12, 2002, which is incorporated herein by reference.
  • At step 654, the substrate(s) 200 are inspected and topographic dimensions for structures of the patterned mask 210 are measured. In one embodiment, the measured dimensions comprise critical dimensions, i.e., the width 206 of the structures 250 of the patterned mask 210 as well as a thickness for the layer 202 being etched. The width 206 is generally measured on each test substrate 200 of a batch of the test substrates. Such measurements may be performed using a metrology tool that is ex-situ with respect to the etch process. In one exemplary embodiment, such optical measuring tool is the TRANSFORMA™ metrology module of the CENTURA® processing system available from Applied Materials of Santa Clara, Calif. The TRANSFORMA™ metrology module may use one or more non-destructive optical measuring techniques, such as spectroscopy, interferometry, scatterometry, reflectometry, ellipsometry, and the like. The measured parameters include topographic dimensions and profiles of the structures fabricated on substrates, as well as a thickness of either patterned or blanket dielectric and conductive films. Measurements of critical dimensions for the structures 250 are typically performed in a plurality of regions of the substrate 200, such as a statistically significant number of the regions (e.g., 5 to 9 or more regions), and then averaged for such a substrate.
  • At step 656, the material layer is etched to a pre-determined depth. As illustratively shown in FIG. 9B, step 656 etches the material layer 202 to a pre-determined depth 275. The etching process is generally an anisotropic etch process (e.g., anisotropic plasma etch process) having a high vertical etch rate and high selectivity for the material of the material layer 202 (e.g., silicon oxide) over the material of the mask 210.
  • Step 656 may be performed using an etch reactor, e.g., the Decoupled Plasma Source (DPS II) module of the CENTURA® system. The DPS II module (discussed below in reference to FIG. 10) uses an inductive source (i.e., antenna) to produce a high-density plasma.
  • In one exemplary embodiment, the material layer 202 comprising silicon dioxide is etched using the DPS II module by providing carbon tetrafluoride (CF4) at a flow rate of 2 to 200 sccm, argon (Ar) at a flow rate of 10 to 200 sccm, applying power to an inductively coupled antenna between about 200 to 1000 W, applying a cathode bias power between 0 and 300 W, and maintaining a wafer pedestal temperature between 0 and 80 degrees Celsius at a pressure in the process chamber between 2 and 30 mTorr. One illustrative process provides carbon tetrafluoride (CF4) at a flow rate of 60 sccm, argon (Ar) at a flow rate of 60 sccm, applies 600 W of power to the inductively coupled antenna, 100 W of cathode bias power and maintains a wafer pedestal temperature of 50 degrees Celsius at a pressure of 4 mTorr.
  • In one embodiment, the etch reactor further comprises an in-situ metrology tool configured to measure in real time a metric that corresponds to an etch depth for the material layer being etched (e.g., etch depth 275). During the etch process, the in-situ metrology tool can illuminate the substrate 200 using a broadband radiation source producing the radiation (i.e., incident radiation) having wavelengths in ultra-violet and deep ultra-violet ranges, e.g., from about 200 to 800 nm. Generally, such a radiation is directed substantially perpendicular to the substrate 200 and illuminates a region having topographic dimensions of less than about 1 mm. More specifically, the in-situ measuring tool illuminates a region of the substrate 200 that is occupied by the structures (i.e., structures 250) having critical dimensions, such as the width 206. Details of film thickness measurement and control (or endpoint) by EyeD™ have been disclosed in commonly assigned U.S. Pat. No. 6,413,867, entitled “Film thickness Control Using Spectral Interferometry”, issued on Jul. 2, 2002, and U.S. application Ser. No. 10/286,402 entitled “Interferometric Endpoint Determination In A Substrate Etching Process”, filed Nov. 1, 2002.
  • As described in the references mentioned above, etch depth and etching process endpoint can be determined by counting the constructive or destructive interference fringes (intensity maximum or minimum) of reflected light beams from the wafer (or substrate) surface. An example interference fringe trend signal graph is shown in FIG. 9C. The period t1 between peak 301 to peak 302 (or bottom to bottom) equals the period t2 between peak 302 to peak 303. The thickness of material etched during t1 (or t2) equals λ/2 (λ is the wavelength being monitored). The equal periods indicate a steady etch rate and constant peak intensity I1 of peaks 301, 302 and 303 indicates constant signal intensity. The signal between times T3 to T4 shown in FIG. 9C is then processed using a Discrete Fourier Transform (DFT) to convert the intensity versus time graph to a frequency versus time graph. If the intensity versus time graph is sinusoidal, the transformed intensity versus frequency graph will be a vertical line C1 at f1, as shown in FIG. 9D. If the intensity graph of FIG. 9C is not sinusoidal, the transformed graph will be like curve C2 in FIG. 9D with peak of the curve at f1. f1 is the inverse of t1 (1/t1), which is the etch time of half of the monitored wavelength (λ/2). Since the intensity versus time curve is broad versus time, it's not easy to accurately determine the location of peaks 301 and 302 to determine T3 and T4. Without accurate determination of T3 and T4, t1 (etch time) value cannot be accurately calculated. In contrast, the Discrete Fourier Transformed intensity versus time curve is narrower and can produce much more accurate peak 308 of the graph to accurately determine f1, which is the inverse of t1 (1/t1). Since period t1 equals period t2, a time duration selected from time T3 to time T5 (beyond T4) would still yield only one peak frequency
  • However, in many cases, the interference trend signal graph is not as ideal as that shown in FIG. 9C. An example of a non-ideal graph of interference fringe intensity versus time is shown as FIG. 9E. The period t7 between peak 304 to peak 305 is greater than the period t8 between peaks 305 and 306. The decreasing periods indicate an increase in etch rate, possibly due to etch loading effect. The peak intensities I3, I4, I5 and I6 of peaks 304, 305, 306 and 307 also decrease with etch time, which indicates decreasing reflected signals with time. The increasing etch rate with time, in accompanying decreasing signals, could be observed during contact, via and trench etch. FIG. 9F shows a DFT processed portion of the graph of FIG. 9E between times T10 and T11. Since the period t6 between T10 and T11 is shorter than the full intensity cycle (peak to peak), the DFT did not yield a frequency maximum, as seen in FIG. 9D. If the DFT is performed on the signal between times T10 and T12, the resulting intensity versus frequency graph yields a maximum f2 (1/t7) that provides an accurate measure of period t7. However, if a DFT is performed on the signal between times T10 and T13, the resulting intensity versus frequency graph does not yield a singular maximum, but a combined result of dual curves with dual peaks as shown in FIG. 9H. Thus, it's important to select the appropriate time window over which to perform the DFT. For the intensity curves shown in FIG. 9E, it becomes important to use an adaptive time window size within which to perform the DFT to accurately determine etch time. For processes with increasing etch rate, the time window for the DFT needs to decrease with time. Similarly, for processes with decreasing etch rate, the time window for the DFT needs to increase with time.
  • In one exemplary embodiment, the in-situ measuring tool periodically measures in real time an intermediate etch depth (i.e., etch depth 265) of the structures 250 being etched in material layer 202 during the etch process (for example, measurements every 100 milliseconds). The etch process and measurements continue until the intermediate depth equals the pre-determined depth 275.
  • At step 658, a vertical etch rate for the etch process is defined. Such an etch rate is defined using the results of measurements performed during step 656. Etch depth and etch rate may be measured by tracking reflectance fringes of a particular wavelength (λ) in a time series. For example, the etch depth equals half of the wavelength (λ/2) when the reflectance pattern reaches a wave cycle. The etch rate can be calculated by dividing the etch depth by the duration.
  • At step 660, a batch of product substrates each having the patterned mask 210 (e.g., photoresist mask) is provided. Prior to the etch process, the width 206 and layer 202 thickness are measured on each product substrate. In one exemplary embodiment, these measurements are performed using the same measuring tool (e.g., TRANSFORMA™ metrology module) and same methodology as described above in step 654 with reference to the test substrates.
  • At step 662, the target etch rate of the structures 250 is calculated for each product substrate using the defined correlation (step 108) between the starting width 206 (measured at step 660) of the structure to be etched, vertical etch rate of the etching process, and the pre-determined (target) etch depth 275 of the etched structure.
  • At step 664, the structures 250 are formed in the material layer 202 of the product substrate using an etch rector comprising the in-situ measuring tool adapted to measure in real time the etch depth profile of the structures 250. During the etch process, the in-situ measuring tool in real time measures the intermediate depth (i.e., height 275) of the structures 250 being etched and communicates the results of these measurements to a processor (or controller) of the etch reactor. In one exemplary embodiment, the etch process is performed using the DPS II module comprising the in-situ measuring tool described above in reference to step 656.
  • At step 666, the sequence 650 queries if the etch depth (i.e., intermediate depth 265) of the structures 250 has been etched to the target depth 275 calculated at step 662 above. If the query of step 666 is negatively answered, the sequence 650 proceeds to step 664 to continue the etching process and real time in-situ measurements of the intermediate height 275 of the structures 250. If the query of step 666 is affirmatively answered, the sequence 650 proceeds to step 668.
  • At step 668, the sequence 650 queries if all product substrates from the batch of such substrates have been processed. If the query of step 668 is negatively answered, the sequence 650 proceeds to step 660. If the query of step 668 is affirmatively answered, the sequence 650 proceeds to step 670. At step 670, the sequence 650 ends.
  • FIG. 10 depicts a schematic diagram of an exemplary Decoupled Plasma Source (DPS) II etch reactor 1000 that may illustratively be used to practice the invention. The DPS II reactor 1000 is a processing module of a CENTURA® integrated semiconductor wafer processing system available from Applied Materials, Inc. of Santa Clara, Calif. The particular embodiment of the reactor 1000 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • The reactor 1000 generally comprises a process chamber 1010 having a substrate pedestal 1016 within a conductive body (wall) 1030, and a controller 1040.
  • The chamber 1010 is supplied with a substantially flat dielectric ceiling 1020. Other modifications of the chamber 1010 may have other types of ceilings, e.g., a dome-shaped ceiling. Above the ceiling 1020 is disposed an antenna 1012 comprising one ore more inductive coil elements (two co-axial elements 1012 a and 1012 b are shown) that may be selectively controlled. The antenna 1012 is coupled, through a first matching network 1019, to a plasma power source 1018. The plasma power source 1018 typically is capable of producing up to 3000 W at a tunable frequency in a range from 50 kHz to 13.56 MHz.
  • The substrate pedestal (cathode) 1016 is coupled, through a second matching network 1024, to a biasing power source 1022. The biasing source 1022 generally is a source of up to 500 W at a frequency of approximately 13.56 MHz that is capable of producing either continuous or pulsed power. In other embodiments, the source 1022 may be a DC or pulsed DC source.
  • A controller 1040 comprises a central processing unit (CPU) 1044, a memory 1042, and support circuits 1046 for the CPU 1044 and facilitates control of the components of the process chamber 1010 and, as such, of the etch process, as discussed below in further detail.
  • An etchant gas and a passivation gas are provided to the process chamber 1010 from a gas panel 1038. The etchant gas is typically supplied through one ore more inlets 1026 (two inlets are shown) located above the substrate pedestal 1016. The passivation gas is supplied from the gas panel 1038 through a plurality of inlets 1028 (e.g., openings, injectors, and the like). The inlets 1028 (two inlets are shown) are generally located substantially equidistantly around the substrate pedestal 1016 approximately coplanar with a semiconductor wafer 1014 being etched. Embodiment and location of the inlets 1028 are selected to provide high controlled concentration of the passivation gas in a peripheral region (i.e., annular region near the edge) of the wafer 1014.
  • The etchant gas and the passivation gas are delivered to the process chamber 1010 using separate gas conduits ( conduits 1037 and 1039, respectively) and do not mix until they are dispersed into a reaction volume 1053 of the chamber. In the depicted embodiment, the etchant gas is provided to the inlets 1026 using an annular gas channel 1027 and, similarly, the passivation gas is provided to the inlets 1028 using an annular gas channel 1029. The gas channels 1027 and 1029 may be formed in the wall 1030 or in gas rings (as shown) that are coupled to the wall 1030. In the process chamber 1010, the etchant and passivation gases form a gaseous mixture 1050. During an etch process, the gaseous mixture 1050 is ignited into a plasma 1055 by applying power from the plasma source 1018 to the antenna 1012.
  • The gas pressure in the chamber 1010 is controlled using a throttle valve 1063 and a vacuum pump 1036. The temperature of the wall 1030 may be controlled using liquid-containing conduits (not shown) that run through the wall 1030. Typically, the chamber wall 1030 is formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and coupled to an electrical ground 1034.
  • In operation, the temperature of the wafer 1014 is controlled by stabilizing a temperature of the substrate pedestal 1016. In one embodiment, a backside gas (e.g., helium (He)) from a gas source 1048 is provided via a gas conduit 1049 to channels that are formed in the pedestal surface under the wafer 1014. The backside gas is used to facilitate heat transfer between the pedestal 1016 and the wafer 1014. During the processing, the pedestal 1016 may be heated by an embedded resistive heater 1032 to a steady-state temperature and then the helium gas facilitates uniform heating of the wafer 1014. Using such thermal control, the wafer 1014 may be maintained at a temperature between about 0 and 350 degrees Celsius.
  • In one embodiment, the substrate pedestal 1016 comprises an electrostatic chuck 1060, an edge ring 1015, the resistive heater 1032, a heat sink 1066, and a mounting assembly 1006. The electrostatic chuck 1060 comprises at least one clamping electrode 1080 and is controlled by a chuck power supply 1076. The resistive heater 1032 generally comprises at least one heating element 1082 and is regulated by a heater power supply 1078. In alternative embodiments, the substrate pedestal 1016 may comprise a susceptor clamp ring, a mechanical chuck, and the like substrate retention mechanism.
  • In operation, the lift mechanism 1062 is used to raise the wafer 1014 off the substrate support 1016 or to lower the substrate onto the substrate support. Generally, the lift mechanism 1062 comprises a plurality of lift pins 1072 (one lift pin is shown) that travel through respective guide holes 1088. The process chamber 1010 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 1007.
  • Those skilled in the art will understand that other forms of etch chambers may be used to practice the invention, including chambers with remote plasma sources, electron cyclotron resonance (ECR) plasma chambers, and the like.
  • To facilitate control of the process chamber 1010, the controller 1040 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory, or computer-readable medium, 1042 of the CPU 1044 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 1046 are coupled to the CPU 1044 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. The inventive method is generally stored in the memory 1042 as a software routine. Alternatively, such software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 1044.
  • An example of an etch system that is integrated with an ex-situ metrology tool with the capability of measuring CDs and film thickness is Applied Materials' Transforma system 800 (FIG. 11). Detailed information describing Applied Materials' Transforma system has been disclosed in a commonly assigned U.S. patent application Ser. No. 10/428,145, titled “Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices”, filed on May 1, 2003. The system comprises a chamber or “mainframe” 801, such as the Centura™ processing system for mounting a plurality of processing chambers 802, e.g., conventional etch reactors, such as DPSII™ silicon etch chambers and one or more transfer chambers 803, also called “load locks”. In one embodiment of the present invention, four etch processing chambers 802 are mounted to the mainframe 801. In one exemplary embodiment, three etch processing chambers 802 are used for etching and one is optionally used for post-etch cleaning (i.e. removing photoresist polymers and other residue from wafers after etching). A robot 804 is provided within the mainframe 801 for transferring wafers between the processing chambers 802 and the transfer chambers 803. The transfer chambers 803 are connected to a factory interface 805, also known as a “mini environment”, which maintains a controlled environment. A metrology (or measurement) tool 806 could be integrated in the load lock area 805 and with high-speed data collection and analysis capabilities, every wafer that enters the system 800 can be measured for thickness before and after etch processing. The metrology tool 806 could also be placed at different location within the process system 800. One or more of the process chambers 802 could also be deposition chambers, since the concept of the invention also applies to deposition process.
  • The invention may be practiced using other etch processes wherein parameters may be adjusted to achieve acceptable characteristics by those skilled in the arts by utilizing the teachings disclosed herein without departing from the spirit of the invention.
  • The invention may be practiced using other semiconductor wafer processing systems wherein the processing parameters may be adjusted to achieve acceptable characteristics by those skilled in the arts by utilizing the teachings disclosed herein without departing from the spirit of the invention.
  • Although the forgoing discussion referred to endpoint detection during trimming patterned masks, fabrication of the other devices and structures used in the integrated circuits can benefit from the invention.
  • While the foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (15)

1. A system for monitoring an etch process, comprising:
at least one reactor to perform an etch process;
at least one metrology module to provide pre-etch measurement information to the at least one etch reactor; and
at least one substrate robot, wherein the at least one reactor comprises an etch process measuring module for monitoring an etch process endpoint in the etch reactor.
2. The system of claim 1 wherein the at least one etch reactor is a plasma reactor.
3. The system of claim 1 wherein the at least one metrology module uses a non-destructive optical measuring technique.
4. The system of claim 1 wherein the etch process measuring tool uses an interferometric measuring technique.
5. The system of claim 1 wherein the etch process measuring tool further comprises:
a source of a radiation to illuminate a region on the substrate; and
an interferometer.
6. The system of claim 1 wherein the source of radiation provides radiation substantially perpendicular to the substrate.
7. The system of claim 1 wherein the source of radiation provides radiation at wavelengths in a range from about 200 to 800 nm.
8. The system of claim 5 wherein the source of radiation modulates an intensity of the radiation at a frequency of about 10 Hz.
9. An in-situ metrology tool, comprising:
at least one plasma reactor to perform wafer processing;
at least one measurement module, coupled to the at least plasma reactor, for measuring at least one of a thickness of a layer on a substrate and a critical dimension; and
at least one plasma state monitoring module, coupled to the at least one plasma reactor, for monitoring a plasma state within the at least one plasma reactor.
10. The tool of claim 9 wherein the thickness measurement module uses an interferometric measuring technique.
11. The tool of claim 9 wherein the critical dimension measurement module uses a non-destructive optical measuring technique.
12. The tool of claim 9 wherein the plasma state monitoring module usages an optical electromagnetic emission measuring technique.
13. The tool of claim 9 wherein the plasma reactor is a plasma etch reactor.
14. A method of processing data collected by an in-situ metrology tool of a semiconductor wafer processing system, comprising:
examining data representing signal intensity versus time as collected by the in-situ metrology tool; and
selecting, based on the data, a time window for performing a Discrete Fourier Transformation upon at least one portion of the data.
15. The method of claim 14, further comprising:
if the data representing signal intensity versus time indicate decreasing peak to peak periods, reduce the time window; and
if the data representing signal intensity versus time data indicate increasing peak to peak periods, increase the time window.
US13/564,963 2003-04-11 2012-08-02 Method and system for monitoring an etch process Abandoned US20120291952A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/564,963 US20120291952A1 (en) 2003-04-11 2012-08-02 Method and system for monitoring an etch process

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US46249303P 2003-04-11 2003-04-11
US47960103P 2003-06-18 2003-06-18
US49079503P 2003-07-28 2003-07-28
US10/674,568 US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process
US13/564,963 US20120291952A1 (en) 2003-04-11 2012-08-02 Method and system for monitoring an etch process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/674,568 Division US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process

Publications (1)

Publication Number Publication Date
US20120291952A1 true US20120291952A1 (en) 2012-11-22

Family

ID=33424138

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/674,568 Active 2027-09-18 US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process
US13/564,963 Abandoned US20120291952A1 (en) 2003-04-11 2012-08-02 Method and system for monitoring an etch process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/674,568 Active 2027-09-18 US8257546B2 (en) 2003-04-11 2003-09-29 Method and system for monitoring an etch process

Country Status (6)

Country Link
US (2) US8257546B2 (en)
EP (1) EP1492153A3 (en)
JP (1) JP2005012218A (en)
KR (1) KR101046918B1 (en)
CN (1) CN1319141C (en)
TW (1) TWI356466B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140024143A1 (en) * 2012-07-18 2014-01-23 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
WO2014138427A1 (en) * 2013-03-06 2014-09-12 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US20140358485A1 (en) * 2013-06-03 2014-12-04 Lie-Quan Lee Automatic wavelength or angle pruning for optical metrology
US20170194147A1 (en) * 2015-12-31 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
WO2022254402A1 (en) * 2021-06-03 2022-12-08 Nova Ltd. Time-domain optical metrology and inspection of semiconductor devices

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2858333B1 (en) * 2003-07-31 2006-12-08 Cit Alcatel METHOD AND DEVICE FOR LOW-AGGRESSIVE DEPOSITION OF PLASMA-ASSISTED VAPOR PHASE DIELECTRIC FILMS
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7306746B2 (en) * 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
DE102004018454A1 (en) * 2004-04-16 2005-11-03 Infineon Technologies Ag Method and device for monitoring the etching process of a regular depth structure in a semiconductor substrate
US20050247894A1 (en) * 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US20060012796A1 (en) * 2004-07-14 2006-01-19 Susumu Saito Plasma treatment apparatus and light detection method of a plasma treatment
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7342641B2 (en) * 2005-02-22 2008-03-11 Nikon Corporation Autofocus methods and devices for lithography
US7465590B1 (en) 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
KR100683400B1 (en) * 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 Measurement method for low-k material
CN101055422B (en) * 2006-04-14 2012-05-02 应用材料公司 Integrated measuring room for transparent base material
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
US7312161B2 (en) * 2006-05-05 2007-12-25 Fsi International, Inc. Advanced process control for low variation treatment in immersion processing
KR100868083B1 (en) 2006-05-19 2008-11-14 세종대학교산학협력단 Monitoring method of sensor information of plasma equipment using wavelet
KR100944846B1 (en) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 Mask etch process
JP5441332B2 (en) * 2006-10-30 2014-03-12 アプライド マテリアルズ インコーポレイテッド Endpoint detection for photomask etching
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
JP5654753B2 (en) * 2007-02-23 2015-01-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Determination of polishing end point using spectrum
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US7981812B2 (en) * 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
CN101494160B (en) * 2008-01-22 2011-05-25 北京北方微电子基地设备工艺研究中心有限责任公司 Technology end-point control method and device
CN102163567B (en) * 2008-01-22 2012-10-31 北京北方微电子基地设备工艺研究中心有限责任公司 Method and device for controlling end point of process
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
CN101717935B (en) * 2008-10-09 2011-11-23 欣兴电子股份有限公司 Etching method of metal layer of substrate
CN101764075B (en) * 2008-12-25 2011-10-05 中芯国际集成电路制造(上海)有限公司 Monitoring method of backside defect of wafer and system thereof
CN101834128B (en) * 2009-03-12 2012-03-21 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
CN101859689B (en) * 2009-04-07 2012-03-07 北京北方微电子基地设备工艺研究中心有限责任公司 Determination method and device for dry cleaning time and plasma processing apparatus
JP6085079B2 (en) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 Pattern forming method, temperature control method for member in processing container, and substrate processing system
TWI825537B (en) * 2011-08-01 2023-12-11 以色列商諾威股份有限公司 Optical measurement system
CN102931071B (en) * 2011-08-08 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 Method and device for patterning sapphire substrate
CN102955363B (en) * 2011-08-19 2014-10-08 上海华虹宏力半导体制造有限公司 Optical proximity correction online monitoring method
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US8852964B2 (en) * 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US8956886B2 (en) * 2013-03-14 2015-02-17 Applied Materials, Inc. Embedded test structure for trimming process control
TWI497632B (en) * 2013-04-01 2015-08-21 Process monitoring method and surface analysis system
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
KR102291659B1 (en) * 2013-12-22 2021-08-18 어플라이드 머티어리얼스, 인코포레이티드 Monitoring system for deposition and method of operation thereof
CN104882389B (en) * 2014-02-28 2017-12-26 无锡华润上华科技有限公司 A kind of semiconductor devices method for measurement
US9287386B2 (en) 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
CN105321845A (en) * 2014-07-28 2016-02-10 Psk有限公司 Substrate treating apparatus and method for monitoring same
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
KR101628762B1 (en) * 2014-11-21 2016-06-21 주식회사 신성미네랄 Soil manufacturing method for covering seed
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN113675115A (en) 2015-05-22 2021-11-19 应用材料公司 Azimuth adjustable multi-zone electrostatic chuck
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
JP6541599B2 (en) * 2016-03-28 2019-07-10 東京エレクトロン株式会社 Control device, substrate processing system, substrate processing method and program
US11289386B2 (en) 2016-04-26 2022-03-29 Active Layer Parametrics, Inc. Methods and apparatus for test pattern forming and film property measurement
US10790203B2 (en) 2016-04-26 2020-09-29 Active Layer Parametrics, Inc. Methods and systems for material property profiling of thin films
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6878853B2 (en) * 2016-11-28 2021-06-02 住友電気工業株式会社 Method for manufacturing semiconductor devices
CN106504971B (en) * 2017-01-03 2018-03-16 京东方科技集团股份有限公司 A kind of plasma etching method and plasma etching device
US10707331B2 (en) * 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
CN108987224A (en) * 2017-06-01 2018-12-11 北京北方华创微电子装备有限公司 The method of wafer state in reaction chamber and detection reaction chamber
CN107527830B (en) * 2017-08-16 2019-12-31 武汉新芯集成电路制造有限公司 Monitoring method of wafer inclined film
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11421977B2 (en) 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system
CN110071059B (en) * 2019-03-29 2020-12-22 福建省福联集成电路有限公司 Process method and system for monitoring etching
US10871396B2 (en) 2019-04-05 2020-12-22 Samsung Electronics Co., Ltd. Optical emission spectroscopy calibration device and system including the same
CN110426451B (en) * 2019-07-15 2021-12-24 Tcl华星光电技术有限公司 Etching rate measuring device and lateral etching rate measuring method
CN110850690B (en) * 2019-11-19 2023-05-23 上海华力微电子有限公司 Stripping equipment, thimble monitoring method and stripping process
CN111063627A (en) * 2019-12-30 2020-04-24 中电国基南方集团有限公司 BCB thickness on-chip monitoring and control method and device
CN111370344A (en) * 2020-03-03 2020-07-03 武汉大学 Monitoring system for on-line monitoring semiconductor substrate etching process
WO2021181545A1 (en) * 2020-03-11 2021-09-16 株式会社日立ハイテク Plasma processing device or plasma processing method
CN111430257B (en) * 2020-04-02 2023-04-07 长江存储科技有限责任公司 Measuring device and method
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0534280A (en) * 1991-07-26 1993-02-09 Kaijo Corp Infrared absorption type humidity fluctuation meter
US5427878A (en) * 1991-06-26 1995-06-27 Digital Equipment Corporation Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US20030003607A1 (en) * 2001-06-29 2003-01-02 Akira Kagoshima Disturbance-free, recipe-controlled plasma processing system and method

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US56700A (en) * 1866-07-31 Improvement in bed-recoil springs for printing-presses
US4767496A (en) * 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
EP0394597A1 (en) 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
US5329381A (en) * 1992-02-20 1994-07-12 Payne John H Automatic engraving method and apparatus
EP0735565B1 (en) 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
US5798529A (en) * 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100257903B1 (en) 1997-12-30 2000-08-01 윤종용 Plasma etching apparatus capable of in-situ monitoring, its in-situ monitoring method and in-situ cleaning method for removing residues in plasma etching chamber
JP3833810B2 (en) * 1998-03-04 2006-10-18 株式会社日立製作所 Semiconductor manufacturing method, plasma processing method and apparatus
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
EP0973068A3 (en) * 1998-07-14 2001-05-30 Nova Measuring Instruments Limited Method and system for controlling the photolithography process
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
JP2000155023A (en) 1998-11-20 2000-06-06 Nkk Corp Plate thickness measuring device for steel plate
US6130415A (en) * 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
JP2001143982A (en) * 1999-06-29 2001-05-25 Applied Materials Inc Integrated dimension control for semiconductor device manufacturing
JP2001068446A (en) * 1999-06-30 2001-03-16 Applied Materials Inc Contaminant detecting for semiconductor wafer
EP1089318A1 (en) * 1999-09-30 2001-04-04 Infineon Technologies AG Method for determining the endpoint of etch process steps
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
GB0016562D0 (en) * 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6368982B1 (en) * 2000-11-15 2002-04-09 Advanced Micro Devices, Inc. Pattern reduction by trimming a plurality of layers of different handmask materials
JP4437611B2 (en) 2000-11-16 2010-03-24 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6319767B1 (en) * 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
JP2003077898A (en) * 2001-09-03 2003-03-14 Matsushita Electric Ind Co Ltd Plasma-etching system and etching process managing method
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6566025B1 (en) * 2002-01-16 2003-05-20 Xerox Corporation Polymeric particles as external toner additives
JP4118071B2 (en) * 2002-03-28 2008-07-16 株式会社ニデック Resist perimeter removal width inspection system
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
JP3799314B2 (en) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ Etching processing apparatus and etching processing method
US7265382B2 (en) 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427878A (en) * 1991-06-26 1995-06-27 Digital Equipment Corporation Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
JPH0534280A (en) * 1991-07-26 1993-02-09 Kaijo Corp Infrared absorption type humidity fluctuation meter
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US20030003607A1 (en) * 2001-06-29 2003-01-02 Akira Kagoshima Disturbance-free, recipe-controlled plasma processing system and method

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140024143A1 (en) * 2012-07-18 2014-01-23 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
US9059038B2 (en) * 2012-07-18 2015-06-16 Tokyo Electron Limited System for in-situ film stack measurement during etching and etch control method
WO2014138427A1 (en) * 2013-03-06 2014-09-12 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US9305753B2 (en) 2013-03-06 2016-04-05 Kla-Tencor Corporation Thickness change monitor wafer for in situ film thickness monitoring
US20140358485A1 (en) * 2013-06-03 2014-12-04 Lie-Quan Lee Automatic wavelength or angle pruning for optical metrology
US11175589B2 (en) * 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US20170194147A1 (en) * 2015-12-31 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10748768B2 (en) 2015-12-31 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
WO2022254402A1 (en) * 2021-06-03 2022-12-08 Nova Ltd. Time-domain optical metrology and inspection of semiconductor devices

Also Published As

Publication number Publication date
JP2005012218A (en) 2005-01-13
US20040203177A1 (en) 2004-10-14
KR101046918B1 (en) 2011-07-07
KR20040111072A (en) 2004-12-31
TWI356466B (en) 2012-01-11
EP1492153A2 (en) 2004-12-29
TW200507143A (en) 2005-02-16
US8257546B2 (en) 2012-09-04
CN1619788A (en) 2005-05-25
CN1319141C (en) 2007-05-30
EP1492153A3 (en) 2006-05-10

Similar Documents

Publication Publication Date Title
US8257546B2 (en) Method and system for monitoring an etch process
US9601396B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
US7658969B2 (en) Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US8002946B2 (en) Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
JP7395094B2 (en) Platform and how to operate an integrated end-to-end self-aligned multi-patterning process
US7838433B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
CN100382233C (en) Process stability monitoring using an integrated metrology tool
TWI464818B (en) Etch process control using optical metrology and sensor devices
US7815812B2 (en) Method for controlling a process for fabricating integrated devices
US8173451B1 (en) Etch stage measurement system
US20060289384A1 (en) Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8173450B1 (en) Method of designing an etch stage measurement system
US7393459B2 (en) Method for automatic determination of substrates states in plasma processing chambers
Orlikovskii et al. In situDiagnostics of Plasma Processes in Microelectronics: The Current Status and Immediate Prospects. Part I.
Barna et al. In Situ Metrology
Rosenthal et al. Infrared spectroscopy for process control and fault detection of advanced semiconductor processes
Stutzman Correlation of process with topography evolution during reactive ion etching

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION