US20130062735A1 - Method for forming stair-step structures - Google Patents

Method for forming stair-step structures Download PDF

Info

Publication number
US20130062735A1
US20130062735A1 US13/668,939 US201213668939A US2013062735A1 US 20130062735 A1 US20130062735 A1 US 20130062735A1 US 201213668939 A US201213668939 A US 201213668939A US 2013062735 A1 US2013062735 A1 US 2013062735A1
Authority
US
United States
Prior art keywords
hardmask
organic mask
computer readable
readable code
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/668,939
Inventor
Qian Fu
Hyun-Yong Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US13/668,939 priority Critical patent/US20130062735A1/en
Publication of US20130062735A1 publication Critical patent/US20130062735A1/en
Priority to US14/581,673 priority patent/US9275872B2/en
Priority to US15/055,421 priority patent/US9646844B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.

Description

  • This application is a Divisional of U.S. patent application Ser. No. 12/968,210, filed on Dec. 14, 2010, entitled “Method for Forming Stair-Step Structures,” which is incorporated by reference herein in its entirety for all purposes.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to the formation of semiconductor devices. More specifically, the invention relates to the formation of stair-step semiconductor devices.
  • During semiconductor wafer processing, stair-step features are sometimes required. For example, in 3D flash memory devices, multiple cells are stacked up together in chain format to save space and increase packing density. The stair-step structure allows electrical contact with every gate layer.
  • SUMMARY OF THE INVENTION
  • To achieve the foregoing and in accordance with the purpose of the present invention, a method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
  • In another manifestation of the invention a method for making a three dimensional memory structure is provided. A memory stack is provided comprising a plurality of layers, wherein each layer comprises at least two sublayers. An organic mask is formed over the memory stack. A hardmask is formed with a top layer and sidewall layer over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed The memory stack is etched, so that portions of the memory stack not covered by the organic mask are etched a depth of the thickness of a layer of the plurality of layers. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
  • In another manifestation of the invention, an apparatus for etching stair-step structures in a substrate is provided. A plasma processing chamber is provided, comprising a chamber wall forming a plasma processing chamber enclosure, a chuck for supporting and chucking a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode or coil for providing power to the plasma processing chamber enclosure for sustaining a plasma, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure. A gas source is in fluid connection with the gas inlet and comprises a hardmask deposition gas source, a hardmask sidewall removal gas source, an organic mask trimming gas source, and a substrate etching gas source. A controller is controllably connected to the gas source, the chuck, and the at least one electrode or coil. The controller comprises at least one processor and non-transitory computer readable media. The computer readable media comprises computer readable code for chucking a substrate with an organic mask to the chuck, computer readable code for forming a hardmask over the organic mask, comprising computer readable code for flowing a hardmask deposition gas from the hardmask deposition gas source into the plasma processing chamber, computer readable code for forming a plasma from the hardmask deposition gas, computer readable code for providing a bias voltage, and computer readable code for stopping the hardmask deposition gas, computer readable code for removing a sidewall layer of the hardmask while leaving the top layer of the hardmask, comprising computer readable code for flowing a hardmask sidewall removal gas from the hardmask sidewall removal gas source into the plasma processing chamber, computer readable code for forming a plasma from the hardmask sidewall removal gas, and computer readable code for stopping the hardmask sidewall removal gas, computer readable code for trimming the organic mask, comprising computer readable code for flowing an organic mask trimming gas from the organic mask trimming gas source into the plasma processing chamber, computer readable code for forming a plasma from the organic mask trimming gas, and computer readable code for stopping the organic mask trimming gas, computer readable code for etching the substrate, comprising computer readable code for flowing a substrate etching gas from the substrate etching gas source into the plasma processing chamber, computer readable code for forming a plasma from the substrate etching gas, and computer readable code for stopping the substrate etching gas, and computer readable code for repeating the forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate a plurality of times.
  • These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • FIGS. 2A-O are schematic cross-sectional views of a memory stack formed according to an embodiment of the invention.
  • FIG. 3 is a schematic view of a plasma processing chamber that may be used in practicing the invention.
  • FIGS. 4A-B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • In the formation of stair-step structures a trim and etch scheme is utilized. Basically, one stair will be etched first then a mask is trimmed to pull back the mask without affecting the substrate. Then another stair is etched, where the trim/etch process is cycled a plurality of times. One difficulty with such a scheme is that during the lateral trim of the mask, the height of the mask is also reduced. Such a reduction may be more than the lateral trim of the mask. Such a reduction places a limit on the number of steps that may be etched before requiring the formation of a new mask.
  • To facilitate understanding, FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention, which forms a stair-step structure in a substrate. An organic mask is formed over a substrate (step 104). A hardmask is formed over the organic mask, where the hardmask has a top layer and a sidewall layer (step 108). The sidewall layer of the hardmask is removed, while leaving the top layer of the hardmask (step 112). The organic mask is trimmed, where the top layer of the hardmask prevents the top of the organic mask from being etched away (step 116). The substrate is then etched to form a step (step 120). Steps 108 to 120 are repeated until the stair-step structure is completed (step 124).
  • EXAMPLE
  • In an example of an implementation of the invention, a stair-step memory array is etched. In such a memory array, memory stacks are formed over a wafer. FIG. 2A is a cross sectional view of a plurality of layers of memory stacks 204 formed over a wafer 208. In this embodiment, each memory stack of the plurality of memory stacks are formed by bilayers of a layer of silicon oxide (SiO2) 216 on top of a layer of polysilicon 212. An organic mask 220 is formed over the memory stacks 204. The organic mask may be a photoresist mask that is formed using a spin on process and the photolithographic patterning. In the alternative, the organic mask may be a spun on or otherwise applied organic layer, without photolithographic patterning.
  • The wafer 208 may be placed in a processing tool to perform subsequent steps. FIG. 3 illustrates a processing tool that may be used in an implementation of the invention. FIG. 3 is a schematic view of a plasma processing system 300, including a plasma processing tool 301. The plasma processing tool 301 is an inductively coupled plasma etching tool and includes a plasma reactor 302 having a plasma processing chamber 304 therein. A transformer coupled power (TCP) controller 350 and a bias power controller 355, respectively, control a TCP power supply 351 and a bias power supply 356 influencing the plasma 324 created within plasma chamber 304.
  • The TCP power controller 350 sets a set point for TCP power supply 351 configured to supply a radio frequency signal at 13.56 MHz, tuned by a TCP match network 352, to a TCP coil 353 located near the plasma chamber 304. An RF transparent window 354 is provided to separate TCP coil 353 from plasma chamber 304, while allowing energy to pass from TCP coil 353 to plasma chamber 304.
  • The bias power controller 355 sets a set point for bias power supply 356 configured to supply an RF signal, tuned by bias match network 357, to a chuck electrode 308 located within the plasma chamber 304 creating a direct current (DC) bias above electrode 308 which is adapted to receive a wafer 306, such as a semi-conductor wafer work piece, being processed.
  • A gas supply mechanism or gas source 310 includes a source or sources of gas or gases 316 attached via a gas manifold 317 to supply the proper chemistry required for the process to the interior of the plasma chamber 304. A gas exhaust mechanism 318 includes a pressure control valve 319 and exhaust pump 320 and removes particles from within the plasma chamber 304 and maintains a particular pressure within plasma chamber 304.
  • A temperature controller 380 controls the temperature of a cooling recirculation system provided within the chuck electrode 308 by controlling a cooling power supply 384. The plasma processing system also includes electronic control circuitry 370. The plasma processing system may also have an end point detector. An example of such an inductively coupled system is the Kiyo built by Lam Research Corporation of Fremont, Calif., which is used to etch silicon, polysilicon and conductive layers, in addition to dielectric and organic materials. In other embodiments of the invention, a capacitively coupled system may be used.
  • FIGS. 4A and 4B illustrate a computer system 400, which is suitable for implementing a controller for control circuitry 370 used in embodiments of the present invention. FIG. 4A shows one possible physical form of the computer system. Of course, the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. Computer system 400 includes a monitor 402, a display 404, a housing 406, a disk drive 408, a keyboard 410, and a mouse 412. Disk 414 is a computer-readable medium used to transfer data to and from computer system 400.
  • FIG. 4B is an example of a block diagram for computer system 400. Attached to system bus 420 is a wide variety of subsystems. Processor(s) 422 (also referred to as central processing units, or CPUs) are coupled to storage devices, including memory 424. Memory 424 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM acts to transfer data and instructions uni-directionally to the CPU and RAM is used typically to transfer data and instructions in a bi-directional manner. Both of these types of memories may include any suitable of the computer-readable media described below. A fixed disk 426 is also coupled bi-directionally to CPU 422; it provides additional data storage capacity and may also include any of the computer-readable media described below. Fixed disk 426 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 426 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 424. Removable disk 414 may take the form of any of the computer-readable media described below.
  • CPU 422 is also coupled to a variety of input/output devices, such as display 404, keyboard 410, mouse 412, and speakers 430. In general, an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers. CPU 422 optionally may be coupled to another computer or telecommunications network using network interface 440. With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely upon CPU 422 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • In addition, embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts. Examples of tangible and non-transient computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • In this example, a first stair-step etch is performed before the hardmask is applied, forming a stair-step 224, as shown in FIG. 2B. A hardmask is formed over the organic mask. FIG. 2C is a cross-sectional view of the memory stacks 204, over which a hardmask layer 228 has been formed. The hardmask layer 228 has a top layer 232 formed over the top of the organic mask 220 and a sidewall layer 236 formed on a sidewall of the organic mask 220. Preferably, the thickness of the top layer 232 of the hardmask layer 228 is greater than the thickness of the sidewall layer 236 of the hardmask layer 228. Although patent drawings are not normally drawn to scale, FIG. 2C is drawn to illustrate that in this example, the thickness of the top layer 232 of the hardmask layer 228 is at least twice the thickness as the thickness of the sidewall layer 236 of the hardmask layer 228, which is more preferable. An example of a recipe for forming the hardmask layer 228 provided a pressure of 10 mtorr. A 13.56 MHz RF power source provided 300 watts of TCP power. A bias voltage source provided a 75 volt bias. A gas source provided a hardmask deposition gas comprising 50 sccm SiCl4 and 100 sccm O2. It should be noted that a bias is provided in forming the hardmask layer 228. The bias helps to cause the thickness of the top layer 232 to be at least twice the thickness of the sidewall layer 236.
  • The sidewall layer of the hardmask is removed while leaving the top layer of the hardmask (step 112). FIG. 2D is a cross-sectional view of the stack after the sidewall layer of the hardmask layer 228 has been removed. As can be seen, the top layer 232 of the hardmask layer 228 remains. The top layer 232 may be thinned while removing the sidewall layer, but the top layer 232 remains intact as a complete layer to completely cover to top of each organic mask 220. Having a thicker top layer 232 with respect to sidewall layer helps to accomplish this. In an example of a recipe for removing the sidewall a pressure of 50 mtorr was provided. The RF power source provided 1000 watts of TCP power at 13.56 MHz. A sidewall removal gas of 100 sccm SF6 and 100 sccm Ar was provided.
  • The organic mask is trimmed (step 116). FIG. 2E is a cross-sectional view of the stack, after the organic mask is trimmed. The hardmask layer 228 must be of a material sufficiently different from the organic mask 220, so that the organic mask 220 may be highly selectively trimmed or etched with minimal etching of the hardmask layer 228. Examples of such hardmask materials are silicon oxide, silicon nitride, silicon carbide, and compounds of these. Since in this embodiment the top layer 232 of the hardmask 228 completely covers the top of the organic mask 220, the organic mask is not thinned during the trimming process. The organic mask trim forms a roof 238, which is a part of the top layer of the hardmask layer, under which the organic mask has been trimmed away, so that there is no organic mask under the roof 238, and so that the roof forms a cantilever. In an example of a recipe for trimming the organic mask a pressure of 20 mtorr was provided. The RF power source provided 1000 watts of TCP power. A mask trim gas of 200 sccm O2 and 20 sccm N2 was flowed into the chamber.
  • A stair-step is etched using the organic mask as a mask (step 120). FIG. 2F is a cross-sectional view of the stack after a stair-step has been etched, so that there is now a first stair-step 240 and a second stair-step 244. The first stair-step 240 is etched deeper during the etching of the second stair-step 244. In this embodiment the hardmask layer is etched away. This is because, in this embodiment, there is little etch selectivity between the hardmask layer and that the memory stack 204, and since it is desirable to quickly etch away the part of the hard mask over the stair-step. Preferably, the etch selectively etches the memory stack 204 with respect to the organic mask, so that minimal organic mask is etched away. An example of a recipe for etching the stair-step in a memory stack with a silicon oxide based layer used a C4F6 and O2 based etch gas. Because many different substrates may be etched, many different chemistries may be used for the etch process.
  • It is determined that additional stair-steps are needed (step 124), so a new hardmask layer is formed over the organic mask (step 108). FIG. 2G is a cross-sectional view of a stack with a hardmask layer 248 deposited over the organic mask 220. The sidewalls of the hardmask layer 248, are removed (step 112), as shown in FIG. 2H. The organic mask 220 is trimmed (step 116), as shown in FIG. 2I forming a cantilever hardmask layer roof. The stair-steps are etched (step 120), as shown in FIG. 2J, forming an additional third step 252 in addition to further etching the first stair-step 240 and the second stair-step 244.
  • It is determined that additional stair-steps are needed (step 124), so a new hardmask layer is formed over the organic mask (step 108). FIG. 2K is a cross-sectional view of a stack with a hardmask layer 256 deposited over the organic mask 220. The sidewalls of the hardmask layer 256, are removed (step 112), as shown in FIG. 2L. The organic mask 220 is trimmed (step 116), as shown in FIG. 2M forming a cantilever hardmask layer roof. The stair-steps are etched (step 120), as shown in FIG. 2N, forming an additional fourth step 260, in addition to further etching the third step 252, the first stair-step 240 and the second stair-step 244.
  • If no additional stair-steps are needed (step 124), the cyclical process is complete. Additional steps may be provided for further processing. For example, the organic mask 220 may be stripped, as shown in FIG. 2O, resulting in a memory stack with five stair-steps counting the top layer. The additional steps, such as stripping the organic mask may be done in the same chamber before removing the substrate from the chamber, or the substrate may be removed from the chamber to perform the additional steps. This embodiment allows the forming of the hardmask, the removing the sidewall, the trimming the organic mask, and the etching the substrate to be performed in the same chamber, so that the same plasma reactor, power supply, coil/electrode, and chuck electrode are used in all of the steps.
  • Because the process allows the organic mask to be trimmed without thinning the organic mask, a large number of stair-steps may be provided. Preferably, the cycle is repeated at least 3 times, so that at least five stair-steps are provided. More preferably, at least 8 stair-steps may be provided with a single organic mask forming process. More preferably, more than twenty stair-steps may be provided using a single organic mask process. The stair-steps may be formed in one or more directions in other embodiments. In one example, a stair-step structure was created with thirty-two steps.
  • In other embodiments, the substrate may be made of other materials, to be etched. The substrate may be a solid piece of a single material. In a preferred embodiment, the substrate comprises a plurality of layers where each layer comprises at least two sublayers used to form the memory stacks of the substrate. In one example, at least one sublayer is silicon, such as polysilicon. In another example, each layer comprises three sublayers.
  • While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and various substitute equivalents as fall within the true spirit and scope of the present invention.

Claims (18)

1. A semiconductor devices with a stair-step structure formed by the method, comprising:
a) forming an organic mask over the substrate;
b) forming a hardmask with a top layer and sidewall layer over the organic mask;
c) removing the sidewall layer of the hard mask while leaving the top layer of the hardmask;
d) trimming the organic mask;
e) etching the substrate; and
f) repeating steps a-e a plurality of times forming the stair step structure.
2. The semiconductor device, as recited in claim 1, wherein a thickness of the top layer of the hardmask is greater than a thickness of the sidewall layer of the hardmask.
3. The semiconductor device, as recited in claim 2, wherein the trimming the organic mask forms a roof, formed from the top layer of the hardmask, where the organic mask has been trimmed away under the roof.
4. The semiconductor device, as recited in claim 3, wherein the thickness of the top layer is at least twice the thickness of the sidewall layer.
5. The semiconductor device, as recited in claim 4, wherein the forming the hardmask over the organic mask provides a bias.
6. The semiconductor device, as recited in claim 5, wherein the repeating steps a-e is repeated at least 3 times.
7. The semiconductor device, as recited in claim 6, wherein the substrate comprises a plurality of layers, wherein each layer comprises at least two sublayers, wherein at least one of the at least two sublayers is a silicon layer.
8. The semiconductor device, as recited in claim 1, wherein the trimming the organic mask forms a roof, formed from the top layer of the hardmask, where the organic mask has been trimmed away under the roof.
9. The semiconductor device, as recited in claim 1, wherein the thickness of the top layer is at least twice the thickness of the sidewall layer.
10. The semiconductor device, as recited in claim 1, wherein the forming the hardmask over the organic mask provides a bias.
11. The semiconductor device, as recited in claim 1, wherein the repeating steps a-e is repeated at least 3 times.
12. A semiconductor device with a three dimensional memory structure formed by the method, comprising:
a) providing memory stack comprising a plurality of layers, wherein each layer comprises at least two sublayers;
b) forming an organic mask over the memory stack;
c) forming a hardmask with a top layer and sidewall layer over the organic mask;
d) removing the sidewall layer of the hard mask while leaving the top layer of the hardmask;
e) trimming the organic mask;
f) etching the memory stack, so that portions of the memory stack not covered by the organic mask are etched a depth of the thickness of a layer of the plurality of layers; and
g) repeating steps b-f a plurality of times forming the three dimensional memory structure.
13. The semiconductor device, as recited in claim 12, wherein a thickness of the top layer of the hardmask is greater than a thickness of the sidewall layer of the hardmask.
14. The semiconductor device, as recited in claim 13, wherein the trimming the organic mask forms a roof, formed from the top layer of the hardmask, where the organic mask has been trimmed away under the roof.
15. The semiconductor device, as recited in claim 13, wherein steps b-f are performed in a single plasma processing chamber.
16. An apparatus for etching stair-step structures in a substrate, said apparatus comprising:
a plasma processing chamber, comprising:
a chamber wall forming a plasma processing chamber enclosure;
a chuck for supporting and chucking a substrate within the plasma processing chamber enclosure;
a pressure regulator for regulating the pressure in the plasma processing chamber enclosure;
at least one electrode or coil for providing power to the plasma processing chamber enclosure for sustaining a plasma;
a gas inlet for providing gas into the plasma processing chamber enclosure; and
a gas outlet for exhausting gas from the plasma processing chamber enclosure;
a gas source in fluid connection with the gas inlet, comprising:
a hardmask deposition gas source;
a hardmask sidewall removal gas source;
an organic mask trimming gas source; and
a substrate etching gas source;
a controller controllably connected to the gas source, the chuck, and the at least one electrode or coil, comprising:
at least one processor; and
non-transient computer readable media, comprising:
computer readable code for chucking a substrate with an organic mask to the chuck;
computer readable code for forming a hardmask with a top layer and sidewall layer over the organic mask, comprising:
computer readable code for flowing a hardmask deposition gas from the hardmask deposition gas source into the plasma processing chamber;
computer readable code for forming a plasma from the hardmask deposition gas;
computer readable code for providing a bias voltage; and
computer readable code for stopping the hardmask deposition gas;
computer readable code for removing a sidewall layer of the hardmask while leaving the top layer of the hardmask, comprising:
computer readable code for flowing a hardmask sidewall removal gas from the hardmask sidewall removal gas source into the plasma processing chamber;
computer readable code for forming a plasma from the hardmask sidewall removal gas; and
computer readable code for stopping the hardmask sidewall removal gas;
computer readable code for trimming the organic mask, comprising:
computer readable code for flowing an organic mask trimming gas from the organic mask trimming gas source into the plasma processing chamber;
computer readable code for forming a plasma from the organic mask trimming gas; and
computer readable code for stopping the organic mask trimming gas;
computer readable code for etching the substrate, comprising:
computer readable code for flowing a substrate etching gas from the substrate etching gas source into the plasma processing chamber;
computer readable code for forming a plasma from the substrate etching gas; and
computer readable code for stopping the substrate etching gas; and
computer readable code for repeating the forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate a plurality of times.
17. The apparatus, as recited in claim 16, wherein a thickness of the top layer of the hardmask is greater than a thickness of the sidewall layer of the hardmask.
18. The apparatus, as recited in claim 17, wherein the computer readable code for trimming the organic mask forms a roof, formed from the top layer of the hardmask, where the organic mask has been trimmed away under the roof.
US13/668,939 2010-12-14 2012-11-05 Method for forming stair-step structures Abandoned US20130062735A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US13/668,939 US20130062735A1 (en) 2010-12-14 2012-11-05 Method for forming stair-step structures
US14/581,673 US9275872B2 (en) 2010-12-14 2014-12-23 Method for forming stair-step structures
US15/055,421 US9646844B2 (en) 2010-12-14 2016-02-26 Method for forming stair-step structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/968,210 US8329051B2 (en) 2010-12-14 2010-12-14 Method for forming stair-step structures
US13/668,939 US20130062735A1 (en) 2010-12-14 2012-11-05 Method for forming stair-step structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/968,210 Division US8329051B2 (en) 2010-12-14 2010-12-14 Method for forming stair-step structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/581,673 Continuation US9275872B2 (en) 2010-12-14 2014-12-23 Method for forming stair-step structures

Publications (1)

Publication Number Publication Date
US20130062735A1 true US20130062735A1 (en) 2013-03-14

Family

ID=46199800

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/968,210 Expired - Fee Related US8329051B2 (en) 2010-12-14 2010-12-14 Method for forming stair-step structures
US13/668,939 Abandoned US20130062735A1 (en) 2010-12-14 2012-11-05 Method for forming stair-step structures
US14/581,673 Expired - Fee Related US9275872B2 (en) 2010-12-14 2014-12-23 Method for forming stair-step structures
US15/055,421 Expired - Fee Related US9646844B2 (en) 2010-12-14 2016-02-26 Method for forming stair-step structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/968,210 Expired - Fee Related US8329051B2 (en) 2010-12-14 2010-12-14 Method for forming stair-step structures

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/581,673 Expired - Fee Related US9275872B2 (en) 2010-12-14 2014-12-23 Method for forming stair-step structures
US15/055,421 Expired - Fee Related US9646844B2 (en) 2010-12-14 2016-02-26 Method for forming stair-step structures

Country Status (1)

Country Link
US (4) US8329051B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130001754A1 (en) * 2007-05-24 2013-01-03 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US9240359B2 (en) 2013-07-08 2016-01-19 Applied Materials, Inc. 3D NAND staircase CD control by using interferometric endpoint detection
US9299580B2 (en) 2014-08-19 2016-03-29 Applied Materials, Inc. High aspect ratio plasma etch for 3D NAND semiconductor applications
US9502429B2 (en) 2014-11-26 2016-11-22 Sandisk Technologies Llc Set of stepped surfaces formation for a multilevel interconnect structure
US9728499B2 (en) * 2014-11-26 2017-08-08 Sandisk Technologies Llc Set of stepped surfaces formation for a multilevel interconnect structure

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8329051B2 (en) * 2010-12-14 2012-12-11 Lam Research Corporation Method for forming stair-step structures
US8535549B2 (en) * 2010-12-14 2013-09-17 Lam Research Corporation Method for forming stair-step structures
USRE46464E1 (en) * 2010-12-14 2017-07-04 Lam Research Corporation Method for forming stair-step structures
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
KR101820299B1 (en) 2011-11-23 2018-03-02 삼성전자주식회사 Stairs recognition method for three dimension data image
US8609536B1 (en) 2012-07-06 2013-12-17 Micron Technology, Inc. Stair step formation using at least two masks
JP6267953B2 (en) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 Manufacturing method of semiconductor device
TWI559370B (en) 2015-01-15 2016-11-21 力晶科技股份有限公司 Method for fabricating semiconductor structure
US9673057B2 (en) 2015-03-23 2017-06-06 Lam Research Corporation Method for forming stair-step structures
US9741563B2 (en) * 2016-01-27 2017-08-22 Lam Research Corporation Hybrid stair-step etch
US10727021B2 (en) * 2016-07-21 2020-07-28 Sharp Kabushiki Kaisha Electron emission element, electrification apparatus, and image forming apparatus
US10504838B2 (en) * 2016-09-21 2019-12-10 Micron Technology, Inc. Methods of forming a semiconductor device structure including a stair step structure
CA3048408A1 (en) 2016-12-23 2018-06-28 Walmart Apollo, Llc Retail financial system testing system and methods
CN107579069B (en) * 2017-08-31 2019-01-25 长江存储科技有限责任公司 A kind of three-dimensional flash memory and preparation method thereof
WO2019104023A1 (en) 2017-11-22 2019-05-31 Walmart Apollo, Llc Automated testing systems and methods for point-of-sale terminals
GB2582474B (en) 2017-11-22 2021-03-24 Walmart Apollo Llc Test card for automated retail financial transaction system
KR102611731B1 (en) * 2019-01-31 2023-12-07 양쯔 메모리 테크놀로지스 씨오., 엘티디. Step formation in 3D memory devices
CN110379724B (en) * 2019-06-11 2021-04-06 长江存储科技有限责任公司 Mask plate, three-dimensional memory and related preparation and measurement methods
US10978478B1 (en) * 2019-12-17 2021-04-13 Micron Technology, Inc. Block-on-block memory array architecture using bi-directional staircases
CN112071755B (en) * 2020-09-17 2024-04-23 长江存储科技有限责任公司 Etching method and manufacturing method of three-dimensional memory

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070252201A1 (en) * 2006-03-27 2007-11-01 Masaru Kito Nonvolatile semiconductor memory device and manufacturing method thereof
US20080073635A1 (en) * 2006-09-21 2008-03-27 Masahiro Kiyotoshi Semiconductor Memory and Method of Manufacturing the Same
US20110031630A1 (en) * 2009-08-04 2011-02-10 Junichi Hashimoto Semiconductor device manufacturing method and semiconductor device

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
US5773368A (en) * 1996-01-22 1998-06-30 Motorola, Inc. Method of etching adjacent layers
US5738757A (en) * 1995-11-22 1998-04-14 Northrop Grumman Corporation Planar masking for multi-depth silicon etching
US6239035B1 (en) * 1997-07-18 2001-05-29 Agere Systems Guardian Corporation Semiconductor wafer fabrication
US6043119A (en) * 1997-08-04 2000-03-28 Micron Technology, Inc. Method of making a capacitor
SG78332A1 (en) * 1998-02-04 2001-02-20 Canon Kk Semiconductor substrate and method of manufacturing the same
JP2000091308A (en) * 1998-09-07 2000-03-31 Sony Corp Manufacture of semiconductor device
KR100297737B1 (en) 1998-09-24 2001-11-01 윤종용 Trench Isolation Method of Semiconductor Device
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6458657B1 (en) * 2000-09-25 2002-10-01 Macronix International Co., Ltd. Method of fabricating gate
SG112804A1 (en) * 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
US6727158B2 (en) 2001-11-08 2004-04-27 Micron Technology, Inc. Structure and method for forming a faceted opening and a layer filling therein
TWI273637B (en) 2002-05-17 2007-02-11 Semiconductor Energy Lab Manufacturing method of semiconductor device
JP2005072084A (en) * 2003-08-28 2005-03-17 Toshiba Corp Semiconductor device and its fabricating process
GB2407648B (en) * 2003-10-31 2006-10-25 Bookham Technology Plc Polarisation rotators
TWI234228B (en) * 2004-05-12 2005-06-11 Powerchip Semiconductor Corp Method of fabricating a shallow trench isolation
US7601646B2 (en) 2004-07-21 2009-10-13 International Business Machines Corporation Top-oxide-early process and array top oxide planarization
KR100618907B1 (en) * 2005-07-30 2006-09-01 삼성전자주식회사 Semiconductor structure comprising multiple barc and method of shaping pr pattern and method of shaping pattern of semiconductor device using the same structure
US8207568B2 (en) * 2005-09-19 2012-06-26 International Business Machines Corporation Process for single and multiple level metal-insulator-metal integration with a single mask
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7807583B2 (en) * 2006-08-25 2010-10-05 Imec High aspect ratio via etch
TW200843105A (en) * 2007-04-25 2008-11-01 Promos Technologies Inc Vertical transistor and method for preparing the same
JP2009238874A (en) * 2008-03-26 2009-10-15 Toshiba Corp Semiconductor memory and method for manufacturing the same
KR101434588B1 (en) * 2008-06-11 2014-08-29 삼성전자주식회사 Semiconductor Device And Method Of Fabricating The Same
FR2933802B1 (en) * 2008-07-10 2010-10-15 Commissariat Energie Atomique STRUCTURE AND METHOD FOR PRODUCING A MICROELECTRONIC 3D MEMORY DEVICE OF NAND FLASH TYPE
KR20100052597A (en) * 2008-11-11 2010-05-20 삼성전자주식회사 Vertical type semiconductor device
US8541831B2 (en) 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
KR101495806B1 (en) * 2008-12-24 2015-02-26 삼성전자주식회사 Non-volatile memory device
US7855142B2 (en) * 2009-01-09 2010-12-21 Samsung Electronics Co., Ltd. Methods of forming dual-damascene metal interconnect structures using multi-layer hard masks
JP5341529B2 (en) 2009-01-09 2013-11-13 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
JP5330017B2 (en) * 2009-02-17 2013-10-30 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP5305980B2 (en) * 2009-02-25 2013-10-02 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR20100109221A (en) * 2009-03-31 2010-10-08 삼성전자주식회사 Method of forming nonvolatile memory device
JP2011003722A (en) * 2009-06-18 2011-01-06 Toshiba Corp Method for manufacturing semiconductor device
US7786020B1 (en) * 2009-07-30 2010-08-31 Hynix Semiconductor Inc. Method for fabricating nonvolatile memory device
US8242024B2 (en) * 2009-09-18 2012-08-14 Siargo Ltd. Method of forming metal interconnection on thick polyimide film
KR20110042619A (en) * 2009-10-19 2011-04-27 삼성전자주식회사 Three dimensional semiconductor memory device and method of fabricating the same
JP2011100921A (en) * 2009-11-09 2011-05-19 Toshiba Corp Semiconductor device and method of manufacturing the same
US8394723B2 (en) 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
JP2011166061A (en) * 2010-02-15 2011-08-25 Toshiba Corp Method of manufacturing semiconductor device
KR20120003677A (en) * 2010-07-05 2012-01-11 삼성전자주식회사 Semiconductor device and method of forming the same
KR101787041B1 (en) * 2010-11-17 2017-10-18 삼성전자주식회사 Methods for forming semiconductor devices having etch stopping layers, and methods for fabricating semiconductor devices
KR101744127B1 (en) * 2010-11-17 2017-06-08 삼성전자주식회사 Semiconductor devices and methods for fabricating the same
US8329051B2 (en) 2010-12-14 2012-12-11 Lam Research Corporation Method for forming stair-step structures
US8535549B2 (en) 2010-12-14 2013-09-17 Lam Research Corporation Method for forming stair-step structures
KR101778286B1 (en) * 2011-01-03 2017-09-13 삼성전자주식회사 Method Of Fabricating Three Dimensional Semiconductor Memory Device
KR101688838B1 (en) * 2011-01-20 2016-12-22 삼성전자주식회사 A connect structure and method of manufacturing a semiconductor device using the same
JP2012174892A (en) * 2011-02-22 2012-09-10 Toshiba Corp Semiconductor storage device and manufacturing method of the same
US8263496B1 (en) * 2011-04-12 2012-09-11 Tokyo Electron Limited Etching method for preparing a stepped structure
US8530350B2 (en) * 2011-06-02 2013-09-10 Micron Technology, Inc. Apparatuses including stair-step structures and methods of forming the same
JP5550604B2 (en) * 2011-06-15 2014-07-16 株式会社東芝 Three-dimensional semiconductor device and manufacturing method thereof
JP2013055136A (en) * 2011-09-01 2013-03-21 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP2013058683A (en) * 2011-09-09 2013-03-28 Toshiba Corp Manufacturing method of semiconductor storage device
KR20130070153A (en) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 Capacitor and resistor of semiconductor device, memory system and method for manufacturing the same
KR20130072522A (en) * 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 Three dimension non-volatile memory device and method for manufacturing the same
JP5934523B2 (en) * 2012-03-02 2016-06-15 東京エレクトロン株式会社 Semiconductor device manufacturing method and computer recording medium
JP2013187200A (en) * 2012-03-05 2013-09-19 Toshiba Corp Semiconductor device manufacturing method and semiconductor device
US8736069B2 (en) * 2012-08-23 2014-05-27 Macronix International Co., Ltd. Multi-level vertical plug formation with stop layers of increasing thicknesses
KR101881857B1 (en) * 2012-08-27 2018-08-24 삼성전자주식회사 Method of forming a step shape pattern
US8907707B2 (en) 2013-03-01 2014-12-09 Laurence H. Cooke Aligning multiple chip input signals using digital phase lock loops
US9673057B2 (en) * 2015-03-23 2017-06-06 Lam Research Corporation Method for forming stair-step structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070252201A1 (en) * 2006-03-27 2007-11-01 Masaru Kito Nonvolatile semiconductor memory device and manufacturing method thereof
US20080073635A1 (en) * 2006-09-21 2008-03-27 Masahiro Kiyotoshi Semiconductor Memory and Method of Manufacturing the Same
US20110031630A1 (en) * 2009-08-04 2011-02-10 Junichi Hashimoto Semiconductor device manufacturing method and semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130001754A1 (en) * 2007-05-24 2013-01-03 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8912633B2 (en) * 2007-05-24 2014-12-16 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US9240359B2 (en) 2013-07-08 2016-01-19 Applied Materials, Inc. 3D NAND staircase CD control by using interferometric endpoint detection
US9601396B2 (en) 2013-07-08 2017-03-21 Applied Materials, Inc. 3D NAND staircase CD control by using interferometric endpoint detection
US9299580B2 (en) 2014-08-19 2016-03-29 Applied Materials, Inc. High aspect ratio plasma etch for 3D NAND semiconductor applications
US9502429B2 (en) 2014-11-26 2016-11-22 Sandisk Technologies Llc Set of stepped surfaces formation for a multilevel interconnect structure
US9728499B2 (en) * 2014-11-26 2017-08-08 Sandisk Technologies Llc Set of stepped surfaces formation for a multilevel interconnect structure

Also Published As

Publication number Publication date
US20120149201A1 (en) 2012-06-14
US9646844B2 (en) 2017-05-09
US20160181113A1 (en) 2016-06-23
US20150118853A1 (en) 2015-04-30
US9275872B2 (en) 2016-03-01
US8329051B2 (en) 2012-12-11

Similar Documents

Publication Publication Date Title
US9646844B2 (en) Method for forming stair-step structures
US8500951B2 (en) Low-K damage avoidance during bevel etch processing
US9741563B2 (en) Hybrid stair-step etch
US8304262B2 (en) Wiggling control for pseudo-hardmask
US8986492B2 (en) Spacer formation for array double patterning
US8535549B2 (en) Method for forming stair-step structures
US9673057B2 (en) Method for forming stair-step structures
US8124538B2 (en) Selective etch of high-k dielectric material
US20090291562A1 (en) Helium descumming
USRE46464E1 (en) Method for forming stair-step structures
US9997366B2 (en) Silicon oxide silicon nitride stack ion-assisted etch

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION