US20130098761A1 - System and method for commercial fabrication of patterned media - Google Patents

System and method for commercial fabrication of patterned media Download PDF

Info

Publication number
US20130098761A1
US20130098761A1 US13/712,916 US201213712916A US2013098761A1 US 20130098761 A1 US20130098761 A1 US 20130098761A1 US 201213712916 A US201213712916 A US 201213712916A US 2013098761 A1 US2013098761 A1 US 2013098761A1
Authority
US
United States
Prior art keywords
disk
chamber
etch
electrode
chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/712,916
Inventor
Kevin P. Fairbairn
Michael S. Barnes
Terry Bluck
Ren Xu
Charles Liu
Ralph Kerns
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intevac Inc
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Priority to US13/712,916 priority Critical patent/US20130098761A1/en
Assigned to INTEVAC, INC. reassignment INTEVAC, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BARNES, MICHAEL S., FAIRBAIRN, KEVIN P., BLUCK, TERRY, LIU, CHARLES, XU, REN, KERNS, RALPH
Publication of US20130098761A1 publication Critical patent/US20130098761A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • C23F4/04Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00 by physical dissolution
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3438Electrodes other than cathode

Definitions

  • This invention relates to the art of substrates, e.g., disk, micro-fabrication and, more particularly, to patterning of substrates, e.g., the magnetic layers of a hard disk for hard disk drives.
  • Micro-fabrication of substrates is a well known art employed in, for example, fabrication of semiconductors, flat panel displays, light emitting diodes (LED's), hard disks for hard disk drives (HDD), etc.
  • fabrication of semiconductors, flat panel displays and LED's involves various steps for patterning the substrate.
  • traditional fabrication of hard disks generally referred to as longitudinal recording technology, does not involve patterning.
  • fabrication of disks for perpendicular recording technology does not involve patterning. Rather uniform layers are deposited and memory cells are generally defined by the alternating change of magnetic flux induced by the recording head, with each recording bit encompassing multiple grains within the un-patterned magnetic layers.
  • next generation disks should be patterned.
  • the patterning process may utilize photolithography, although currently there is no certainty which lithography technology may be commercialized, and no commercial system is yet available for commercial manufacturing of patterned media.
  • contenders for photolithography are interference photolithography, near field lithography and nano-imprint lithography (NIL).
  • NIL nano-imprint lithography
  • etch, sputtering, and other fabrication technologies are well known and well developed for semiconductor, flat panel display, LED's, etc.
  • no system has been proposed for integrating these technologies to enable fabrication of disks for HDD.
  • HDD disks in all of these applications only one side of the substrate needs to be etched—allowing a chuck to hold the substrate from the backside during fabrication.
  • HDD disks need to be fabricated on both sides, preventing the use of a chuck. Indeed, in HDD disk fabrication no part of the fabrication system may contact any surface of the disk.
  • fabricators of semiconductors employ systems having throughputs of only tens of substrates per hour.
  • Methods and systems are provided for integrated fabrication of disks to be used in HDD in a commercially viable manner.
  • Various processing steps are outlined and their sequence is designed to result in a functional patterned media disk.
  • the system may be constructed by modifying a commercial processing system, such as the 200 Lean® available from Intevac, of Santa Clara, Calif.
  • the fabrication of patterned media requires, among others, incorporating etching technology to the disk fabrication.
  • the subject inventors have recognized that standard plasma etching technology is problematic for etching patterned hard disks. Unlike semiconductors and other applications, the disks need to be etched on both sides. Therefore, conventional systems having plasma etch on only one side are not workable for hard disks. Also, since both sides of the disks are fabricated, no element of the fabrication machine can be allowed to touch either surface of the disk. Therefore, prior art systems utilizing conventional chucks cannot be used for processing hard disks, as they touch the backside. This raises another problem in that, if no chuck can be used to hold the disk, how can a bias potential be applied to cause species of the plasma to impinge on the surface of the disk?
  • the subject inventors have provided solutions to the above problems and developed a patterned media fabrication system that is commercially viable.
  • the fabrication system includes an etching system and method that enable etching of both sides of the disks, without touching any surface of the disk.
  • Embodiments of the invention also enable applying bias potential to cause the plasma species to impinge the surface of the disk without attaching the disk to a chuck.
  • FIG. 1 illustrates a flow chart of a complete process for fabricating HDD patterned media disks according to one generic embodiment of the invention.
  • FIG. 2 illustrates a cross section of a patterned media undergoing a general process flow according to one generic embodiment of the invention.
  • FIG. 3 illustrates an example of a patterning system according to an embodiment of the invention.
  • FIG. 4 illustrates another process for fabricating a patterned media disk according to an embodiment of the invention.
  • FIG. 5 illustrates a general architecture of a system tailored for executing the process of FIG. 4 .
  • FIG. 6 illustrates another process for fabricating a patterned media disk, according to an embodiment of the invention.
  • FIG. 7 illustrates a general architecture of a system tailored for executing the process of FIG. 6 .
  • FIG. 8 illustrates part of a system for fabricating a patterned hard disk according to an embodiment of the invention.
  • FIG. 9 illustrates a cross section along lines A-A in FIG. 8 .
  • FIG. 10 illustrates a cross section along lines B-B in FIG. 8 .
  • FIG. 11A is a partial isometric view shown the movable cathode in a position away from the disk, while
  • FIG. 11B is a partial isometric view showing the movable cathode in a position proximate the disk.
  • FIG. 12 illustrates a disk etch chamber according to an embodiment of the invention.
  • FIG. 13 illustrates an embodiment of a system having alternating etch chambers and cooling stations.
  • FIG. 14 illustrate a flow of a process according to an embodiment of the invention.
  • FIG. 15 illustrates an alternative embodiment of the system according to the invention.
  • FIG. 16 illustrates certain alternative features according to embodiments of the invention.
  • FIG. 17 is a flow chart illustrating an etch process according to an embodiment of the invention.
  • FIG. 18 illustrates another process for fabricating a patterned media disk according to an embodiment of the invention.
  • FIG. 19 illustrates a general architecture of a system tailored for executing the process of FIG. 18 .
  • FIG. 20 illustrates an example for patterning-first process according to an embodiment of the invention.
  • FIG. 21 illustrates another example for patterning-first process according to an embodiment of the invention.
  • FIG. 1 illustrates a flow chart of a complete process for fabricating HDD patterned media disks, generally divided into four modules (indicated by light broken-line boxes).
  • solid-line box indicates utilization of conventional continuous media fabrication equipment
  • broken-line box indicates utilization of lithography equipment, such as, e.g., nano-imprint lithography
  • double-line box indicates utilization of novel patterned media fabrication equipment.
  • module 10 fabrication starts by cleaning the disks in a cleaning apparatus 12 . The disks are then moved to a conventional processing system 14 , such as the 200 Lean® for fabricating non-patterned magnetic layers.
  • the lithography module may be any of the technologies currently under consideration, including, but not limited to, nano-imprint lithography.
  • the disk is coated with a photoresist, the photoresist is “exposed” to the required pattern (either by radiation or physical contact with a master, i.e., imprinted), then the exposed resist is developed, or cured under UV irradiation.
  • the disk is transferred to the patterning system 18 .
  • various processing are performed, which may include de-scum, resist trim, hard mask deposition and etch, resist strip, metal etching, planarization (which may include carbon or metal or oxide refill and etch-back). These processes are performed in a plurality of chambers, each having an independent vacuum environment; however, once the disk enters system 18 it never leaves the vacuum environment until processing is completed. The details about these processes and the various system elements used to perform them will be described below. Once processing in the patterning system 18 is completed, the disks are moved to modules 20 and 22 , which are not relevant to the subject disclosure.
  • FIG. 2 illustrates cross section of a patterned media undergoing a general process flow according to an embodiment of the invention.
  • the disk arrives at the patterning system having the structure illustrated as 200 .
  • the structure includes the substrate 205 upon which a soft underlayer (SUL) 210 is deposited.
  • SUL layer is a “soft” or relatively low-coercivity magnetically permeable underlayer that serves as a flux return path for the field from the write pole to the return pole of the recording head.
  • a seed layer 215 is formed over the SUL, 210 and the magnetic layer 220 is formed over the seed layer.
  • a thin protective coat of diamond type carbon (carbon overcoat, COC) layer 225 is applied over the magnetic layer 220 .
  • a patterning mask 230 is formed using, e.g., photoresist or other masking material in a nano-imprinting step.
  • the structure shown as 200 then undergoes processing in the patterning system, as generally shown by structures 240 , 250 , 260 and 270 .
  • the COO layer has been etched so as to be used as a hard mask. That is, once the COO layer has been etched, the photoresist may be removed and the COO layer would maintain the desired pattern. Then at 250 the magnetic layer is etched using the COO layer as the hard mask. Each of these two etch steps may be performed as sequential steps, i.e., etching one side of the disk at a time. This would be explained more completely below.
  • a carbon refill layer is deposited to fill the patterned magnetic layer, and then the carbon refill layer is etched back to form a relatively flat top surface.
  • a thin protective coat of diamond-like carbon layer (generally referred to as NCT carbon) is formed.
  • FIG. 3 illustrates an example of a patterning system according to an embodiment of the invention.
  • the general structure of the system may mimic that of the 200Lean® available from Intevac, of Santa Clara, Calif.
  • the system has two elevators, 302 and 304 , and sixteen processing chambers, labeled 1 - 16 .
  • each chamber has a lower part that functions as transport chamber for transporting the carrier with the disk, generally 306 , and an upper processing chamber for performing the process on the disk. While some chambers process both sides of the disk simultaneously, others process only one side, and so are provided in pairs to complete processing on both sides of the disk.
  • chamber 1 is a de-scum chamber, which may also be used for trimming the photoresist. Note that when the process involved hard mask patterning, this step may be skipped, provided that the photo-resist is of a desired shape and gross dimension, as the hard mask patterning would remove any excess photo-resist.
  • This chamber processes both sides of the disk simultaneously.
  • Chambers 2 and 3 are utilized for carbon hard mask etch, i.e., for etching the COC layer.
  • the etch process may be done by oxidation assisted soft etch using, e.g., biased RF source or remote plasma using, e.g., oxygen gas.
  • a biased RF plasma is used, so that each of chambers 2 and 3 etches one side of the disk. This can be accomplished with the close-proximity-bias backing plate mechanism used in the stations 4 , 6 , 8 and 9 .
  • a non-biased plasma e.g., remote plasma source
  • the process may be performed in a single chamber, etching both sides simultaneously.
  • selectivity of the etch is the natural selectivity ratio that exist between photoresist and carbon, which can be between 1:1 to up to 1:10, depending on the carbon type and the resist type. Total etch thickness may be about 10-1000 A, depending on the magnetic layer thickness and the etch selectivity.
  • the end point of the COC etch may be critical so as to avoid oxygen poisoning of the magnetic layer. Therefore, in one embodiment, towards the end of the hard mask-oxidation assisted etch-process, oxygen flow is stopped, so that the process continues with oxygen free plasma.
  • the oxidative reactant used for the carbon hard mask etch maybe that of a reduced (mitigated) oxidation-power reagent, that effectively stops at the metal surface and allows for differentiation of the two process step.
  • a step of reductive strip of resist may also be performed in chambers 2 and 3 , or in subsequent chambers (not shown). This may be also performed using soft plasma using H2/O2 source gas. Since this process may also use oxygen, it is critical to avoid oxygen poisoning of the magnetic layer. This may be done by timely stopping flow of oxygen or by forming a passivation layer (e.g., Pt, Ta, Cr) over the magnetic layer before performing the strip resist step.
  • a passivation layer e.g., Pt, Ta, Cr
  • Chambers 4 - 9 are used to alternatingly etch the magnetic layer on one side of the disk and cool the disk after an etch process.
  • no cooling chamber is provided between chambers 8 and 9 , as in this example cooling between these two etch processes is done in elevator 304 .
  • another cooling chamber may be added between these two chambers.
  • the magnetic layer is etched using ion beam etch (IBE), which requires biasing the disk. Therefore, each chamber is structured to etch only one side of the disk. If a reactive ion etch (RIE) is used, each chamber may be configured to etch both sides simultaneously.
  • IBE ion beam etch
  • RIE reactive ion etch
  • the magnetic layer etch is performed using an innovative etch chamber that will be described in details in the section under the heading Etch Chamber.
  • the magnetic layer etch process should be designed so as to avoid puncturing the carbon hard mask, so here selectivity is more important. Total etch depth of this step is about 100-1000 A. It is desired to leave some thickness of the COC layer on top of the un-etched islands, which also helps preventing damage to the magnetic layer.
  • Chamber 10 is used for forming a carbon refill layer to fill the etched regions. This may be done by sputtering carbon, e.g., NCT or sputtered carbon, filling with SiO2, or other materials.
  • the thickness of the refill should be sufficient to allow follow-on planarization.
  • the refill is performed in two stages (chambers 10 and 12 ), with two follow-on planarization steps (chamber 11 and 13 ).
  • Planarization may be done using etch back, e.g., using soft etch.
  • the refill—etch back processing is followed with a cooling chamber 14 .
  • Chambers 15 and 16 are used to form a hard protective layer over the planarized refill.
  • An additional benefit of the carbon refill is to effectively passivate the side-wall of the etched magnetic features. This is critical for the magnetic integrity of the critical feature of a patterned media.
  • the side-wall coverage and passivation of the patterned medial side-walls can be accomplished by the NCT stations that are field-deployed in the HDD industry with zero-bias, effecting a chemical vapor deposition environment for isotropic carbon deposition and side-wall coverage and passivation as needed for the patterned media.
  • FIG. 4 illustrates another process for fabricating a patterned media disk, starting from a photo-resist-patterned disk 400 that is the same as 200 in FIG. 2 .
  • FIG. 5 illustrates a general architecture of a system tailored for executing the process of FIG. 4 .
  • the disk is moved to chamber 2 for etching the thin COC and thereby create a hard mask with some photo-resist possibly still remaining on top of the COC layer.
  • the magnetic layer is etched.
  • the magnetic layer etch step is performed sequentially with interlacing cooling steps. This is shown in FIG.
  • RIE Reactive Ion Etch
  • the disk undergoes RIE (Reactive Ion Etch) etch on one side in chamber 3
  • chamber 4 undergoes further etch on the same side with a following cooling step.
  • the process repeats for the opposite side.
  • some photo-resist still remains after the completion of the magnetic layer etch step on both sides of the disk.
  • a carbon refill step is performed, followed by etch back. This step may be repeated in chambers 12 and 3 .
  • the carbon refill is etched back so as to expose and strip the remaining photo-resist (step 470 ).
  • a carbon protective layer is formed over the disk in chambers 15 and 16 .
  • FIG. 6 illustrates another process for fabricating a patterned media disk, starting from a photo-resist-patterned disk 600 that is the same as 200 in FIG. 2 .
  • FIG. 7 illustrates a general architecture of a system tailored for executing the process of FIG. 6 .
  • a hard mask layer e.g., a SnO2 or carbon hard mask
  • This step may be performed using sputtering process in chamber 2 .
  • the photo-resist is striped in chamber 3 , so that only the SnO2 hard mask remains—step 650 .
  • the hard mask is then used to etch the magnetic layer using alternating etch and cooling chambers 4 - 9 (step 660 ).
  • the SnO2 hard mask may optionally be removed in chamber 10 using, e.g., hydrogen gas.
  • chamber 10 may be a cooling chamber and instead of removing the hard mask, alternating steps of carbon refill and etch back are performed over the hard mask, with the last etch back used to planarize the surface of the disk and remove the SnO2 hard mask. Then a protective coating is formed over both sides of the disk in chambers 15 and 16 .
  • a novel movable non-contact electrode for performing sputter etch which is particularly beneficial for sputtering of hard disks used in hard disk drives (HDD).
  • the electrode moves to near contact distance to, but not contacting, the substrate so as to couple RF energy to the disk.
  • the material to be etched may be metal, e.g., Co/Pt/Cr or similar metals. No surface contact is allowed by any part of the system.
  • the substrate is held vertically in a carrier and both sides must be etched. In one embodiment, one side is etched in one chamber and then the second side is etched in the next chamber.
  • An isolation valve is disposed between the two chambers and the disk carrier moves the disks between the chambers.
  • the carrier may be a linear drive carrier, using, e.g., magnetized wheels and linear motors.
  • the chamber has a showerhead on one side and a movable electrode on the other side.
  • the showerhead may be grounded or biased, and has provisions for delivering gas into the chamber, e.g., argon, and/or reactive gases, such as CxFy, Cl 2 , Br 2 , etc.
  • the chamber also has guides or rails for the linear drive disk carrier. When the disk carrier assumes processing position, the electrode is moved close to the disk, but not touching it. An RF power, e.g., 13.56 MHz is coupled to the electrode, which is capacitively coupled to the disk. A plasma is then ignited in the void between the disk and the showerhead, to thereby sputter material from the face of the disk. In the next chamber, the exact arrangement is provided, except in the opposite facing order, so that the opposing face of the disk is etched.
  • a cooling chamber may be interposed between the two chambers, or after the two chambers.
  • FIG. 8 illustrates part of a system for fabricating a patterned hard disk according to an embodiment of the invention, e.g., part of the system illustrated in any of FIG. 3 , 5 , or 7 .
  • three processing chambers, 100 , 105 and 110 are shown, but the three dots on each side indicates that any number of chambers may be used.
  • three specific chambers are shown, it is not necessary that the chamber arrangement shown here would be employed. Rather, other chamber arrangements may be used and other type of chambers may be interposed between the chambers as shown.
  • the three chambers 100 , 105 and 110 are etch chambers, each evacuated by its own vacuum pump 102 , 104 , 106 .
  • Each of the processing chambers has a transfer section, 122 , 124 and 126 , and a processing section 132 , 134 and 136 .
  • Disk 150 is mounted onto a disk carrier 120 .
  • the disk is held by its periphery, i.e., without touching any of its surfaces, as both surfaces are fabricated so as to pattern both sides.
  • the disk carrier 120 has a set of wheels 121 that ride on tracks (not shown in FIG. 8 ). In one embodiment, the wheels are magnetized so as to provide better traction and stability.
  • the disk carrier 120 rides on rails provided in the transfer sections so as to position the disk in the processing section.
  • motive force is provided externally to the disk carrier 120 using linear motor arrangement (not shown in FIG. 8 ).
  • FIG. 9 illustrates a cross section along lines A-A in FIG. 8 .
  • disk 250 is illustrated without its carrier, but it should be appreciated that the disk remains on the disk carrier throughout the processing performed in the system of FIG. 8 , and is transported from chamber to chamber by the disk carrier, as illustrated by the arrow in FIG. 9 .
  • the disk in each chamber, 200 , 205 and 210 , the disk is fabricated on one side.
  • the disk in FIG. 9 , as the disk moves from chamber to chamber the disk is fabricated on alternating sides, however it should be appreciated that the order of surface fabrication may be changed.
  • isolation valves 202 206 that isolate each chamber during fabrication.
  • Each chamber includes a movable electrode (in this example a cathode) 242 , 244 , 246 , mounted onto a movable support 242 ′, 244 ′, 246 ′, and a precursor gas delivery apparatus 262 , 264 , 266 , such as a shower head.
  • a movable electrode in this example a cathode
  • 242 , 244 , 246 mounted onto a movable support 242 ′, 244 ′, 246 ′, and a precursor gas delivery apparatus 262 , 264 , 266 , such as a shower head.
  • FIG. 10 illustrates a cross section along lines B-B in FIG. 8 .
  • Disk 350 is shown mounted onto carrier 320 .
  • Carrier 320 has wheels 321 , which ride on tracks 324 .
  • the wheels 321 may be magnetic, in which case the tracks 324 may be made of paramagnetic material.
  • the carrier is moved by linear motor 326 , although other motive forces and/or arrangements may be used.
  • precursor gas is supplied into the chamber via, e.g., shower head 364 .
  • the shower head may be grounded.
  • Plasma is ignited and maintained by applying RF bias energy to the movable cathode 344 .
  • movable cathode provides the bias energy necessary to attract the plasma species and accelerate them towards the disk so as to sputter material from the disk. That is, when the movable cathode 344 is moved very close to one surface of the disk, it capacitively couples the RF bias energy to the disk, so that plasma species are accelerated towards the disk so as to etch the opposite surface. It should be appreciated that while FIG. 8 is explained with respect to a movable cathode 344 , the same effect can be achieved by using a moving anode, as will be explained with respect to FIG. 16 .
  • FIG. 11A is a partial isometric view shown the movable electrode in a position away from the disk
  • FIG. 11B is a partial isometric view showing the movable electrode in a position proximal to the disk.
  • FIG. 11A illustrates the situation when the disk is just inserted into the chamber or is about to leave the chamber, and no processing is performed.
  • FIG. 11B illustrates the situation of the chamber during processing, i.e., during etching of the disk.
  • Disk 450 is held by its periphery by clips 423 of carrier 420 (four clips are utilized in this example).
  • the movable electrode assembly 444 includes the electrode housing 441 , electrode cover 443 , and electrode 447 .
  • electrode cover 443 has notches 449 that match the clips 423 , so that in its proximal position, shown in FIG. 11B , the cover does not touch the clips. Also, while a bit obscured, the electrode itself is in a doughnut shape, matching the shape of the disk, i.e., having a center hole matching the center hole of the disk.
  • FIG. 12 illustrates an etch chamber according to an embodiment of the invention.
  • the entire assembly is mounted on a main chamber body 500 , having lower part 522 serving as transport chamber for carrier transport and upper part 532 dedicated for disk fabrication, i.e., etch.
  • the tracks and linear motor that normally reside in transport chamber 522 have been removed to provide a clearer view.
  • Precursor gas delivery is done from one side of the main chamber body 500 , while RF energy coupling is provided from the other side.
  • precursor gas is delivered into the chamber using a showerhead assembly 562 .
  • RF energy coupling is accomplished using a movable electrode assembly that comes very close to, but does not touch the disk.
  • the electrode assembly is moved using motion assembly 585 so as to be in a retracted mode during disk motion and in an extended mode during etching (see FIGS. 11A and 11B ).
  • the electrode assembly comprises an electrode 544 made of conductive material and shaped to complement the surface of the disk.
  • An electrode cover 543 is provided about the electrode, and extends beyond the electrode 544 so that when the electrode is in its proximal, energized position, the electrode cover 543 covers the edges of the disk. In this position the electrode cover 543 prevents plasma species from attacking the sides of the disk and prevents plasma from reaching the backside surface of the disk, i.e., prevents plasma from entering the space between the surface facing the electrode and the electrode.
  • the precursor gas may be, for example, argon. Since the magnetic metals generally utilized for magnetic disks may be physically etched, i.e., by sputtering, argon is a suitable precursor gas.
  • the chamber may be maintained at reduced pressure, e.g., 10-80 millitorr (mT), although certain processes may be performed at pressures of 1 mT to 10 torr.
  • the RF energy may be set to, e.g., 100-3000 watts, at frequency of, e.g., 13.56 MHz.
  • the construction is made compact by coupling the RF match 580 to the etch chamber. RF power from the match 580 is coupled to the conductive electrode 544 .
  • fluid pipes 547 provide fluid as a heat exchange medium to cool or heat the electrode 544 .
  • fluid pipes 569 may provide heat exchange fluid to the showerhead.
  • the electrode 544 In order to effectively couple the RF energy to the disk, the electrode 544 must be place very close to the disk. In the embodiments illustrated the distance between the disk and the electrode may be set to between 0.02′′ to 0.75′′. In these examples the placement may be done to an accuracy of ⁇ 0.005′′. In one example, the placement accuracy is enabled by using a proximity sensor, such as, e.g., one or more optical sensors. As shown in FIG. 12 , fiber optic 582 provides optical path from the electrode 544 to an optical sensor 584 . A plurality of fiber optics and corresponding sensors may be used and various optical techniques may be utilized to enhance placement accuracy and prevent collision with the disk.
  • a proximity sensor such as, e.g., one or more optical sensors.
  • fiber optic 582 provides optical path from the electrode 544 to an optical sensor 584 .
  • a plurality of fiber optics and corresponding sensors may be used and various optical techniques may be utilized to enhance placement accuracy and prevent collision with the disk.
  • both the electrode and the showerhead are made of hard anodized aluminum.
  • the conductive surface of the electrode is exposed and is not covered with an insulator.
  • the showerhead is grounded and is fixed, i.e., not movable. Insulating parts may be made of alumina (where exposure to plasma may occur) or Ultem. With the embodiments as described, etch rates higher than 10 nm per second may be achieved.
  • FIG. 13 illustrates an embodiment of a system having alternating etch chambers and cooling stations. As indicated by the three dotes on each side, the arrangement may repeat itself or be coupled to other chambers performing other processes or to cooling or transfer chambers.
  • chamber 600 is positioned to etch one surface of the disk 650 .
  • the isolation valve 602 is then opened and the disk is moved to cooling chamber 600 ′.
  • At the next round valve 602 ′ is opened and the disk is moved into etch chamber 605 .
  • Etch chamber 605 is positioned to etch the opposite side of the disk. Thereafter the disk is moved to another cooling station 605 ′.
  • FIG. 14 illustrate a flow of a process according to an embodiment of the invention.
  • the isolation valves are open and at step 705 the carrier is transported so as to place the substrate in the proper position for processing.
  • the isolation valves are closed and at step 715 the electrode moves to its proximal position, i.e., near but not touching the substrate.
  • gas is supplied to the chamber and at step 725 RF is provided to the electrode to ignite and maintain the plasma. Note that if another arrangement is used to ignite the plasma, e.g., inductive coils, remote microwave, etc., the RF to the electrode is still needed in order to provide the bias potential to accelerate plasma species towards the substrate.
  • the gas and RF are supplied as long as processing proceeds and, when process it terminated at step 730 , RF is terminated at 735 , gas delivery is terminated at 740 , and then the electrode is moved to its distal position, i.e., away from the substrate. The process may then be repeated to process the next disk and move the current disk to another chamber.
  • FIG. 15 illustrates an alternative embodiment of the system according to the invention.
  • the two etching chambers 800 and 805 are coupled without any cooling chamber in between them. Rather, a cooling chamber 800 ′ and 805 ′ is provided between each doublets of etch chambers, so that the substrate undergoes etching on both sides before it enters a cooling chamber.
  • FIG. 16 illustrates certain alternative features according to embodiments of the invention.
  • the chamber of FIG. 16 is similar to that of FIG. 10 , highlighting the following differences.
  • one or more gas injectors 972 are provided, rather than using a showerhead.
  • the chamber may employ both a showerhead and gas injectors.
  • the showerhead may provide one type of gas, e.g., inactive gas, while the injector provide another type of gas, e.g., reactive gas.
  • Another feature of the chamber of FIG. 16 is the use of a movable anode. That is, in the chamber of FIG. 16 , the RF power is coupled to a stationary electrode 964 , which may or may not be embedded in a showerhead.
  • a movable anode 944 is coupled to ground.
  • FIG. 17 is a flow chart illustrating a process according to an embodiment of the invention.
  • the process of FIG. 17 may be utilized with any of the chambers structured according to the subject invention.
  • a substrate is moved into the chamber.
  • the movable electrode is moved to a position proximal to, but not touching, the substrate.
  • gas is introduced into the chamber and in step 1015 power is coupled to either the movable or stationary electrodes, so that in step 1020 plasma is ignited.
  • the substrate is processed by, e.g., physical and/or reactive ion etching.
  • step 1025 When processing step is completed, either by timing or by detecting an end-point, the RF power is turned off in step 1025 , the electrode is retracted to its distal position in step 1030 , and the chamber is evacuated in step 1035 .
  • step 1040 the substrate is removed and the process repeats itself for another substrate. It should be noted that while removing one substrate and introducing another substrate is shown as two separate steps, these can be done concurrently, i.e., as one substrate moves out the second one may be moved in.
  • FIG. 18 illustrates a non-etch process for fabricating a patterned media disk according to an embodiment of the invention.
  • FIG. 19 illustrates a general architecture of a system tailored for executing the process of FIG. 18 .
  • ion implantation is used to define the patterns of the magnetic layer.
  • ion implementation is performed at step 840 .
  • the ion implantation process is performed one side at a time, with cooling in between.
  • the implementation may be of, e.g., He, N or Ar ions that would disturb the magnetic layer so as to define pattern therein.
  • the photo-resist is stripped (chamber 8 ).
  • a protective layer is formed at step 860 (chamber 11 and 12 ).
  • FIG. 20 illustrates an example for patterning-first process according to an embodiment of the invention.
  • the process of FIG. 20 starts by patterning a photoresist 2030 over the SUL layer 2010 which was formed on substrate 2005 .
  • This structure is then moved into a system configured according to embodiments of the invention, using any of the examples disclosed herein.
  • a hard mask 2032 is formed over the patterned photo-resist.
  • the photo-resist is removed so as to leave only pattern formed by the hard mask 2032 .
  • the SUL layer is etched using the hard mask for patterning. This step may be performed by sequentially etching each side of the disk, as described above.
  • the hard mask may then be removed (not shown) and then a seed layer 2072 and magnetic layer 2074 are formed over the etched pattern in step 2070 , which is then capped with carbon deposition/etch back and a protective layer 2082 in step 2080 .
  • FIG. 21 illustrates another example for patterning-first process according to an embodiment of the invention.
  • the process of FIG. 21 starts by patterning a photo-resist 2030 directly over substrate 2005 .
  • This structure is then moved into a system configured according to embodiments of the invention, using any of the examples disclosed herein.
  • a hard mask 2132 is formed over the patterned photo-resist.
  • the photo-resist is removed so as to leave only pattern formed by the hard mask 2132 .
  • the substrate 2105 is etched using the hard mask 2132 for patterning. This step may be performed by sequentially etching each side of the disk, as described above.
  • the hard mask may then be removed (not shown) and then a SUL layer 2176 , a seed layer 2172 and magnetic layer 2174 are formed over the etched pattern in step 2070 , which is then capped with a carbon deposition/etch back and a protective layer 2182 in step 2180 .

Abstract

A system is provided for etching patterned media disks for hard drive. The modular system may be tailored to perform specific processes sequences so that a patterned media disk is fabricated without removing the disk from vacuum environment. In some sequence the magnetic stack is etched while in other the etch is performed prior to forming the magnetic stack. In a further sequence ion implantation is used without etching steps. For etching a movable non-contact electrode is utilized to perform sputter etch. The cathode moves to near contact distance to, but not contacting, the substrate so as to couple RF energy to the disk. The substrate is held vertically in a carrier and both sides are etched serially. That is, one side is etched in one chamber and then in the next chamber the second side is etched.

Description

    RELATED APPLICATIONS
  • This is a Divisional Application of U.S. patent application Ser. No. 12/329,462 filed on Dec. 5, 2008, which claims priority from U.S. Provisional Application Ser. No. 61/052,131 filed on May 9, 2008, and from U.S. Provisional Application Ser. No. 60/992,972 filed on Dec. 6, 2007, the disclosures of which are incorporated herein in their entirety.
  • This application also relates to U.S. application Ser. No. 12/329,447, and U.S. application Ser. No. 12/329,457, both filed on Dec. 5, 2008.
  • BACKGROUND
  • 1. Filed of the Invention
  • This invention relates to the art of substrates, e.g., disk, micro-fabrication and, more particularly, to patterning of substrates, e.g., the magnetic layers of a hard disk for hard disk drives.
  • 2. Related Arts
  • Micro-fabrication of substrates is a well known art employed in, for example, fabrication of semiconductors, flat panel displays, light emitting diodes (LED's), hard disks for hard disk drives (HDD), etc. As is well known, fabrication of semiconductors, flat panel displays and LED's involves various steps for patterning the substrate. On the other hand, traditional fabrication of hard disks, generally referred to as longitudinal recording technology, does not involve patterning. Similarly, fabrication of disks for perpendicular recording technology does not involve patterning. Rather uniform layers are deposited and memory cells are generally defined by the alternating change of magnetic flux induced by the recording head, with each recording bit encompassing multiple grains within the un-patterned magnetic layers.
  • It has been demonstrated that non-patterned disks would fail to satisfy the needs of the market, in terms of area bit density and costs, in order to remain competitive with other forms of storage. Consequently, it has been proposed that next generation disks should be patterned. It is envisioned that the patterning process may utilize photolithography, although currently there is no certainty which lithography technology may be commercialized, and no commercial system is yet available for commercial manufacturing of patterned media. Among contenders for photolithography are interference photolithography, near field lithography and nano-imprint lithography (NIL). Regardless of the lithography technology utilized, once the photoresist is exposed and developed, the disk needs to be etched and fabricated according to the desired pattern. However, to date much of the development efforts have been focused on the patterning step and no technology has been proposed for fabricating a patterned disk in a commercially viable environment.
  • To be sure, etch, sputtering, and other fabrication technologies are well known and well developed for semiconductor, flat panel display, LED's, etc. However, no system has been proposed for integrating these technologies to enable fabrication of disks for HDD. Moreover, unlike HDD disks, in all of these applications only one side of the substrate needs to be etched—allowing a chuck to hold the substrate from the backside during fabrication. On the other hand, HDD disks need to be fabricated on both sides, preventing the use of a chuck. Indeed, in HDD disk fabrication no part of the fabrication system may contact any surface of the disk. Also, while HDD manufacturers expect the system to have a throughput on the order of 1000 disks per hour, fabricators of semiconductors employ systems having throughputs of only tens of substrates per hour.
  • In view of the above, a method and system are required to enable fabrication of hard disks to provide patterned media for HDD.
  • SUMMARY
  • The following summary is included in order to provide a basic understanding of some aspects and features of the invention. This summary is not an extensive overview of the invention and as such it is not intended to particularly identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts of the invention in a simplified form as a prelude to the more detailed description that is presented below.
  • Methods and systems are provided for integrated fabrication of disks to be used in HDD in a commercially viable manner. Various processing steps are outlined and their sequence is designed to result in a functional patterned media disk. The system may be constructed by modifying a commercial processing system, such as the 200 Lean® available from Intevac, of Santa Clara, Calif.
  • As noted above, the fabrication of patterned media requires, among others, incorporating etching technology to the disk fabrication. In considering the application of plasma etching technology to hard disks, the subject inventors have recognized that standard plasma etching technology is problematic for etching patterned hard disks. Unlike semiconductors and other applications, the disks need to be etched on both sides. Therefore, conventional systems having plasma etch on only one side are not workable for hard disks. Also, since both sides of the disks are fabricated, no element of the fabrication machine can be allowed to touch either surface of the disk. Therefore, prior art systems utilizing conventional chucks cannot be used for processing hard disks, as they touch the backside. This raises another problem in that, if no chuck can be used to hold the disk, how can a bias potential be applied to cause species of the plasma to impinge on the surface of the disk?
  • The subject inventors have provided solutions to the above problems and developed a patterned media fabrication system that is commercially viable. The fabrication system includes an etching system and method that enable etching of both sides of the disks, without touching any surface of the disk. Embodiments of the invention also enable applying bias potential to cause the plasma species to impinge the surface of the disk without attaching the disk to a chuck.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, exemplify the embodiments of the present invention and, together with the description, serve to explain and illustrate principles of the invention. The drawings are intended to illustrate major features of the exemplary embodiments in a diagrammatic manner. The drawings are not intended to depict every feature of actual embodiments nor relative dimensions of the depicted elements, and are not drawn to scale.
  • FIG. 1 illustrates a flow chart of a complete process for fabricating HDD patterned media disks according to one generic embodiment of the invention.
  • FIG. 2 illustrates a cross section of a patterned media undergoing a general process flow according to one generic embodiment of the invention.
  • FIG. 3 illustrates an example of a patterning system according to an embodiment of the invention.
  • FIG. 4 illustrates another process for fabricating a patterned media disk according to an embodiment of the invention.
  • FIG. 5 illustrates a general architecture of a system tailored for executing the process of FIG. 4.
  • FIG. 6 illustrates another process for fabricating a patterned media disk, according to an embodiment of the invention.
  • FIG. 7 illustrates a general architecture of a system tailored for executing the process of FIG. 6.
  • FIG. 8 illustrates part of a system for fabricating a patterned hard disk according to an embodiment of the invention.
  • FIG. 9 illustrates a cross section along lines A-A in FIG. 8.
  • FIG. 10 illustrates a cross section along lines B-B in FIG. 8.
  • FIG. 11A is a partial isometric view shown the movable cathode in a position away from the disk, while
  • FIG. 11B is a partial isometric view showing the movable cathode in a position proximate the disk.
  • FIG. 12 illustrates a disk etch chamber according to an embodiment of the invention.
  • FIG. 13 illustrates an embodiment of a system having alternating etch chambers and cooling stations.
  • FIG. 14 illustrate a flow of a process according to an embodiment of the invention.
  • FIG. 15 illustrates an alternative embodiment of the system according to the invention.
  • FIG. 16 illustrates certain alternative features according to embodiments of the invention.
  • FIG. 17 is a flow chart illustrating an etch process according to an embodiment of the invention.
  • FIG. 18 illustrates another process for fabricating a patterned media disk according to an embodiment of the invention.
  • FIG. 19 illustrates a general architecture of a system tailored for executing the process of FIG. 18.
  • FIG. 20 illustrates an example for patterning-first process according to an embodiment of the invention.
  • FIG. 21 illustrates another example for patterning-first process according to an embodiment of the invention.
  • DETAILED DESCRIPTION General Process
  • According to embodiments of the invention, system and methods are provided for fabricating patterned media disks. FIG. 1 illustrates a flow chart of a complete process for fabricating HDD patterned media disks, generally divided into four modules (indicated by light broken-line boxes). In FIG. 1 solid-line box indicates utilization of conventional continuous media fabrication equipment, broken-line box indicates utilization of lithography equipment, such as, e.g., nano-imprint lithography, and double-line box indicates utilization of novel patterned media fabrication equipment. In module 10 fabrication starts by cleaning the disks in a cleaning apparatus 12. The disks are then moved to a conventional processing system 14, such as the 200 Lean® for fabricating non-patterned magnetic layers. Thereafter, the disks are moved to a lithography module 16 to imprint the patterning. The lithography module may be any of the technologies currently under consideration, including, but not limited to, nano-imprint lithography. Generally, in the lithography module the disk is coated with a photoresist, the photoresist is “exposed” to the required pattern (either by radiation or physical contact with a master, i.e., imprinted), then the exposed resist is developed, or cured under UV irradiation. Once the lithography processing is completed, the disk is transferred to the patterning system 18.
  • In the patterning system 18 various processing are performed, which may include de-scum, resist trim, hard mask deposition and etch, resist strip, metal etching, planarization (which may include carbon or metal or oxide refill and etch-back). These processes are performed in a plurality of chambers, each having an independent vacuum environment; however, once the disk enters system 18 it never leaves the vacuum environment until processing is completed. The details about these processes and the various system elements used to perform them will be described below. Once processing in the patterning system 18 is completed, the disks are moved to modules 20 and 22, which are not relevant to the subject disclosure.
  • FIG. 2 illustrates cross section of a patterned media undergoing a general process flow according to an embodiment of the invention. The disk arrives at the patterning system having the structure illustrated as 200. The structure includes the substrate 205 upon which a soft underlayer (SUL) 210 is deposited. The SUL layer is a “soft” or relatively low-coercivity magnetically permeable underlayer that serves as a flux return path for the field from the write pole to the return pole of the recording head. A seed layer 215 is formed over the SUL, 210 and the magnetic layer 220 is formed over the seed layer. To protect the magnetic layer on disk from mechanical wear by the flying head and environmental chemical corrosion, a thin protective coat of diamond type carbon (carbon overcoat, COC) layer 225 is applied over the magnetic layer 220. Then a patterning mask 230 is formed using, e.g., photoresist or other masking material in a nano-imprinting step. The structure shown as 200 then undergoes processing in the patterning system, as generally shown by structures 240, 250, 260 and 270.
  • In 240 the COO layer has been etched so as to be used as a hard mask. That is, once the COO layer has been etched, the photoresist may be removed and the COO layer would maintain the desired pattern. Then at 250 the magnetic layer is etched using the COO layer as the hard mask. Each of these two etch steps may be performed as sequential steps, i.e., etching one side of the disk at a time. This would be explained more completely below. In 260 a carbon refill layer is deposited to fill the patterned magnetic layer, and then the carbon refill layer is etched back to form a relatively flat top surface. At 170 a thin protective coat of diamond-like carbon layer (generally referred to as NCT carbon) is formed.
  • General System Architecture
  • FIG. 3 illustrates an example of a patterning system according to an embodiment of the invention. The general structure of the system may mimic that of the 200Lean® available from Intevac, of Santa Clara, Calif. In this example the system has two elevators, 302 and 304, and sixteen processing chambers, labeled 1-16. In the system, each chamber has a lower part that functions as transport chamber for transporting the carrier with the disk, generally 306, and an upper processing chamber for performing the process on the disk. While some chambers process both sides of the disk simultaneously, others process only one side, and so are provided in pairs to complete processing on both sides of the disk.
  • In the example of FIG. 3, chamber 1 is a de-scum chamber, which may also be used for trimming the photoresist. Note that when the process involved hard mask patterning, this step may be skipped, provided that the photo-resist is of a desired shape and gross dimension, as the hard mask patterning would remove any excess photo-resist. This chamber processes both sides of the disk simultaneously. Chambers 2 and 3 are utilized for carbon hard mask etch, i.e., for etching the COC layer. In the example of FIG. 3 the etch process may be done by oxidation assisted soft etch using, e.g., biased RF source or remote plasma using, e.g., oxygen gas. In this example a biased RF plasma is used, so that each of chambers 2 and 3 etches one side of the disk. This can be accomplished with the close-proximity-bias backing plate mechanism used in the stations 4, 6, 8 and 9. If a non-biased plasma is used, e.g., remote plasma source, the process may be performed in a single chamber, etching both sides simultaneously. In general, for this step selectivity of the etch is the natural selectivity ratio that exist between photoresist and carbon, which can be between 1:1 to up to 1:10, depending on the carbon type and the resist type. Total etch thickness may be about 10-1000 A, depending on the magnetic layer thickness and the etch selectivity. For the examples shown herein, the end point of the COC etch may be critical so as to avoid oxygen poisoning of the magnetic layer. Therefore, in one embodiment, towards the end of the hard mask-oxidation assisted etch-process, oxygen flow is stopped, so that the process continues with oxygen free plasma. In another embodiment, the oxidative reactant used for the carbon hard mask etch, maybe that of a reduced (mitigated) oxidation-power reagent, that effectively stops at the metal surface and allows for differentiation of the two process step.
  • Since in most applications the thickness of the photoresist would exceed that of the COC layer, it is likely that some photoresist would remain after completing the COC etch. Therefore, a step of reductive strip of resist may also be performed in chambers 2 and 3, or in subsequent chambers (not shown). This may be also performed using soft plasma using H2/O2 source gas. Since this process may also use oxygen, it is critical to avoid oxygen poisoning of the magnetic layer. This may be done by timely stopping flow of oxygen or by forming a passivation layer (e.g., Pt, Ta, Cr) over the magnetic layer before performing the strip resist step.
  • Chambers 4-9 are used to alternatingly etch the magnetic layer on one side of the disk and cool the disk after an etch process. In this example, no cooling chamber is provided between chambers 8 and 9, as in this example cooling between these two etch processes is done in elevator 304. Of course, if necessary, another cooling chamber may be added between these two chambers. In this example the magnetic layer is etched using ion beam etch (IBE), which requires biasing the disk. Therefore, each chamber is structured to etch only one side of the disk. If a reactive ion etch (RIE) is used, each chamber may be configured to etch both sides simultaneously. The magnetic layer etch is performed using an innovative etch chamber that will be described in details in the section under the heading Etch Chamber.
  • The magnetic layer etch process should be designed so as to avoid puncturing the carbon hard mask, so here selectivity is more important. Total etch depth of this step is about 100-1000 A. It is desired to leave some thickness of the COC layer on top of the un-etched islands, which also helps preventing damage to the magnetic layer.
  • Chamber 10 is used for forming a carbon refill layer to fill the etched regions. This may be done by sputtering carbon, e.g., NCT or sputtered carbon, filling with SiO2, or other materials. The thickness of the refill should be sufficient to allow follow-on planarization. In the example of FIG. 3 the refill is performed in two stages (chambers 10 and 12), with two follow-on planarization steps (chamber 11 and 13). Of course, depending on the refill material and technology used for the refill and planarization, other arrangements and different number of chambers may be utilized. Planarization may be done using etch back, e.g., using soft etch. The refill—etch back processing is followed with a cooling chamber 14. Chambers 15 and 16 are used to form a hard protective layer over the planarized refill. An additional benefit of the carbon refill is to effectively passivate the side-wall of the etched magnetic features. This is critical for the magnetic integrity of the critical feature of a patterned media. The side-wall coverage and passivation of the patterned medial side-walls can be accomplished by the NCT stations that are field-deployed in the HDD industry with zero-bias, effecting a chemical vapor deposition environment for isotropic carbon deposition and side-wall coverage and passivation as needed for the patterned media.
  • Alternative Processes and System Architectures
  • FIG. 4 illustrates another process for fabricating a patterned media disk, starting from a photo-resist-patterned disk 400 that is the same as 200 in FIG. 2. FIG. 5 illustrates a general architecture of a system tailored for executing the process of FIG. 4. With respect to step 440, after a de-scum/trim step in chamber 1, the disk is moved to chamber 2 for etching the thin COC and thereby create a hard mask with some photo-resist possibly still remaining on top of the COC layer. In step 450 the magnetic layer is etched. In this example, the magnetic layer etch step is performed sequentially with interlacing cooling steps. This is shown in FIG. 5, wherein the disk undergoes RIE (Reactive Ion Etch) etch on one side in chamber 3, is cooled in chamber 4, undergoes further etch on the same side with a following cooling step. Then the process repeats for the opposite side. In this example some photo-resist still remains after the completion of the magnetic layer etch step on both sides of the disk. Thereafter, in step 460 a carbon refill step is performed, followed by etch back. This step may be repeated in chambers 12 and 3. Then the carbon refill is etched back so as to expose and strip the remaining photo-resist (step 470). Finally, a carbon protective layer is formed over the disk in chambers 15 and 16.
  • FIG. 6 illustrates another process for fabricating a patterned media disk, starting from a photo-resist-patterned disk 600 that is the same as 200 in FIG. 2. FIG. 7 illustrates a general architecture of a system tailored for executing the process of FIG. 6. After a de-scum/trim step in chamber 1, a hard mask layer, e.g., a SnO2 or carbon hard mask, is deposited over the photo-resist in step 640. This step may be performed using sputtering process in chamber 2. Then the photo-resist is striped in chamber 3, so that only the SnO2 hard mask remains—step 650. The hard mask is then used to etch the magnetic layer using alternating etch and cooling chambers 4-9 (step 660). When the magnetic layer etch steps have been competed, the SnO2 hard mask may optionally be removed in chamber 10 using, e.g., hydrogen gas. Alternatively, chamber 10 may be a cooling chamber and instead of removing the hard mask, alternating steps of carbon refill and etch back are performed over the hard mask, with the last etch back used to planarize the surface of the disk and remove the SnO2 hard mask. Then a protective coating is formed over both sides of the disk in chambers 15 and 16.
  • Etch Chamber
  • In the examples of fabricating patterned media disks discussed so far an etch step is required to etch the magnetic layer. In the following, a novel movable non-contact electrode is described for performing sputter etch which is particularly beneficial for sputtering of hard disks used in hard disk drives (HDD). The electrode moves to near contact distance to, but not contacting, the substrate so as to couple RF energy to the disk. The material to be etched may be metal, e.g., Co/Pt/Cr or similar metals. No surface contact is allowed by any part of the system. The substrate is held vertically in a carrier and both sides must be etched. In one embodiment, one side is etched in one chamber and then the second side is etched in the next chamber. An isolation valve is disposed between the two chambers and the disk carrier moves the disks between the chambers. The carrier may be a linear drive carrier, using, e.g., magnetized wheels and linear motors.
  • In one embodiment the chamber has a showerhead on one side and a movable electrode on the other side. The showerhead may be grounded or biased, and has provisions for delivering gas into the chamber, e.g., argon, and/or reactive gases, such as CxFy, Cl2, Br2, etc. The chamber also has guides or rails for the linear drive disk carrier. When the disk carrier assumes processing position, the electrode is moved close to the disk, but not touching it. An RF power, e.g., 13.56 MHz is coupled to the electrode, which is capacitively coupled to the disk. A plasma is then ignited in the void between the disk and the showerhead, to thereby sputter material from the face of the disk. In the next chamber, the exact arrangement is provided, except in the opposite facing order, so that the opposing face of the disk is etched. A cooling chamber may be interposed between the two chambers, or after the two chambers.
  • An embodiment of the inventive etch chamber will now be described with reference to the drawings. FIG. 8 illustrates part of a system for fabricating a patterned hard disk according to an embodiment of the invention, e.g., part of the system illustrated in any of FIG. 3, 5, or 7. In FIG. 8, three processing chambers, 100, 105 and 110, are shown, but the three dots on each side indicates that any number of chambers may be used. Also, while here three specific chambers are shown, it is not necessary that the chamber arrangement shown here would be employed. Rather, other chamber arrangements may be used and other type of chambers may be interposed between the chambers as shown.
  • For illustration purposes, in the example of FIG. 8 the three chambers 100, 105 and 110 are etch chambers, each evacuated by its own vacuum pump 102, 104, 106. Each of the processing chambers has a transfer section, 122, 124 and 126, and a processing section 132, 134 and 136. Disk 150 is mounted onto a disk carrier 120. In this embodiment the disk is held by its periphery, i.e., without touching any of its surfaces, as both surfaces are fabricated so as to pattern both sides. The disk carrier 120 has a set of wheels 121 that ride on tracks (not shown in FIG. 8). In one embodiment, the wheels are magnetized so as to provide better traction and stability. The disk carrier 120 rides on rails provided in the transfer sections so as to position the disk in the processing section. In one embodiment, motive force is provided externally to the disk carrier 120 using linear motor arrangement (not shown in FIG. 8).
  • FIG. 9 illustrates a cross section along lines A-A in FIG. 8. For simplicity, in FIG. 9 disk 250 is illustrated without its carrier, but it should be appreciated that the disk remains on the disk carrier throughout the processing performed in the system of FIG. 8, and is transported from chamber to chamber by the disk carrier, as illustrated by the arrow in FIG. 9. In this illustrative embodiment, in each chamber, 200, 205 and 210, the disk is fabricated on one side. As shown in FIG. 9, as the disk moves from chamber to chamber the disk is fabricated on alternating sides, however it should be appreciated that the order of surface fabrication may be changed. Also shown in FIG. 9 are isolation valves 202 206 that isolate each chamber during fabrication. Each chamber includes a movable electrode (in this example a cathode) 242, 244, 246, mounted onto a movable support 242′, 244′, 246′, and a precursor gas delivery apparatus 262, 264, 266, such as a shower head.
  • FIG. 10 illustrates a cross section along lines B-B in FIG. 8. Disk 350 is shown mounted onto carrier 320. Carrier 320 has wheels 321, which ride on tracks 324. The wheels 321 may be magnetic, in which case the tracks 324 may be made of paramagnetic material. In this embodiment the carrier is moved by linear motor 326, although other motive forces and/or arrangements may be used. Once the chamber is evacuated, precursor gas is supplied into the chamber via, e.g., shower head 364. The shower head may be grounded. Plasma is ignited and maintained by applying RF bias energy to the movable cathode 344. While other means for igniting and maintaining the plasma may be utilized, movable cathode provides the bias energy necessary to attract the plasma species and accelerate them towards the disk so as to sputter material from the disk. That is, when the movable cathode 344 is moved very close to one surface of the disk, it capacitively couples the RF bias energy to the disk, so that plasma species are accelerated towards the disk so as to etch the opposite surface. It should be appreciated that while FIG. 8 is explained with respect to a movable cathode 344, the same effect can be achieved by using a moving anode, as will be explained with respect to FIG. 16.
  • FIG. 11A is a partial isometric view shown the movable electrode in a position away from the disk, while FIG. 11B is a partial isometric view showing the movable electrode in a position proximal to the disk. FIG. 11A illustrates the situation when the disk is just inserted into the chamber or is about to leave the chamber, and no processing is performed. FIG. 11B illustrates the situation of the chamber during processing, i.e., during etching of the disk. Disk 450 is held by its periphery by clips 423 of carrier 420 (four clips are utilized in this example). The movable electrode assembly 444 includes the electrode housing 441, electrode cover 443, and electrode 447. In this example, electrode cover 443 has notches 449 that match the clips 423, so that in its proximal position, shown in FIG. 11B, the cover does not touch the clips. Also, while a bit obscured, the electrode itself is in a doughnut shape, matching the shape of the disk, i.e., having a center hole matching the center hole of the disk.
  • FIG. 12 illustrates an etch chamber according to an embodiment of the invention. In FIG. 12 some elements were cut and some removed in order to expose elements that are relevant to understanding the embodiment. The entire assembly is mounted on a main chamber body 500, having lower part 522 serving as transport chamber for carrier transport and upper part 532 dedicated for disk fabrication, i.e., etch. In this figure, the tracks and linear motor that normally reside in transport chamber 522 have been removed to provide a clearer view. Precursor gas delivery is done from one side of the main chamber body 500, while RF energy coupling is provided from the other side. In this embodiment precursor gas is delivered into the chamber using a showerhead assembly 562. RF energy coupling is accomplished using a movable electrode assembly that comes very close to, but does not touch the disk. The electrode assembly is moved using motion assembly 585 so as to be in a retracted mode during disk motion and in an extended mode during etching (see FIGS. 11A and 11B).
  • RF energy coupling is done capacitively from a conductive electrode to the disk and thence to the plasma. The electrode assembly comprises an electrode 544 made of conductive material and shaped to complement the surface of the disk. An electrode cover 543 is provided about the electrode, and extends beyond the electrode 544 so that when the electrode is in its proximal, energized position, the electrode cover 543 covers the edges of the disk. In this position the electrode cover 543 prevents plasma species from attacking the sides of the disk and prevents plasma from reaching the backside surface of the disk, i.e., prevents plasma from entering the space between the surface facing the electrode and the electrode.
  • For non-reactive etch, the precursor gas may be, for example, argon. Since the magnetic metals generally utilized for magnetic disks may be physically etched, i.e., by sputtering, argon is a suitable precursor gas. During processing the chamber may be maintained at reduced pressure, e.g., 10-80 millitorr (mT), although certain processes may be performed at pressures of 1 mT to 10 torr. The RF energy may be set to, e.g., 100-3000 watts, at frequency of, e.g., 13.56 MHz. In the example of FIG. 5 the construction is made compact by coupling the RF match 580 to the etch chamber. RF power from the match 580 is coupled to the conductive electrode 544. In one embodiment, fluid pipes 547 provide fluid as a heat exchange medium to cool or heat the electrode 544. Similarly, fluid pipes 569 may provide heat exchange fluid to the showerhead.
  • In order to effectively couple the RF energy to the disk, the electrode 544 must be place very close to the disk. In the embodiments illustrated the distance between the disk and the electrode may be set to between 0.02″ to 0.75″. In these examples the placement may be done to an accuracy of ±0.005″. In one example, the placement accuracy is enabled by using a proximity sensor, such as, e.g., one or more optical sensors. As shown in FIG. 12, fiber optic 582 provides optical path from the electrode 544 to an optical sensor 584. A plurality of fiber optics and corresponding sensors may be used and various optical techniques may be utilized to enhance placement accuracy and prevent collision with the disk.
  • In one example, both the electrode and the showerhead are made of hard anodized aluminum. Notably, unlike conventional etch chambers, here the conductive surface of the electrode is exposed and is not covered with an insulator. As in other examples, the showerhead is grounded and is fixed, i.e., not movable. Insulating parts may be made of alumina (where exposure to plasma may occur) or Ultem. With the embodiments as described, etch rates higher than 10 nm per second may be achieved.
  • FIG. 13 illustrates an embodiment of a system having alternating etch chambers and cooling stations. As indicated by the three dotes on each side, the arrangement may repeat itself or be coupled to other chambers performing other processes or to cooling or transfer chambers. Notably, chamber 600 is positioned to etch one surface of the disk 650. The isolation valve 602 is then opened and the disk is moved to cooling chamber 600′. At the next round valve 602′ is opened and the disk is moved into etch chamber 605. Etch chamber 605 is positioned to etch the opposite side of the disk. Thereafter the disk is moved to another cooling station 605′.
  • FIG. 14 illustrate a flow of a process according to an embodiment of the invention. At step 700 the isolation valves are open and at step 705 the carrier is transported so as to place the substrate in the proper position for processing. At step 710 the isolation valves are closed and at step 715 the electrode moves to its proximal position, i.e., near but not touching the substrate. At step 720 gas is supplied to the chamber and at step 725 RF is provided to the electrode to ignite and maintain the plasma. Note that if another arrangement is used to ignite the plasma, e.g., inductive coils, remote microwave, etc., the RF to the electrode is still needed in order to provide the bias potential to accelerate plasma species towards the substrate. The gas and RF are supplied as long as processing proceeds and, when process it terminated at step 730, RF is terminated at 735, gas delivery is terminated at 740, and then the electrode is moved to its distal position, i.e., away from the substrate. The process may then be repeated to process the next disk and move the current disk to another chamber.
  • FIG. 15 illustrates an alternative embodiment of the system according to the invention. In FIG. 15, the two etching chambers 800 and 805 are coupled without any cooling chamber in between them. Rather, a cooling chamber 800′ and 805′ is provided between each doublets of etch chambers, so that the substrate undergoes etching on both sides before it enters a cooling chamber.
  • FIG. 16 illustrates certain alternative features according to embodiments of the invention. For illustration purposes, the chamber of FIG. 16 is similar to that of FIG. 10, highlighting the following differences. For example, in the chamber of FIG. 16 one or more gas injectors 972 are provided, rather than using a showerhead. Conversely, the chamber may employ both a showerhead and gas injectors. For example, the showerhead may provide one type of gas, e.g., inactive gas, while the injector provide another type of gas, e.g., reactive gas. Another feature of the chamber of FIG. 16 is the use of a movable anode. That is, in the chamber of FIG. 16, the RF power is coupled to a stationary electrode 964, which may or may not be embedded in a showerhead. A movable anode 944 is coupled to ground.
  • FIG. 17 is a flow chart illustrating a process according to an embodiment of the invention. The process of FIG. 17 may be utilized with any of the chambers structured according to the subject invention. In step 1000, a substrate is moved into the chamber. In step 1005 the movable electrode is moved to a position proximal to, but not touching, the substrate. In step 1010 gas is introduced into the chamber and in step 1015 power is coupled to either the movable or stationary electrodes, so that in step 1020 plasma is ignited. In this condition the substrate is processed by, e.g., physical and/or reactive ion etching. When processing step is completed, either by timing or by detecting an end-point, the RF power is turned off in step 1025, the electrode is retracted to its distal position in step 1030, and the chamber is evacuated in step 1035. In step 1040 the substrate is removed and the process repeats itself for another substrate. It should be noted that while removing one substrate and introducing another substrate is shown as two separate steps, these can be done concurrently, i.e., as one substrate moves out the second one may be moved in.
  • Alternative non-Etch Processes and System Architectures
  • FIG. 18 illustrates a non-etch process for fabricating a patterned media disk according to an embodiment of the invention. FIG. 19 illustrates a general architecture of a system tailored for executing the process of FIG. 18. In this example ion implantation is used to define the patterns of the magnetic layer. Following de-scum / strip process in chamber 1, ion implementation is performed at step 840. As shown in FIG. 19, in this example the ion implantation process is performed one side at a time, with cooling in between. The implementation may be of, e.g., He, N or Ar ions that would disturb the magnetic layer so as to define pattern therein. When implantation is completed, at step 850 the photo-resist is stripped (chamber 8). Then, a protective layer is formed at step 860 (chamber 11 and 12).
  • Alternative Patterning-First Processes and System Architectures
  • FIG. 20 illustrates an example for patterning-first process according to an embodiment of the invention. The process of FIG. 20 starts by patterning a photoresist 2030 over the SUL layer 2010 which was formed on substrate 2005. This structure is then moved into a system configured according to embodiments of the invention, using any of the examples disclosed herein. At step 2040 a hard mask 2032 is formed over the patterned photo-resist. In step 2050 the photo-resist is removed so as to leave only pattern formed by the hard mask 2032. In step 2060 the SUL layer is etched using the hard mask for patterning. This step may be performed by sequentially etching each side of the disk, as described above. The hard mask may then be removed (not shown) and then a seed layer 2072 and magnetic layer 2074 are formed over the etched pattern in step 2070, which is then capped with carbon deposition/etch back and a protective layer 2082 in step 2080.
  • FIG. 21 illustrates another example for patterning-first process according to an embodiment of the invention. The process of FIG. 21 starts by patterning a photo-resist 2030 directly over substrate 2005. This structure is then moved into a system configured according to embodiments of the invention, using any of the examples disclosed herein. At step 2140 a hard mask 2132 is formed over the patterned photo-resist. In step 2150 the photo-resist is removed so as to leave only pattern formed by the hard mask 2132. In step 2160 the substrate 2105 is etched using the hard mask 2132 for patterning. This step may be performed by sequentially etching each side of the disk, as described above. The hard mask may then be removed (not shown) and then a SUL layer 2176, a seed layer 2172 and magnetic layer 2174 are formed over the etched pattern in step 2070, which is then capped with a carbon deposition/etch back and a protective layer 2182 in step 2180.
  • It should be appreciated that the processes and systems described herein enable commercial fabrication of patterned media disks for hard drives. Fast production and high yield are enabled by the system wherein after the formation of the photo-resist pattern the disk in moved into vacuum environment in the system and the entire patterning fabrication is performed without removing the disk from the vacuum environment.
  • It should be understood that processes and techniques described herein are not inherently related to any particular apparatus and may be implemented by any suitable combination of components. Further, various types of general purpose devices may be used in accordance with the teachings described herein. It may also prove advantageous to construct specialized apparatus to perform the method steps described herein. The present invention has been described in relation to particular examples, which are intended in all respects to be illustrative rather than restrictive. Those skilled in the art will appreciate that many different combinations of hardware, software, and firmware will be suitable for practicing the present invention. Moreover, other implementations of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. Various aspects and/or components of the described embodiments may be used singly or in any combination in the server arts. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims.

Claims (23)

1. A patterning system for fabricating patterned media disks in a vacuum environment, comprising:
at least one pair of etch chambers, wherein the pair of etch chambers is configured such that one etch chamber of the pair etches one side of the disk and the other etch chamber of the pair etches the other side of the disk to thereby etch both sides of the disk;
at least one carbon overcoat deposition chamber;
at least one planarization chamber;
at least one refill deposition chamber; and,
wherein the patterning system is configured such that once the disk enters system it never leaves the vacuum environment until it has been processed in the at least one pair of etch chambers, the at least one carbon overcoat deposition chamber, the at least one planarization chamber, and the at least one refill deposition chamber.
2. The system of claim 1, wherein the disk is held vertically in a carrier, and the disk carrier moves the disk between the chambers.
3. The system of claim 2, wherein each of the etch chambers comprises a movable non-contact electrode, which is configured to move to near contact distance to, but not contacting, the disk so as to capacitively couple RF energy to the disk.
4. The system of claim 3, wherein each of the etch chambers has a showerhead on one side and the movable non-contact electrode on the opposite side.
5. The system of claim 4, wherein in each of the etch chambers, an RF power is coupled to the movable non-contact electrode, which capacitively couples the RF power to the disk through one surface of the disk, such that plasma is ignited in a void between opposite surface of the disk and the showerhead, to thereby sputter material from the opposite surface of the disk.
6. The system of claim 1, wherein a cooling chamber is interposed between each etch chamber of the pair of etch chambers.
7. The system of claim 2, wherein the disk is held in the carrier by its periphery without touching any of the disk's surfaces.
8. The system of claim 1, further comprising a de-scum chamber.
9. The system of claim 1, wherein each of the etch chambers comprises a movable cathode, wherein when the movable cathode is configured such that when it moves very close to one surface of the disk, it capacitively couples RF bias energy to the disk, so that plasma species are accelerated towards the disk so as to etch the opposite surface of the disk.
10. The system of claim 9, wherein the electrode has a center hole matching center hole of the disk.
11. The system of claim 10, wherein the electrode is configured to be moved by a motion assembly so as to be in a retracted mode during disk motion and in an extended mode during etching.
12. The system of claim 11, wherein each etch chamber further comprises an electrode cover provided about the electrode and extends beyond the electrode so that when the electrode is moved very close to one surface of the disk, the electrode cover covers the edges of the disk.
13. The system of claim 9, wherein each etch chamber comprises a proximity sensor to enhance placement accuracy of the electrode and prevent collision with the disk.
14. The system of claim 10, wherein each etch chamber comprises fluid pipes to provide fluid as a heat exchange medium to the electrode.
15. The system of claim 14, wherein each etch chamber comprises gas injectors.
16. The system of claim 1, further comprising ion implantation chamber.
17. The system of claim 16, wherein the ion implantation chamber is configured to process one side of the disk at a time.
18. A system for fabricating patterned media disks, comprising:
a plurality of processing chambers, each having an independent vacuum environment;
a transport system for transporting a disk carrier from one chamber to the next without leaving vacuum atmosphere;
wherein the plurality of processing chambers comprises:
at least one etching chamber;
at least one refill sputtering chamber; and,
at least one etch back chamber.
19. The system of claim 18, further comprising a cooling chamber positioned following the etch chamber.
20. The system of claim 18, wherein the etch chamber comprises:
a main chamber body having a first side and a second side opposite the first side;
a precursor gas delivery assembly coupled to the first side;
a movable cathode assembly coupled to the second side.
21. The system of claim 18, wherein the plurality of processing chamber comprise at least a first and a second etch chambers, wherein the first etch chamber comprises:
a first main chamber body having a first side and a second side opposite the first side;
a first precursor gas delivery assembly coupled to the first side;
a first movable cathode assembly coupled to the second side; and, the second etch chamber follows the first etch chamber and comprises:
a second main chamber body having a third side and a fourth side, the third side being opposite the second side and the fourth side being opposite the first side;
a second precursor gas delivery assembly coupled to the fourth side;
a second movable cathode assembly coupled on the third side.
22. The system of claim 18, further comprising a hard mask sputtering chamber.
23. The system of claim 18, further comprising a hard mask etching chamber.
US13/712,916 2007-12-06 2012-12-12 System and method for commercial fabrication of patterned media Abandoned US20130098761A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/712,916 US20130098761A1 (en) 2007-12-06 2012-12-12 System and method for commercial fabrication of patterned media

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US99297207P 2007-12-06 2007-12-06
US5213108P 2008-05-09 2008-05-09
US12/329,462 US8349196B2 (en) 2007-12-06 2008-12-05 System and method for commercial fabrication of patterned media
US13/712,916 US20130098761A1 (en) 2007-12-06 2012-12-12 System and method for commercial fabrication of patterned media

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/329,462 Division US8349196B2 (en) 2007-12-06 2008-12-05 System and method for commercial fabrication of patterned media

Publications (1)

Publication Number Publication Date
US20130098761A1 true US20130098761A1 (en) 2013-04-25

Family

ID=40718210

Family Applications (5)

Application Number Title Priority Date Filing Date
US12/329,447 Expired - Fee Related US8784622B2 (en) 2007-12-06 2008-12-05 System and method for dual-sided sputter etch of substrates
US12/329,457 Active 2029-07-23 US9165587B2 (en) 2007-12-06 2008-12-05 System and method for dual-sided sputter etch of substrates
US12/329,462 Active 2031-05-03 US8349196B2 (en) 2007-12-06 2008-12-05 System and method for commercial fabrication of patterned media
US13/338,182 Abandoned US20120090992A1 (en) 2007-12-06 2011-12-27 System and method for commercial fabrication of patterned media
US13/712,916 Abandoned US20130098761A1 (en) 2007-12-06 2012-12-12 System and method for commercial fabrication of patterned media

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US12/329,447 Expired - Fee Related US8784622B2 (en) 2007-12-06 2008-12-05 System and method for dual-sided sputter etch of substrates
US12/329,457 Active 2029-07-23 US9165587B2 (en) 2007-12-06 2008-12-05 System and method for dual-sided sputter etch of substrates
US12/329,462 Active 2031-05-03 US8349196B2 (en) 2007-12-06 2008-12-05 System and method for commercial fabrication of patterned media
US13/338,182 Abandoned US20120090992A1 (en) 2007-12-06 2011-12-27 System and method for commercial fabrication of patterned media

Country Status (6)

Country Link
US (5) US8784622B2 (en)
JP (4) JP5464753B2 (en)
KR (3) KR101548398B1 (en)
CN (4) CN101889101B (en)
TW (3) TW200937389A (en)
WO (3) WO2009073857A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090145752A1 (en) * 2007-12-06 2009-06-11 Intevac, Inc. System and method for dual-sided sputter etch of substrates
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011013385A1 (en) * 2009-07-31 2011-02-03 キヤノンアネルバ株式会社 Plasma treatment apparatus and method for manufacturing magnetic recording medium
TWI612700B (en) * 2010-07-28 2018-01-21 應用材料股份有限公司 Resist fortification for magnetic media patterning
CN102456567A (en) * 2010-10-18 2012-05-16 中芯国际集成电路制造(上海)有限公司 Plasma dry etching method for contact hole
TW201316375A (en) * 2011-10-05 2013-04-16 Intevac Inc Inductive/capacitive hybrid plasma source and system with such chamber
TWI491755B (en) * 2011-12-13 2015-07-11 聯華電子股份有限公司 Subtrate carrier and applications thereof
KR20130069037A (en) * 2011-12-16 2013-06-26 삼성디스플레이 주식회사 Apparatus for thin layer deposition, method for manufacturing of organic light emitting display apparatus using the same, and organic light emitting display apparatus
JP2013145611A (en) * 2012-01-13 2013-07-25 Toshiba Corp Magnetic recording medium and manufacturing method thereof
KR102104688B1 (en) * 2012-04-19 2020-05-29 인테벡, 인코포레이티드 Dual-mask arrangement for solar cell fabrication
US10679883B2 (en) * 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
PT2852469T (en) 2012-04-26 2019-07-31 Intevac Inc System architecture for vacuum processing
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
TWI457972B (en) * 2012-10-12 2014-10-21 Nano Electronics And Micro System Technologies Inc Automatic online plasma processing system
CN103107059B (en) * 2013-02-05 2015-09-30 珠海宝丰堂电子科技有限公司 Plasma treatment appts
MY183097A (en) 2014-08-05 2021-02-13 Intevac Inc Implant masking and alignment system with rollers
US9960018B2 (en) * 2015-03-25 2018-05-01 Ulvac, Inc. RF sputtering apparatus and sputtering method
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9940963B1 (en) 2016-11-17 2018-04-10 Western Digital Technologies, Inc. Magnetic media with atom implanted magnetic layer
CN106756837A (en) * 2017-01-19 2017-05-31 凌嘉科技股份有限公司 Combined type stabilization shifting apparatus and plus magnetic delivery wheel
KR20180093798A (en) * 2017-02-13 2018-08-22 램 리써치 코포레이션 Method to create air gaps
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
CN113675081A (en) 2018-01-30 2021-11-19 朗姆研究公司 Tin oxide mandrels in patterning
US11551938B2 (en) 2019-06-27 2023-01-10 Lam Research Corporation Alternating etch and passivation process
CN112475491B (en) * 2020-11-20 2022-02-22 大连工业大学 Bipolar electrode electric spark machining device and method suitable for insulating hard and brittle materials

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US5651867A (en) * 1989-10-02 1997-07-29 Hitachi, Ltd. Plasma processing method and apparatus
US20040060518A1 (en) * 2001-09-29 2004-04-01 Cree Lighting Company Apparatus for inverted multi-wafer MOCVD fabrication

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3968018A (en) * 1969-09-29 1976-07-06 Warner-Lambert Company Sputter coating method
US4132624A (en) 1971-02-05 1979-01-02 Triplex Safety Glass Company Limited Apparatus for producing metal oxide films
DE2844491C2 (en) * 1978-10-12 1983-04-14 Leybold-Heraeus GmbH, 5000 Köln Vacuum coating system with a device for continuous substrate transport
JPS56152973A (en) * 1980-04-30 1981-11-26 Tokuda Seisakusho Ltd Sputter etching device
NL8203318A (en) * 1982-08-24 1984-03-16 Integrated Automation DEVICE FOR PROCESSING SUBSTRATES.
JPH0666298B2 (en) * 1983-02-03 1994-08-24 日電アネルバ株式会社 Dry etching equipment
JP2580663B2 (en) * 1987-12-28 1997-02-12 株式会社島津製作所 Substrate holding mechanism of thin film forming equipment
DE3886754D1 (en) 1988-10-19 1994-02-10 Ibm Deutschland Device for plasma or reactive ion etching and method for etching poorly heat-conducting substrates.
JPH02185967A (en) * 1989-01-13 1990-07-20 Hitachi Ltd Method and device for bias sputtering
CN2068235U (en) * 1990-05-24 1990-12-26 华中农业大学 Ion stripping sputtering instrument
JPH0449523A (en) 1990-06-18 1992-02-18 Denki Kagaku Kogyo Kk Method and device for production of magnetic recording medium
JPH0480353A (en) * 1990-07-20 1992-03-13 Sony Corp Sputtering system
JP2501948B2 (en) * 1990-10-26 1996-05-29 三菱電機株式会社 Plasma processing method and plasma processing apparatus
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04250621A (en) * 1991-01-25 1992-09-07 Mitsubishi Electric Corp Semiconductor production apparatus
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
JP3732250B2 (en) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 In-line deposition system
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
JPH09190899A (en) * 1996-01-11 1997-07-22 Hitachi Ltd Plasma processing method and device for it
DE19622732C2 (en) 1996-06-07 2000-04-13 Ibm Surface modification of magnetic heads
US5888594A (en) * 1996-11-05 1999-03-30 Minnesota Mining And Manufacturing Company Process for depositing a carbon-rich coating on a moving substrate
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5998730A (en) 1997-05-13 1999-12-07 Canon Kabushiki Kaisha Production method for deposited film, production method for photoelectric conversion element, production apparatus for deposited film, production apparatus for photoelectric conversion element
JPH1116893A (en) * 1997-06-25 1999-01-22 Hitachi Ltd Plasma treatment device and method therefor
US6645353B2 (en) * 1997-12-31 2003-11-11 Intel Corporation Approach to optimizing an ILD argon sputter process
JPH11219520A (en) 1998-02-03 1999-08-10 Mitsubishi Chemical Corp Production of magnetic recording medium
US5985759A (en) 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6101972A (en) * 1998-05-13 2000-08-15 Intevac, Inc. Plasma processing system and method
ATA119098A (en) 1998-07-09 1999-05-15 Ims Ionen Mikrofab Syst METHOD FOR PRODUCING A CARBON FILM ON A SUBSTRATE
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000239848A (en) * 1999-02-24 2000-09-05 Shimadzu Corp Ecr plasma cvd device
US6268582B1 (en) 1999-02-24 2001-07-31 Shimadzu Corporation ECR plasma CVD apparatus
JP2000260758A (en) * 1999-03-11 2000-09-22 Hitachi Ltd Plasma-etching device
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
TW552306B (en) * 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
JP3777066B2 (en) 1999-07-06 2006-05-24 ペンタックス株式会社 Photogrammetry image processing apparatus, photogrammetry image processing method, and storage medium storing photogrammetry image processing program
US6440520B1 (en) 1999-07-09 2002-08-27 International Business Machines Corporation Patterned magnetic recording disk with substrate patterned by ion implantation
WO2001006030A1 (en) * 1999-07-19 2001-01-25 Young Park High throughput thin film deposition for optical disk processing
JP2001043530A (en) * 1999-07-28 2001-02-16 Anelva Corp Formation of protective film for information recording disk and apparatus for forming thin film for information recording disk
JP4526139B2 (en) * 1999-10-13 2010-08-18 キヤノンアネルバ株式会社 Substrate processing apparatus and sputtering apparatus
US6460369B2 (en) * 1999-11-03 2002-10-08 Applied Materials, Inc. Consecutive deposition system
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4617533B2 (en) * 2000-03-14 2011-01-26 ソニー株式会社 Information providing apparatus and method, information processing apparatus and method, and program storage medium
US6919001B2 (en) 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
JP2002027360A (en) 2000-07-10 2002-01-25 Matsushita Electric Ind Co Ltd Projection type television receiver
SG88784A1 (en) 2000-07-17 2002-05-21 Inst Data Storage Method of producing a magnetic recording medium
EP1354031A2 (en) * 2000-07-31 2003-10-22 Maxygen, Inc. Nucleotide incorporating enzymes
SE519478C2 (en) * 2000-09-19 2003-03-04 Obducat Ab Substrate etching method for manufacturing LCD panel, involves mounting frame on substrate such that it is adjacent to central circuit portion of substrate, before etching substrate
JP4454621B2 (en) 2001-01-22 2010-04-21 東京エレクトロン株式会社 Processing equipment
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US7041394B2 (en) 2001-03-15 2006-05-09 Seagate Technology Llc Magnetic recording media having self organized magnetic arrays
US7638030B2 (en) * 2001-06-18 2009-12-29 Ebara Corporation Electrolytic processing apparatus and electrolytic processing method
JP2003045947A (en) 2001-07-27 2003-02-14 Canon Inc Substrate processing apparatus and aligner
JP2003100713A (en) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk Cover for plasma electrode
JP3739308B2 (en) * 2001-10-17 2006-01-25 松下電器産業株式会社 Plasma processing method
DE10205189B4 (en) 2002-02-06 2012-06-28 Xtreme Technologies Gmbh Method for producing extreme ultraviolet radiation based on a radiation-emitting plasma
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6984422B2 (en) * 2002-05-14 2006-01-10 Seagate Technology Llc Photo process to improve tribological performance of thin lubricant film
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
KR100921844B1 (en) 2002-08-26 2009-10-13 파나소닉 주식회사 Plasma processing method and apparatus
JP4109085B2 (en) 2002-11-06 2008-06-25 富士フイルム株式会社 Electron beam drawing method
US6872467B2 (en) 2002-11-12 2005-03-29 Nve Corporation Magnetic field sensor with augmented magnetoresistive sensing layer
US7147790B2 (en) * 2002-11-27 2006-12-12 Komag, Inc. Perpendicular magnetic discrete track recording disk
US20040110388A1 (en) * 2002-12-06 2004-06-10 International Business Machines Corporation Apparatus and method for shielding a wafer from charged particles during plasma etching
JP2004214336A (en) 2002-12-27 2004-07-29 Tokyo Electron Ltd Method and apparatus for plasma etching
JP4188125B2 (en) * 2003-03-05 2008-11-26 Tdk株式会社 Magnetic recording medium manufacturing method and manufacturing apparatus
US6929720B2 (en) * 2003-06-09 2005-08-16 Tokyo Electron Limited Sputtering source for ionized physical vapor deposition of metals
JP3816911B2 (en) * 2003-09-30 2006-08-30 株式会社東芝 Magnetic recording medium
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
JP2005233877A (en) * 2004-02-23 2005-09-02 Alps Electric Co Ltd Pressure sensor
JP2005305634A (en) * 2004-03-26 2005-11-04 Fujitsu Ltd Nano hole structure and its manufacturing method, stamper and its manufacturing method, magnetic recording medium and its manufacturing method, and magnetic recorder and magnetic recording method
JP4527432B2 (en) 2004-04-08 2010-08-18 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US7378028B2 (en) * 2004-06-03 2008-05-27 Seagate Technology Llc Method for fabricating patterned magnetic recording media
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20060154388A1 (en) 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
KR100625319B1 (en) 2005-02-03 2006-09-20 세메스 주식회사 Inductive coupling plasma treatment apparatus
US7312939B2 (en) 2005-02-25 2007-12-25 Hitachi Global Storage Technologies Netherlands Bv System, method, and apparatus for forming a patterned media disk and related disk drive architecture for head positioning
JP4600109B2 (en) * 2005-03-23 2010-12-15 Tdk株式会社 Stamper manufacturing method and information recording medium manufacturing method
JP2006303309A (en) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp Plasma treatment apparatus
US7482071B2 (en) 2005-05-24 2009-01-27 Hitachi Global Storage Technologies Netherlands B.V. Perpendicular magnetic recording disk with improved recording layer having high oxygen content
JP2006331578A (en) * 2005-05-27 2006-12-07 Toshiba Corp Magnetic recording medium, its manufacturing method, and magnetic recording and reproducing device
JP4634874B2 (en) * 2005-06-28 2011-02-16 株式会社東芝 Method for manufacturing magnetic recording medium
JP4533809B2 (en) 2005-06-28 2010-09-01 株式会社東芝 Method for manufacturing substrate for discrete track medium and method for manufacturing discrete track medium
JP4675722B2 (en) 2005-09-02 2011-04-27 株式会社東芝 Magnetic recording medium
US20070240982A1 (en) * 2005-10-17 2007-10-18 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Arc ion plating apparatus
JP4221415B2 (en) * 2006-02-16 2009-02-12 株式会社東芝 Method for manufacturing magnetic recording medium
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
JP4675812B2 (en) * 2006-03-30 2011-04-27 株式会社東芝 Magnetic recording medium, magnetic recording apparatus, and method of manufacturing magnetic recording medium
CN101055422B (en) * 2006-04-14 2012-05-02 应用材料公司 Integrated measuring room for transparent base material
JP2008060429A (en) * 2006-08-31 2008-03-13 Toshiba Corp Plasma treatment apparatus and plasma treatment method of substrate
JP2008084413A (en) 2006-09-27 2008-04-10 Fujitsu Ltd Magnetic recording medium, manufacturing method of magnetic recording medium and magnetic recording device
US7959775B2 (en) * 2006-09-29 2011-06-14 Tokyo Electron Limited Thermal stress-failure-resistant dielectric windows in vacuum processing systems
US8900655B2 (en) 2006-10-04 2014-12-02 Seagate Technology Llc Method for fabricating patterned magnetic recording device
US20080105542A1 (en) * 2006-11-08 2008-05-08 Purdy Clifford C System and method of manufacturing sputtering targets
JP4510796B2 (en) 2006-11-22 2010-07-28 株式会社アルバック Method for manufacturing magnetic storage medium
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
JP5175519B2 (en) * 2007-10-24 2013-04-03 株式会社日立製作所 Plasma etching apparatus and method for manufacturing magnetic recording medium
KR101548398B1 (en) 2007-12-06 2015-08-28 인테벡, 인코포레이티드 System and method for dual-sided sputter etch of substrates
KR101534203B1 (en) * 2008-10-14 2015-07-07 삼성디스플레이 주식회사 Data driving apparatus, display comprising the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US5651867A (en) * 1989-10-02 1997-07-29 Hitachi, Ltd. Plasma processing method and apparatus
US20040060518A1 (en) * 2001-09-29 2004-04-01 Cree Lighting Company Apparatus for inverted multi-wafer MOCVD fabrication

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090145752A1 (en) * 2007-12-06 2009-06-11 Intevac, Inc. System and method for dual-sided sputter etch of substrates
US20090145881A1 (en) * 2007-12-06 2009-06-11 Intevac, Inc. System and method for dual-sided sputter etch of substrates
US20120090992A1 (en) * 2007-12-06 2012-04-19 Intevac, Inc. System and method for commercial fabrication of patterned media
US8784622B2 (en) 2007-12-06 2014-07-22 Intevac, Inc. System and method for dual-sided sputter etch of substrates
US9165587B2 (en) 2007-12-06 2015-10-20 Intevac, Inc. System and method for dual-sided sputter etch of substrates
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11441222B2 (en) 2017-08-31 2022-09-13 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11725283B2 (en) 2017-08-31 2023-08-15 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11851760B2 (en) 2017-08-31 2023-12-26 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate

Also Published As

Publication number Publication date
CN103093766A (en) 2013-05-08
CN101884069B (en) 2012-12-12
CN101889325A (en) 2010-11-17
JP5464753B2 (en) 2014-04-09
US20120090992A1 (en) 2012-04-19
US8349196B2 (en) 2013-01-08
US9165587B2 (en) 2015-10-20
TWI421360B (en) 2014-01-01
KR101580511B1 (en) 2015-12-28
US20090145752A1 (en) 2009-06-11
JP2013225370A (en) 2013-10-31
CN101889101A (en) 2010-11-17
TW200932936A (en) 2009-08-01
JP2011507133A (en) 2011-03-03
WO2009073865A1 (en) 2009-06-11
KR20100096128A (en) 2010-09-01
CN101889101B (en) 2014-09-24
TW200937389A (en) 2009-09-01
CN101884069A (en) 2010-11-10
JP5730943B2 (en) 2015-06-10
KR20100103493A (en) 2010-09-27
JP5429185B2 (en) 2014-02-26
US20090145879A1 (en) 2009-06-11
KR20100099147A (en) 2010-09-10
KR101548398B1 (en) 2015-08-28
US20090145881A1 (en) 2009-06-11
WO2009073864A1 (en) 2009-06-11
US8784622B2 (en) 2014-07-22
JP2011507131A (en) 2011-03-03
TW200930826A (en) 2009-07-16
CN101889325B (en) 2014-05-07
TWI401331B (en) 2013-07-11
JP2011507134A (en) 2011-03-03
WO2009073857A1 (en) 2009-06-11

Similar Documents

Publication Publication Date Title
US8349196B2 (en) System and method for commercial fabrication of patterned media
CN102334161B (en) Hdd pattern implant system
JP4221415B2 (en) Method for manufacturing magnetic recording medium
JP2004319074A (en) Method for manufacturing discrete track recording disk using bilayer resist for metal lift-off
US8715515B2 (en) Process for optimization of island to trench ratio in patterned media
JP2013503414A (en) System for producing a pattern on a magnetic recording medium
US20080149590A1 (en) Substrate-Holder, Etching Method of the Substrate, and the Fabrication Method of a Magnetic Recording Media
US20030128460A1 (en) Feature size reduction in thin film magnetic head using low temperature deposition coating of photolithographically-defined trenches
US20110059235A1 (en) Method for producing magnetic recording medium and producing apparatus thereof
US8349163B2 (en) Perpendicular magnetic recording medium, method of manufacturing the same, and magnetic read/write apparatus
JP5666248B2 (en) Magnetic recording medium manufacturing equipment
JP2004319075A (en) Isothermal imprinting
KR20100116879A (en) Stamps for magnetic printing and magnetic printing method using the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEVAC, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FAIRBAIRN, KEVIN P.;BARNES, MICHAEL S.;BLUCK, TERRY;AND OTHERS;SIGNING DATES FROM 20090128 TO 20130224;REEL/FRAME:030016/0251

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION