US20140015031A1 - Apparatus and Method for Memory Device - Google Patents

Apparatus and Method for Memory Device Download PDF

Info

Publication number
US20140015031A1
US20140015031A1 US13/547,741 US201213547741A US2014015031A1 US 20140015031 A1 US20140015031 A1 US 20140015031A1 US 201213547741 A US201213547741 A US 201213547741A US 2014015031 A1 US2014015031 A1 US 2014015031A1
Authority
US
United States
Prior art keywords
layer
gate
drain
source region
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/547,741
Inventor
Ping-Pang Hsieh
Chih-Ming Lee
Yu-Jen Chen
Shiu-Ko Jangjian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/547,741 priority Critical patent/US20140015031A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, YU-JEN, HSIEH, PING-PANG, JANGJIAN, SHIU-KO, LEE, CHIH-MING
Publication of US20140015031A1 publication Critical patent/US20140015031A1/en
Priority to US14/685,192 priority patent/US10164073B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • H01L29/7883Programmable transistors with only two possible levels of programmation charging by tunnelling of carriers, e.g. Fowler-Nordheim tunnelling

Definitions

  • Non-volatile memories include random access memory (RAM), which can be further divided into two sub-categories, static random access memory (SRAM) and dynamic random access memory (DRAM). Both SRAM and DRAM are volatile because they will lose the information they store when they are not powered. On the other hand, non-volatile memories can keep data stored on them.
  • RAM random access memory
  • SRAM static random access memory
  • DRAM dynamic random access memory
  • Non-volatile memories include a variety of sub-categories, such as read-only-memory (ROM), electrically erasable programmable read-only memory (EEPROM) and flash memory.
  • Flash memory is a non-volatile device that can be electrically erased and reprogrammed.
  • a typical flash memory comprises a memory array having a large number of flash memory cells arranged in rows, columns, and blocks.
  • One of the most commonly known flash memories is the one-transistor flash memory.
  • the memory cell of the one-transistor flash memory is fabricated as a field-effect transistor having two gates, namely a control gate and a floating gate.
  • the floating gate is capable of holding charges and is separated from source and drain regions.
  • Each of the memory cells can be electrically charged by injecting hot electrons across an oxide layer (tunneling layer) onto the floating gate.
  • the charges can be removed from the floating gate by tunneling the electrons to the substrate through the tunneling layer during an erase operation.
  • the data in a memory cell is determined by the presence or absence of charges in the floating gate.
  • FIG. 1 illustrates a cross sectional view of a memory device in accordance with an embodiment
  • FIG. 2 illustrates a cross sectional view of a memory device after a gate stack is formed over a substrate through a patterning process in accordance with an embodiment
  • FIG. 3 illustrates a cross sectional view of a memory device after an oxygen flush process is applied to the surface of the memory device shown in FIG. 2 in accordance with an embodiment
  • FIG. 4 illustrates a cross sectional view of a memory device after an ion implantation process is applied to the memory device shown in FIG. 3 in accordance with an embodiment
  • FIG. 5 illustrates a cross sectional view of a memory device after a pre-clean process is applied to the memory device shown in FIG. 4 in accordance with an embodiment
  • FIG. 6 illustrates a cross sectional view of a memory device after a gate oxidation process is applied to the surface of the gate stack of the memory device shown in FIG. 5 in accordance with an embodiment.
  • FIG. 1 illustrates a cross sectional view of a memory device in accordance with an embodiment.
  • a first active region 104 and a second active region 106 are formed in a substrate 102 .
  • the first active region 104 and the second active region 106 are formed on opposite sides of a gate structure including a tunneling layer 112 , a floating gate 114 , an inter-poly dielectric layer 116 and a control gate 118 .
  • the first active region 104 and the second active region 106 may be a drain region and a source region respectively.
  • the tunneling layer 112 is formed over the substrate 102 .
  • the floating gate 114 is formed over the tunneling layer 112 .
  • the inter-poly dielectric layer 116 is stacked on top of the floating gate 114 and the control gate 118 is stacked on top of the inter-poly dielectric layer 116 .
  • the portions protruding over the substrate 102 form a gate stack of the memory device 100 .
  • FIG. 1 shows there may be a recess between the top surface of the active regions (e.g., the first active region 104 ) and the bottom of the tunneling layer 112 .
  • the height of the recess is defined as d1.
  • d1 is in a range from about 5 Angstroms to about 200 Angstroms.
  • the substrate 102 may be formed of suitable semiconductor materials such as silicon, germanium, diamond, or the like. Alternatively, compound materials such as silicon germanium, silicon carbide, gallium arsenic, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenic phosphide, gallium indium phosphide, combinations of these, and the like, with other crystal orientations, may also be used. Additionally, the substrate 102 may comprise a silicon-on-insulator (SOI) substrate. Generally, an SOI substrate comprises a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. The substrate 102 may be doped with a p-type dopant, such as boron, aluminum, gallium, or the like, although the substrate may alternatively be doped with an n-type dopant, as is known in the art.
  • a p-type dopant such as boro
  • the drain/source region 104 and the drain/source 106 may be formed by implanting appropriate n-type dopants such as phosphorous, arsenic, antimony, or the like.
  • the drain/source regions 104 and 106 may be implanted using the tunneling layer 112 , the floating gate 114 , the blocking layer 116 , the control gate 118 as masks to form the source/drain regions 104 and 106 .
  • the detailed fabrication process of the drain and source regions will be described below with respect to FIG. 4 .
  • the tunneling layer 112 may comprise oxide materials such as silicon oxide.
  • the silicon oxide layer may be implemented using a suitable process such as furnace, rapid thermal oxide (RTO), chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), high-density plasma chemical vapor deposition (HDPCVD), combinations of these or the like.
  • RTO rapid thermal oxide
  • CVD chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • HDPCVD high-density plasma chemical vapor deposition
  • the tunneling layer 112 may comprise a high-k dielectric material such as AlLaO 3 , HfAlO 3 , HfO 2 , Ta 2 O 5 , Al 2 O 3 , ZrO 2 , TiO 2 , SrTiO 3 , and any combinations thereof.
  • a high-k dielectric material such as AlLaO 3 , HfAlO 3 , HfO 2 , Ta 2 O 5 , Al 2 O 3 , ZrO 2 , TiO 2 , SrTiO 3 , and any combinations thereof.
  • the floating gate layer 114 is commonly known as a storage layer. According to the operation principles of flash memory device, the floating gate layer 114 is employed to store gate charge so that the logic statue of the memory device can be retained even if electrical power is terminated.
  • the floating gate layer 114 may be formed of heavily doped poly-silicon.
  • the floating layer 114 may be formed of silicon nitride.
  • floating gate layer 114 may comprises conductive materials such as metal silicides, metal nitrides and the like.
  • floating gate layer 114 includes nano crystal with nano crystal islands isolated by dielectric materials.
  • the inter-poly dielectric layer 116 is commonly known as a blocking layer. Throughout the description, the inter-poly dielectric layer 116 may be alternatively referred to as a blocking layer 116 . In accordance with an embodiment, the inter-poly dielectric layer 116 may be formed of SiO 2 —Si 3 N 4 —SiO 2 (ONO). In accordance with another embodiment, the inter-poly dielectric layer 116 may be formed of high-k dielectric materials such as Al 2 O 3 . It should be noted while FIG. 1 illustrates the blocking layer 116 is a single layer, the blocking layer 116 may also be a composite layer including more than one layer, each layer comprising one or more of the above-listed materials.
  • the control gate 118 is formed over the blocking layer 116 .
  • the control gate 118 may be formed of conductive materials such as polysilicon doped with n-type impurities, polysilicon doped with p-type impurities, metals, metal silicides, metal nitrides, and combinations thereof.
  • blocking layer 116 and the tunneling layer 112 prevent the gate charge of the floating gate layer 114 from leaking out to the substrate or the control gate 118 . As a result, the date retention of the flash memory may be improved.
  • FIGS. 2-6 illustrate intermediate steps of fabricating a flashing memory having two recesses in accordance with an embodiment.
  • FIG. 2 illustrates a cross sectional view of a memory device after a gate stack is formed over a substrate through a patterning process in accordance with an embodiment.
  • the tunneling layer 112 , the floating gate 114 , the blocking layer 116 and the control gate 118 may be patterned using a photolithographic masking and etching process. For example, a photosensitive material may be placed over the gate stack, exposed to an energy source such as light, and developed. Once the photoresist has been formed, one or more etching steps may be utilized to remove those regions of the tunneling layer 112 , the floating gate layer 114 , the blocking layer 116 and the control gate 118 that were exposed by the photoresist.
  • FIG. 3 illustrates a cross sectional view of a memory device after an oxygen flush process is applied to the surface of the memory device shown in FIG. 2 in accordance with an embodiment.
  • an oxygen flush process is applied to the top surface of the memory device.
  • the memory device may be placed in a dry etch chamber.
  • the oxygen flush may be implemented by using suitable techniques such as oxygen plasma.
  • An oxide layer 302 may grow on top of the surface of the gate stack the memory device.
  • oxide layers 304 and 306 may grow on top of the surface of the substrate 102 .
  • the growth rate of the oxide layer is proportional to time and the concentration of O 2 plasma. However, the growth rate may saturate when the thickness of the oxide layer is in a range from about 20 Angstroms to about 300 Angstroms.
  • the saturation of the oxide layer helps to form a uniform surface layer on top of the memory device.
  • the memory device may have a uniform implantation profile in the subsequent ion implantation process.
  • the uniform implantation profiles helps to improve the threshold voltage of the memory device. The detailed process of the ion implantation process will be described below with respect to FIG. 4 .
  • FIG. 4 illustrates a cross sectional view of a memory device after an ion implantation process is applied to the memory device shown in FIG. 3 in accordance with an embodiment.
  • a first drain/source region 104 and a second drain/source region 106 may be formed in the substrate 102 on opposite sides of the gate stack.
  • the drain/source regions 104 and 106 may be formed by implanting appropriate p-type dopants such as boron, gallium, indium, or the like.
  • the drain/source regions 104 and 106 may be formed by implanting appropriate n-type dopants such as phosphorous, arsenic, or the like. These drain/source regions 104 and 106 may be implanted using the gate stack shown in FIG. 4 as masks. A uniform implantation profile of the drain/source regions 104 and 106 helps to improve the performance of the flash memory device.
  • FIG. 5 illustrates a cross sectional view of a memory device after a pre-clean process is applied to the memory device shown in FIG. 4 in accordance with an embodiment.
  • a pre-clean process may be implemented using suitable cleaning processes such as dry cleaning or wet cleaning.
  • a wet cleaning process may comprise applying an HF solution first and performing SPM (SPM is a mixture of H 2 SO 4 and H 2 O 2 ) and APM (APM is a mixture of NH 4 OH, H 2 O 2 and H 2 O) solutions subsequently.
  • SPM is a mixture of H 2 SO 4 and H 2 O 2
  • APM is a mixture of NH 4 OH, H 2 O 2 and H 2 O
  • the oxide layers on the top surface of the substrate and the gate stack have been removed after the pre-clean process is applied to the memory device.
  • FIG. 5 there are no undercuts at the blocking layer 116 because the oxide layer (not shown but illustrated in FIG. 4 ) helps to prevent the ONO of the blocking layer 116 from being damaged during the pre-clean process.
  • the blocking layer 116 is free of lateral undercuts.
  • Such an undercut free blocking layer helps to improve the data retention of a flash memory device.
  • a high coupling ratio flash memory device can be achieved.
  • FIG. 6 illustrates a cross sectional view of a memory device after a gate oxidation process is applied to the surface of the gate stack of the memory device shown in FIG. 5 in accordance with an embodiment.
  • the gate oxidation process may be implemented by using suitable techniques such as a thermal process, a furnace process or the like.
  • the thickness of the gate oxide layer is a range from about 15 Angstroms to about 300 Angstroms. It should be noted that FIG. 6 is not drawn to scale. The gate oxide layer is very thin.

Abstract

An apparatus comprises a gate stack formed over a substrate, wherein the gate stack comprises a first gate structure, wherein a first dielectric layer is formed between the first gate structure and the substrate and a second gate structure stacked on the first gate structure, wherein a second dielectric layer is formed between the first gate structure and the second gate structure. The apparatus further comprises a first drain/source region and a first recess formed between a top surface of the first drain/source region and the second dielectric layer.

Description

    BACKGROUND
  • Modern electronic devices such as a notebook computer comprise a variety of memories to store information. Memory circuits include two major categories. One is volatile memories; the other is non-volatile memories. Volatile memories include random access memory (RAM), which can be further divided into two sub-categories, static random access memory (SRAM) and dynamic random access memory (DRAM). Both SRAM and DRAM are volatile because they will lose the information they store when they are not powered. On the other hand, non-volatile memories can keep data stored on them. Non-volatile memories include a variety of sub-categories, such as read-only-memory (ROM), electrically erasable programmable read-only memory (EEPROM) and flash memory.
  • Flash memory is a non-volatile device that can be electrically erased and reprogrammed. A typical flash memory comprises a memory array having a large number of flash memory cells arranged in rows, columns, and blocks. One of the most commonly known flash memories is the one-transistor flash memory. The memory cell of the one-transistor flash memory is fabricated as a field-effect transistor having two gates, namely a control gate and a floating gate. The floating gate is capable of holding charges and is separated from source and drain regions.
  • Each of the memory cells can be electrically charged by injecting hot electrons across an oxide layer (tunneling layer) onto the floating gate. The charges can be removed from the floating gate by tunneling the electrons to the substrate through the tunneling layer during an erase operation. Thus the data in a memory cell is determined by the presence or absence of charges in the floating gate.
  • As technologies evolve, semiconductor process nodes have been scaled down for high density flash memory integrated circuits. As a result, the form factor of flash memory devices has been improved from shrinking the semiconductor process node (e.g., shrink the process node towards the sub-20 nm node). As semiconductor devices are scaled down, new techniques are needed to maintain the electronic components' performance from one generation to the next. For example, poor or reduced cycling and data retention capabilities are two major concerns in the tunnel oxide when the tunnel oxide traps more electrons than desired during program erase operations. Such undesirable trapping of electrons makes the overall flash memory device less efficient.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present disclosure, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a cross sectional view of a memory device in accordance with an embodiment;
  • FIG. 2 illustrates a cross sectional view of a memory device after a gate stack is formed over a substrate through a patterning process in accordance with an embodiment;
  • FIG. 3 illustrates a cross sectional view of a memory device after an oxygen flush process is applied to the surface of the memory device shown in FIG. 2 in accordance with an embodiment;
  • FIG. 4 illustrates a cross sectional view of a memory device after an ion implantation process is applied to the memory device shown in FIG. 3 in accordance with an embodiment;
  • FIG. 5 illustrates a cross sectional view of a memory device after a pre-clean process is applied to the memory device shown in FIG. 4 in accordance with an embodiment; and
  • FIG. 6 illustrates a cross sectional view of a memory device after a gate oxidation process is applied to the surface of the gate stack of the memory device shown in FIG. 5 in accordance with an embodiment.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the various embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently embodiments are discussed in detail below. It should be appreciated, however, that the present disclosure provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the disclosure, and do not limit the scope of the disclosure.
  • The present disclosure will be described with respect to embodiments in a specific context, a flash memory device having two recesses between a gate stack and drain/source regions. The embodiments of the disclosure may also be applied, however, to a variety of memory semiconductor devices. Hereinafter, various embodiments will be explained in detail with reference to the accompanying drawings.
  • FIG. 1 illustrates a cross sectional view of a memory device in accordance with an embodiment. As shown in FIG. 1, a first active region 104 and a second active region 106 are formed in a substrate 102. In addition, the first active region 104 and the second active region 106 are formed on opposite sides of a gate structure including a tunneling layer 112, a floating gate 114, an inter-poly dielectric layer 116 and a control gate 118. In accordance with an embodiment, the first active region 104 and the second active region 106 may be a drain region and a source region respectively.
  • As shown in FIG. 1, the tunneling layer 112 is formed over the substrate 102. The floating gate 114 is formed over the tunneling layer 112. The inter-poly dielectric layer 116 is stacked on top of the floating gate 114 and the control gate 118 is stacked on top of the inter-poly dielectric layer 116. The portions protruding over the substrate 102 form a gate stack of the memory device 100. Moreover, there may be a gate oxide layer 122 formed on the surface of the gate stack. FIG. 1 shows there may be a recess between the top surface of the active regions (e.g., the first active region 104) and the bottom of the tunneling layer 112. The height of the recess is defined as d1. In accordance with an embodiment, d1 is in a range from about 5 Angstroms to about 200 Angstroms.
  • The substrate 102 may be formed of suitable semiconductor materials such as silicon, germanium, diamond, or the like. Alternatively, compound materials such as silicon germanium, silicon carbide, gallium arsenic, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenic phosphide, gallium indium phosphide, combinations of these, and the like, with other crystal orientations, may also be used. Additionally, the substrate 102 may comprise a silicon-on-insulator (SOI) substrate. Generally, an SOI substrate comprises a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. The substrate 102 may be doped with a p-type dopant, such as boron, aluminum, gallium, or the like, although the substrate may alternatively be doped with an n-type dopant, as is known in the art.
  • In accordance with an embodiment in which the substrate 102 is a p-type substrate, the drain/source region 104 and the drain/source 106 may be formed by implanting appropriate n-type dopants such as phosphorous, arsenic, antimony, or the like. The drain/ source regions 104 and 106 may be implanted using the tunneling layer 112, the floating gate 114, the blocking layer 116, the control gate 118 as masks to form the source/ drain regions 104 and 106. The detailed fabrication process of the drain and source regions will be described below with respect to FIG. 4.
  • In accordance with an embodiment, the tunneling layer 112 may comprise oxide materials such as silicon oxide. The silicon oxide layer may be implemented using a suitable process such as furnace, rapid thermal oxide (RTO), chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), high-density plasma chemical vapor deposition (HDPCVD), combinations of these or the like. However, any suitable deposition process may alternatively be utilized. In accordance with another embodiment, the tunneling layer 112 may comprise a high-k dielectric material such as AlLaO3, HfAlO3, HfO2, Ta2O5, Al2O3, ZrO2, TiO2, SrTiO3, and any combinations thereof.
  • The floating gate layer 114 is commonly known as a storage layer. According to the operation principles of flash memory device, the floating gate layer 114 is employed to store gate charge so that the logic statue of the memory device can be retained even if electrical power is terminated. In accordance with an embodiment, the floating gate layer 114 may be formed of heavily doped poly-silicon. In accordance with another embodiment, the floating layer 114 may be formed of silicon nitride. In accordance with yet another embodiment, floating gate layer 114 may comprises conductive materials such as metal silicides, metal nitrides and the like. In yet other embodiments, floating gate layer 114 includes nano crystal with nano crystal islands isolated by dielectric materials.
  • The inter-poly dielectric layer 116 is commonly known as a blocking layer. Throughout the description, the inter-poly dielectric layer 116 may be alternatively referred to as a blocking layer 116. In accordance with an embodiment, the inter-poly dielectric layer 116 may be formed of SiO2—Si3N4—SiO2 (ONO). In accordance with another embodiment, the inter-poly dielectric layer 116 may be formed of high-k dielectric materials such as Al2O3. It should be noted while FIG. 1 illustrates the blocking layer 116 is a single layer, the blocking layer 116 may also be a composite layer including more than one layer, each layer comprising one or more of the above-listed materials.
  • The control gate 118 is formed over the blocking layer 116. The control gate 118 may be formed of conductive materials such as polysilicon doped with n-type impurities, polysilicon doped with p-type impurities, metals, metal silicides, metal nitrides, and combinations thereof.
  • One advantageous feature of having the blocking layer 116 and the tunneling layer 112 is that these two dielectric layers prevent the gate charge of the floating gate layer 114 from leaking out to the substrate or the control gate 118. As a result, the date retention of the flash memory may be improved.
  • FIGS. 2-6 illustrate intermediate steps of fabricating a flashing memory having two recesses in accordance with an embodiment. FIG. 2 illustrates a cross sectional view of a memory device after a gate stack is formed over a substrate through a patterning process in accordance with an embodiment. The tunneling layer 112, the floating gate 114, the blocking layer 116 and the control gate 118 may be patterned using a photolithographic masking and etching process. For example, a photosensitive material may be placed over the gate stack, exposed to an energy source such as light, and developed. Once the photoresist has been formed, one or more etching steps may be utilized to remove those regions of the tunneling layer 112, the floating gate layer 114, the blocking layer 116 and the control gate 118 that were exposed by the photoresist.
  • FIG. 3 illustrates a cross sectional view of a memory device after an oxygen flush process is applied to the surface of the memory device shown in FIG. 2 in accordance with an embodiment. In order to form a uniform oxide layer on top of the memory device, an oxygen flush process is applied to the top surface of the memory device. In accordance with an embodiment, the memory device may be placed in a dry etch chamber. The oxygen flush may be implemented by using suitable techniques such as oxygen plasma. An oxide layer 302 may grow on top of the surface of the gate stack the memory device. Likewise, oxide layers 304 and 306 may grow on top of the surface of the substrate 102. The growth rate of the oxide layer is proportional to time and the concentration of O2 plasma. However, the growth rate may saturate when the thickness of the oxide layer is in a range from about 20 Angstroms to about 300 Angstroms.
  • The saturation of the oxide layer helps to form a uniform surface layer on top of the memory device. One advantageous feature of having such a uniform oxide surface layer is the memory device may have a uniform implantation profile in the subsequent ion implantation process. The uniform implantation profiles helps to improve the threshold voltage of the memory device. The detailed process of the ion implantation process will be described below with respect to FIG. 4.
  • FIG. 4 illustrates a cross sectional view of a memory device after an ion implantation process is applied to the memory device shown in FIG. 3 in accordance with an embodiment. A first drain/source region 104 and a second drain/source region 106 may be formed in the substrate 102 on opposite sides of the gate stack. In according with an embodiment in which the substrate 102 is an n-type substrate, the drain/ source regions 104 and 106 may be formed by implanting appropriate p-type dopants such as boron, gallium, indium, or the like. Alternatively, in accordance with an embodiment in which the substrate 102 is a p-type substrate, the drain/ source regions 104 and 106 may be formed by implanting appropriate n-type dopants such as phosphorous, arsenic, or the like. These drain/ source regions 104 and 106 may be implanted using the gate stack shown in FIG. 4 as masks. A uniform implantation profile of the drain/ source regions 104 and 106 helps to improve the performance of the flash memory device.
  • FIG. 5 illustrates a cross sectional view of a memory device after a pre-clean process is applied to the memory device shown in FIG. 4 in accordance with an embodiment. During the formation of the gate stack through an etching process, many residues such as polymer may stay on the surface of the substrate. Such residues may have a negative impact on the performance characteristics of the flash memory. In order to improve the performance such as leakage current of the memory device, the surface of the gate stack must be cleaned before forming a gate oxide layer. A gate pre-clean process may be implemented using suitable cleaning processes such as dry cleaning or wet cleaning. In accordance with an embodiment, a wet cleaning process may comprise applying an HF solution first and performing SPM (SPM is a mixture of H2SO4 and H2O2) and APM (APM is a mixture of NH4OH, H2O2 and H2O) solutions subsequently.
  • In comparison with the cross sectional view of FIG. 4, the oxide layers on the top surface of the substrate and the gate stack have been removed after the pre-clean process is applied to the memory device. As shown in FIG. 5, there are no undercuts at the blocking layer 116 because the oxide layer (not shown but illustrated in FIG. 4) helps to prevent the ONO of the blocking layer 116 from being damaged during the pre-clean process. As a result, the blocking layer 116 is free of lateral undercuts. Such an undercut free blocking layer helps to improve the data retention of a flash memory device. In addition, by reducing the undercut at the ONO layer, a high coupling ratio flash memory device can be achieved.
  • FIG. 6 illustrates a cross sectional view of a memory device after a gate oxidation process is applied to the surface of the gate stack of the memory device shown in FIG. 5 in accordance with an embodiment. The gate oxidation process may be implemented by using suitable techniques such as a thermal process, a furnace process or the like. In accordance with an embodiment, the thickness of the gate oxide layer is a range from about 15 Angstroms to about 300 Angstroms. It should be noted that FIG. 6 is not drawn to scale. The gate oxide layer is very thin.
  • Although embodiments of the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

What is claimed is:
1. An apparatus comprising:
a gate stack formed over a substrate, wherein the gate stack comprises:
a first gate structure, wherein a first dielectric layer is formed between the first gate structure and the substrate; and
a second gate structure stacked on the first gate structure, wherein a second dielectric layer is formed between the first gate structure and the second gate structure;
a first drain/source region; and
a first recess formed between a top surface of the first drain/source region and the second dielectric layer.
2. The apparatus of claim 1, further comprising:
a second drain/source region formed on an opposite side of the gate stack from the first drain/source region; and
a second recess formed between a top surface of the second drain/source region and the second dielectric layer.
3. The apparatus of claim 1, wherein:
the first dielectric layer is a tunneling layer of a flash memory device.
4. The apparatus of claim 1, wherein:
the second dielectric layer is a blocking layer of a flash memory device.
5. The apparatus of claim 1, wherein:
the first recess is of a height in a range from 5 Angstroms to 200 Angstroms.
6. The apparatus of claim 1, wherein:
the first gate structure comprises a floating gate of a flash memory device.
7. The apparatus of claim 1, wherein:
the second gate structure comprises a control gate of a flash memory device.
8. A device comprising:
a tunneling layer formed over a substrate;
a floating gate formed over the tunneling layer;
a blocking layer is formed over the floating gate, wherein the block layer is free of lateral undercuts;
a control gate formed over the blocking layer;
a first step between a top surface of a first drain/source region and the tunneling layer; and
a second step between a top surface of a second drain/source region and the tunneling layer, wherein the second drain/source region is on an opposite side of the tunneling layer from the first drain/source region.
9. The device of claim 8, wherein:
the blocking layer comprises SiO2—Si3N4—SiO2 (ONO).
10. The device of claim 8, further comprising:
a gate stack formed by the tunneling layer, the floating gate, the blocking layer and the control gate, wherein the gate stack is covered by an oxide layer.
11. The device of claim 8, wherein:
the first step is of a height in a range from 5 Angstroms to 200 Angstroms.
12. The device of claim 8, wherein:
the second step is of a height in a range from 5 Angstroms to 200 Angstroms.
13. The device of claim 8, wherein:
the floating gate comprises doped polysilicon.
14. The device of claim 8, wherein:
the control gate comprises doped polysilicon.
15. A method comprising:
forming a gate stack on a substrate;
applying an oxygen flush process to the gate stack and the substrate to form a first oxide layer on the substrate;
applying an ion implantation process to the gate stack and the substrate, wherein through the ion implantation process, a first drain/source region and a second drain/source region are formed on opposite sides of the gate stack;
applying a pre-cleaning process to the gate stack and the substrate, wherein the first oxide layer is removed after the pre-cleaning process; and
growing an oxide layer on the gate stack.
16. The method of claim 15, further comprising:
implementing the oxygen flush process by using oxygen plasma in a dry etch chamber.
17. The method of claim 15, further comprising:
depositing a tunneling layer on the substrate;
depositing a floating gate on the tunneling layer;
depositing a blocking layer on the floating gate; and
depositing a control gate on the blocking layer.
18. The method of claim 17, further comprising:
forming a SiO2—Si3N4—SiO2 layer between the floating gate and the control gate, wherein the SiO2—Si3N4—SiO2 layer is free of lateral undercuts.
19. The method of claim 15, further comprising:
removing the first oxide layer; and
forming a first recess between a top surface of the first drain/source region and a bottom of the gate stack.
20. The method of claim 15, further comprising:
removing the first oxide layer; and
forming a second recess between a top surface of the second drain/source region and a bottom of the gate stack.
US13/547,741 2012-07-12 2012-07-12 Apparatus and Method for Memory Device Abandoned US20140015031A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/547,741 US20140015031A1 (en) 2012-07-12 2012-07-12 Apparatus and Method for Memory Device
US14/685,192 US10164073B2 (en) 2012-07-12 2015-04-13 Apparatus and method for memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/547,741 US20140015031A1 (en) 2012-07-12 2012-07-12 Apparatus and Method for Memory Device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/685,192 Division US10164073B2 (en) 2012-07-12 2015-04-13 Apparatus and method for memory device

Publications (1)

Publication Number Publication Date
US20140015031A1 true US20140015031A1 (en) 2014-01-16

Family

ID=49913246

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/547,741 Abandoned US20140015031A1 (en) 2012-07-12 2012-07-12 Apparatus and Method for Memory Device
US14/685,192 Active 2032-11-04 US10164073B2 (en) 2012-07-12 2015-04-13 Apparatus and method for memory device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/685,192 Active 2032-11-04 US10164073B2 (en) 2012-07-12 2015-04-13 Apparatus and method for memory device

Country Status (1)

Country Link
US (2) US20140015031A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140332874A1 (en) * 2012-04-13 2014-11-13 Jeonggil Lee Semiconductor devices
CN111477625A (en) * 2020-04-27 2020-07-31 复旦大学 Semi-floating gate memory based on defect trapping material and preparation method thereof

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10053376B2 (en) * 2015-08-07 2018-08-21 Sanuwave, Inc. Acoustic pressure shock wave devices and methods for fluids processing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5019527A (en) * 1989-08-11 1991-05-28 Kabushiki Kaisha Toshiba Method of manufacturing non-volatile semiconductor memories, in which selective removal of field oxidation film for forming source region and self-adjusted treatment for forming contact portion are simultaneously performed
US5557567A (en) * 1995-04-06 1996-09-17 National Semiconductor Corp. Method for programming an AMG EPROM or flash memory when cells of the array are formed to store multiple bits of data
US6071779A (en) * 1998-01-13 2000-06-06 Texas Instruments Incorporated Source line fabrication process for flash memory

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4728617A (en) * 1986-11-04 1988-03-01 Intel Corporation Method of fabricating a MOSFET with graded source and drain regions
US4978626A (en) * 1988-09-02 1990-12-18 Motorola, Inc. LDD transistor process having doping sensitive endpoint etching
DE69226223T2 (en) * 1991-08-21 1998-12-24 Sgs Thomson Microelectronics Contact alignment for read-only memory
US5576232A (en) * 1994-12-12 1996-11-19 United Microelectronics Corp. Fabrication process for flash memory in which channel lengths are controlled
US5482881A (en) * 1995-03-14 1996-01-09 Advanced Micro Devices, Inc. Method of making flash EEPROM memory with reduced column leakage current
US5930627A (en) * 1997-05-05 1999-07-27 Chartered Semiconductor Manufacturing Company, Ltd. Process improvements in self-aligned polysilicon MOSFET technology using silicon oxynitride
US6329257B1 (en) * 1997-12-19 2001-12-11 Advanced Micro Devices, Inc. Method for laterally peaked source doping profiles for better erase control in flash memory devices
KR19990058294A (en) * 1997-12-30 1999-07-15 김규현 Manufacturing method of LED type MOS transistor
JP3754234B2 (en) * 1998-04-28 2006-03-08 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming oxide film on side wall of gate structure
JP3417866B2 (en) * 1999-03-11 2003-06-16 株式会社東芝 Semiconductor device and manufacturing method thereof
US6288419B1 (en) * 1999-07-09 2001-09-11 Micron Technology, Inc. Low resistance gate flash memory
US6355524B1 (en) * 2000-08-15 2002-03-12 Mosel Vitelic, Inc. Nonvolatile memory structures and fabrication methods
JP2002164447A (en) * 2000-11-28 2002-06-07 Sharp Corp Manufacturing method of non-volatile semiconductor memory
KR100414562B1 (en) * 2001-06-29 2004-01-07 주식회사 하이닉스반도체 Method of manufacturing a nonvolatile memory cell
US6821847B2 (en) * 2001-10-02 2004-11-23 Mosel Vitelic, Inc. Nonvolatile memory structures and fabrication methods
US6995414B2 (en) * 2001-11-16 2006-02-07 Kabushiki Kaisha Toshiba Semiconductor memory device including multi-layer gate structure
US6812515B2 (en) * 2001-11-26 2004-11-02 Hynix Semiconductor, Inc. Polysilicon layers structure and method of forming same
JP2005524994A (en) * 2002-05-08 2005-08-18 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ High coupling ratio floating gate memory cell
JP4481557B2 (en) * 2002-07-17 2010-06-16 Okiセミコンダクタ株式会社 Method for manufacturing nonvolatile semiconductor memory device
US20050212035A1 (en) * 2002-08-30 2005-09-29 Fujitsu Amd Semiconductor Limited Semiconductor storage device and manufacturing method thereof
US6774012B1 (en) * 2002-11-08 2004-08-10 Cypress Semiconductor Corp. Furnace system and method for selectively oxidizing a sidewall surface of a gate conductor by oxidizing a silicon sidewall in lieu of a refractory metal sidewall
JP3987418B2 (en) * 2002-11-15 2007-10-10 株式会社東芝 Semiconductor memory device
JP3699956B2 (en) * 2002-11-29 2005-09-28 株式会社東芝 Manufacturing method of semiconductor device
US7229880B2 (en) * 2003-11-19 2007-06-12 Promos Technologies Inc. Precision creation of inter-gates insulator
JP4296128B2 (en) * 2004-06-23 2009-07-15 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR100650369B1 (en) * 2004-10-01 2006-11-27 주식회사 하이닉스반도체 Non-volatile random access memory with sidewall?floating?polysilicon and method for fabricating the same
KR100605510B1 (en) * 2004-12-14 2006-07-31 삼성전자주식회사 methods of fabricating flash memory devices including control gate extensions
KR100673206B1 (en) * 2004-12-28 2007-01-22 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
US7575990B2 (en) * 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
US7491615B2 (en) * 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
KR100803663B1 (en) * 2006-06-29 2008-02-19 삼성전자주식회사 Non-volatile memory device and method for manufacturing the same
DE102007030053B4 (en) * 2007-06-29 2011-07-21 Advanced Micro Devices, Inc., Calif. Reduce pn junction capacitance in a transistor by lowering drain and source regions
US7951728B2 (en) * 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
EP2068351A1 (en) * 2007-12-03 2009-06-10 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Floating gate non-volatile memory device and method for manufacturing same
US7816726B2 (en) * 2007-12-20 2010-10-19 Promos Technologies Pte. Ltd. Nonvolatile memories with laterally recessed charge-trapping dielectric
US7923767B2 (en) * 2007-12-26 2011-04-12 Sandisk Corporation Non-volatile storage with substrate cut-out and process of fabricating
JP5210675B2 (en) * 2008-03-19 2013-06-12 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2010147077A (en) * 2008-12-16 2010-07-01 Renesas Electronics Corp Semiconductor device
KR20100087256A (en) * 2009-01-26 2010-08-04 인터내셔널 비지네스 머신즈 코포레이션 Improved transistor devices and methods of making

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5019527A (en) * 1989-08-11 1991-05-28 Kabushiki Kaisha Toshiba Method of manufacturing non-volatile semiconductor memories, in which selective removal of field oxidation film for forming source region and self-adjusted treatment for forming contact portion are simultaneously performed
US5557567A (en) * 1995-04-06 1996-09-17 National Semiconductor Corp. Method for programming an AMG EPROM or flash memory when cells of the array are formed to store multiple bits of data
US6071779A (en) * 1998-01-13 2000-06-06 Texas Instruments Incorporated Source line fabrication process for flash memory

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140332874A1 (en) * 2012-04-13 2014-11-13 Jeonggil Lee Semiconductor devices
CN111477625A (en) * 2020-04-27 2020-07-31 复旦大学 Semi-floating gate memory based on defect trapping material and preparation method thereof

Also Published As

Publication number Publication date
US20150221752A1 (en) 2015-08-06
US10164073B2 (en) 2018-12-25

Similar Documents

Publication Publication Date Title
KR101878006B1 (en) Vertical memory device and method for making thereof
US8890232B2 (en) Methods and apparatus for non-volatile memory cells with increased programming efficiency
KR100755410B1 (en) Gate structure and method of forming the same, non-volatile memory device and method of manufacturing the same
US7811886B2 (en) Split-gate thin film storage NVM cell with reduced load-up/trap-up effects
KR100705301B1 (en) Memory cell, method of formation, and operation
US6861307B2 (en) Fully isolated dielectric memory cell structure for a dual bit nitride storage device and process for making same
US20180047849A1 (en) Semi-floating gate fet
US7795088B2 (en) Method for manufacturing memory cell
KR101670596B1 (en) Memory device and method for fabricating the same
CN103824860B (en) Method for manufacturing memory cell, method for manufacturing memory cell arrangement, and memory cell
US6400610B1 (en) Memory device including isolated storage elements that utilize hole conduction and method therefor
EP1923909A2 (en) Charge trapping devices with field distribution layer over tunneling barrier
KR20080033100A (en) Vertical channel memory, manufacturing method thereof and operating method using the same
JP2004056095A (en) Nonvolatile semiconductor memory device and method of manufacturing the same
US20030222306A1 (en) Method of forming a semiconductor device in a semiconductor layer and structure thereof
JP2012516553A (en) Flash memory device and manufacturing method thereof
KR100656346B1 (en) Method for manufacturing non volatile memory device using mobile ionic charge
US8877585B1 (en) Non-volatile memory (NVM) cell, high voltage transistor, and high-K and metal gate transistor integration
US10164073B2 (en) Apparatus and method for memory device
US7586137B2 (en) Non-volatile memory device and method of fabricating the same
US7585787B2 (en) Semiconductor memory device and method of manufacturing the same
KR100525448B1 (en) Method for fabricating of flash memory device
US7498222B1 (en) Enhanced etching of a high dielectric constant layer
US10714479B2 (en) One-transistor dram cell device based on polycrystalline silicon with FinFET structure and fabrication method thereof
US20240114688A1 (en) Memory structure and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HSIEH, PING-PANG;LEE, CHIH-MING;CHEN, YU-JEN;AND OTHERS;REEL/FRAME:028538/0305

Effective date: 20120708

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION