US20140080324A1 - Multi-station sequential curing of dielectric films - Google Patents

Multi-station sequential curing of dielectric films Download PDF

Info

Publication number
US20140080324A1
US20140080324A1 US14/086,732 US201314086732A US2014080324A1 US 20140080324 A1 US20140080324 A1 US 20140080324A1 US 201314086732 A US201314086732 A US 201314086732A US 2014080324 A1 US2014080324 A1 US 2014080324A1
Authority
US
United States
Prior art keywords
wafer
exposure
film
radiation
exposure operation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/086,732
Inventor
Krishnan Shrinivasan
Michael Rivkin
Eugene Smargiassi
Mohamed Sabri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/115,576 external-priority patent/US8137465B1/en
Priority claimed from US11/369,311 external-priority patent/US8889233B1/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US14/086,732 priority Critical patent/US20140080324A1/en
Publication of US20140080324A1 publication Critical patent/US20140080324A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Definitions

  • NOVLP197/NVLS-3262 titled “MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS,” which, in turn, is a continuation-in-part of and claims priority under 35 U.S.C. ⁇ 120 to pending U.S. patent application Ser. No. 11/369,311, filed on Mar. 6, 2006, attorney docket no. NOVLP154/NVLS-3121, titled “Method For Reducing Stress In Porous Dielectric Films,” which, in turn, is a continuation-in-part of and claims priority under 35 U.S.C. ⁇ 120 to issued U.S. patent application Ser. No. 11/115,576, filed on Apr. 26, 2005, attorney docket no.
  • NOVLP127/NVLS-3044 titled “Single-Chamber Sequential Curing Of Semiconductor Wafers,” now U.S. Pat. No. 8,137,465, issued on Mar. 20, 2012, all of which are incorporated herein by reference in their entireties for all purposes.
  • This invention relates to methods for preparing and treating dielectric films. More specifically, the invention relates to exposing films to ultraviolet radiation and other process conditions to facilitate a desired change in film properties.
  • dielectric films are used to make an integrated circuit.
  • IC manufacturing requires dielectric films to have certain properties in order for the circuit to function as designed.
  • materials with low dielectric constants low-k.
  • low-k materials as the intermetal dielectric i.e., the layer of insulator separating consecutive levels of the conductive metal interconnects
  • a dielectric material of low dielectric constant will have low capacitance, and hence the RC delay of an IC constructed with such a material will be lower as well.
  • STI shallow trench isolation
  • One such treatment may be a thermal process in which the substrate is heated to a temperature for a time.
  • a thermal treatment may remove unwanted particles from the film, or change its stresses.
  • substrate temperatures generally need to be high (i.e., greater than about 400° Celsius) with exposure times typically on the order of hours.
  • these conditions can damage copper containing devices, especially in the application wherein a low-k dielectric is being cured.
  • nickel silicide precludes inducing film stress by using temperatures above 400° C. while some SiN films have a cure temperature up to 480° C.
  • the present invention addresses this need by providing improved apparatus and methods of preparing a dielectric material on a substrate.
  • the apparatus involves a multi-station chamber wherein radiation intensity, radiation wavelength, substrate temperature, and gas flow may be independently controlled at each station.
  • Each station may have different optical components selected to filter out or block certain radiation wavelengths, allow certain radiation wavelengths through, or to increase or reduce the intensity of the radiation.
  • the apparatus may be a semiconductor processing tool with multiple chambers having one or more stations wherein radiation intensity, radiation wavelength, substrate temperature, and gas flow may be independently controlled at each station.
  • the methods involve multiple operation ultraviolet curing processes in which radiation intensity, radiation wavelength, wafer substrate temperature and other conditions may be independently modulated in each operation.
  • a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film.
  • the film is exposed to UV radiation to increase cross-linking within the porous film.
  • a film containing silicon nitride is exposed to UV radiation and heat in a first operation to increase tensile stress and wafer temperature.
  • the film is exposed to UV radiation to further increase tensile stress in the film.
  • a spin-on ultra low k film is exposed to UV radiation in a first operation to evolve solvents on the film.
  • the film is exposed to UV radiation to increase cross-linking within the film.
  • FIG. 1 is a flowchart summarizing stages of a general process in accordance with the present invention.
  • FIG. 2 is a rough schematic representing UV absorption as a function of wavelength for certain processes in accordance with the present invention.
  • FIGS. 3 A and B are schematic representations of an apparatus suitable for UV porogen removal in accordance with certain embodiments of this invention.
  • FIG. 4 is a schematic representation of a UV source and filter.
  • the present invention involves a multi-station semiconductor processing chamber.
  • Each station has a wafer support and a radiation source.
  • the radiation source has one or more light generators and one or more optical components.
  • the radiation intensity and the wavelength output of the radiation source may be independently controlled at each station.
  • the wafer support temperature and gas flow may also be independently controlled at each station.
  • the present invention pertains to a multi-chamber semiconductor processing tool having at least one wafer processing station in each chamber and capable of independently control radiation intensity, radiation wavelengths, and wafer temperature at each station.
  • the present invention also involves processes to prepare and/or cure dielectric films on substrates by exposure to UV radiation. Effects of exposure to UV radiation or UV curing may include increased stress of the materials, increased cross-linking of the bonds of the material and densification the material. UV radiation is also used to remove porogen from a precursor layer containing a porogen and structure former or backbone, thereby forming a porous dielectric film. UV radiation can also be used to evolve solvents present in a film, such as a spin-on ULK film.
  • CDO dense low-k carbon-doped oxide
  • Methods of the invention modulate these effects in preference to one another.
  • the methods involve multiple operation cure processes, each operation having a particular desired result or effect, with conditions such as UV intensity and substrate temperature optimized for the particular desired result.
  • optimizing conditions for a desired effect involves independently controlling the UV intensity, UV wavelength range, and the substrate temperature in each operation (i.e., so that the substrate temperature is decoupled from the UV intensity and wavelength).
  • the methods of the invention may be performed in multi-station cure chambers, each station having a UV light source, and conditions at each station optimized for the desired result at that station.
  • UV curing is used to drive out porogen from composite porogen-CDO films, leaving a porous CDO matrix with a low k-value, generally between 2.0 and 2.6.
  • the methods of the present invention are used to prepare dielectric films from precursor films or layers that contain a structure former that serves as a backbone of a porous network, and a porogen, which generates the porous regions within the porous network.
  • the methods involve a multiple operation UV cure process in which porogen is removed in an initial exposure (or exposures) to UV radiation to form a porous dielectric film. This operation tends to make the film softer. Once the porogen is removed, the film is exposed to UV radiation to increase cross-linking within the film, thereby increasing the hardness. Films produced by these methods have lower stress than films produced using a single cure operation, while having comparable hardness and modulus as those films. The UV exposure characteristics of these operations are different so as to accomplish different effects on the film.
  • FIG. 1 is a flow chart depicting generic operations in accordance with the present invention.
  • a wafer is provided to a semiconductor processing tool 101 .
  • This wafer may or may not have a film deposited on it that will be the subject of the UV treatment. If the wafer does not include the film to be treated, an optional step would be to deposit such film onto the wafer 103 .
  • This deposition may be performed in a separate chamber or in a first station of a multi-station chamber. Based on the type of film to be treated and processing to be achieved, the wafer is then exposed to radiation under a first set of exposure conditions 105 .
  • These conditions may be radiation intensity, radiation wavelength(s) (either a single wavelength may be used or a spectrum or a range of wavelengths), wafer temperature, and gas flow. These conditions may be controlled independently from each other from operation to operation. In a second operation, the wafer is exposed to radiation at a second set of conditions 107 . Typically one or more of these conditions will be different from operation to operation, and additional operations may be used to vary one or more of these conditions 109 . An operation may include transferring the wafer between stations, so that the same operation is performed at more than one station, but under the same conditions.
  • An operation covering more than one station may be preferable in some cases to average out any radiation non-uniformity.
  • a light generator usually tubular, cannot uniformity irradiate all parts of the wafer.
  • a plurality of light generators and carefully designing the layout of these generators, the radiation across the wafer can be made more uniform, but not perfectly.
  • the generators may be laid out and relative orientation changed such that the total exposure from all of the light sources in the various stations is uniform over the wafer.
  • the wafer is removed from the semiconductor tool 111 .
  • the wafer may experience more processing before it is removed, for example, the wafer may be cooled, or more films may be deposited, before the wafer exits the semiconductor processing tool.
  • the UV cure processes described herein improve wafer throughput by reducing UV exposure time and/or parallel processing of wafers.
  • having a dedicated porogen removal step reduces required UV exposure time to harden the film by 75% resulting in a three-fold improvement in wafer throughput in the UV cure station.
  • Certain embodiments of the present invention involve depositing onto a wafer the film to be irradiated.
  • Different types of film may be deposited, depending on process requirements.
  • One such film is the low-k dielectric film.
  • a precursor film or layer that contains a “structure former”, typically a dielectric material, is deposited with a porogen, which generates the porous regions within the dielectric material.
  • the porogen is removed from the precursor film to create a porous low-k dielectric layer. Within the precursor film, the porogen resides in locations that will subsequently become void locations in the final dielectric film.
  • the precursor film may be formed by any of numerous techniques.
  • the structure former and porogen are co-deposited in one process. Any suitable deposition technique may be used, including spin-coating processes, print-on, dip coating, thermal process and chemical vapor deposition—particularly plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • the structure former and porogen are deposited separately in a two-phase process.
  • a template-forming precursor, solvent and catalyst are mixed and applied by spin-coat or print-on methods to form a template in a first process phase, then a silica-forming precursor is introduced to the formed template in a second process step such as supercritical infusion into a polymer matrix.
  • the thickness of the precursor film may range between about 15 nanometers and 3 micrometers.
  • a porogen is any removable material that defines void regions in a dielectric matrix. Frequently, though not necessarily, the porogen is an organic material.
  • the porogen is removed from the precursor film by a UV mediated method.
  • the UV light directly interacts with the porogen to chemically decompose or otherwise modify the porogen and produce products that are more easily removed than the porogen itself.
  • the UV exposure occurs in the presence of oxygen to create an oxidizing environment (e.g., ozone and/or oxygen radicals) that oxidizes the porogen. The oxidation products are more easily removed than the porogen itself. Note that in this approach, some UV radiation may interact directly with the porogen as well.
  • the UV radiation is tuned for absorption by the porogen in the first approach and tuned for oxygen activation in the second approach.
  • the UV radiation preferably has a high intensity component at a wavelength (or range of wavelengths) at or near an absorption peak of the porogen.
  • the porogen may be chosen to match the characteristics of an available UV source.
  • porogen is randomly distributed throughout the precursor film and other cases it is ordered in a repeating structure throughout the film.
  • the porogen is frequently referred to as a “template.”
  • One type of ordered porogen for example, is a block copolymer that has chemically distinct components (e.g. PEO polyethylene oxide and PPO polypropylene oxide) that segregate into separate phases.
  • PEO polyethylene oxide and PPO polypropylene oxide chemically distinct components
  • the porogen is a hydrocarbon.
  • precursor films listed by type of porogen molecules suitable for the present invention. “Low temperature porogens” are deposited below about 200 degrees C. and “high temperature porogens” are deposited above about 200 degrees C.
  • alpha-terpinenes include, for example, alpha-terpinene itself, substituted alpha-terpinenes, and multi-ring compounds containing the alpha-terpinene nucleus.
  • Other compounds include functional groups such as —CH ⁇ CH 2 , —CH ⁇ CH—, —C ⁇ CH, —C ⁇ C—, —C ⁇ O, —OCH 3 .
  • TMCP 1,2,3,4-tetramethyl-1,3-cyclopentadiene
  • Three-dimensional multi-ring compounds such as 5-ethylidene-2-norbornene (ENB) are also suitable.
  • Another ATRP compound that is preferred is D-limonene.
  • the porogen and structure former reside in the same compound. That is, the porogen is a removable moiety in a compound that contains moieties serving as structure formers covalently bonded to moieties serving as the porogen. Nominally, the porogen moiety is a large bulky organic substituent that will leave pores in the resulting dielectric film.
  • organic silanes such as di-tert-butylsilane, phenyldimethylsilane, and alkoxysilanes such as 5-(bicycloheptenyl)methyldimethoxysilane (BMDS) and 5-(bicycloheptenyl)triethoxysilane (BTS) (SiC 13 O 3 H 24 ). These compounds may be deposited using CVD or spin on methods, for example.
  • the structure former serves as a backbone for the resulting porous low-k film.
  • Many different chemical compositions may be used as the structure former.
  • the composition includes silicon and oxygen. Sometimes it also includes carbon and/or other elements and even metals. For relatively thick precursor layers, it will sometimes be desirable to use structure formers that are not opaque to the UV radiation.
  • precursors for structure formers include silanes, alkylsilanes (e.g., trimethylsilane and tetramethylsilane), alkoxysilanes (e.g., methyltriethoxysilane (MTEOS), methyltrimethoxysilane (MTMOS) diethoxymethylsilane (DEMS), methyldimethoxysilane (MDMOS), trimethylmethoxysilane (TMMOS) and dimethyldimethoxysilane (DMDMOS)), linear siloxanes and cyclic siloxanes (e.g.
  • MTEOS methyltriethoxysilane
  • MTMOS methyltrimethoxysilane
  • DEMS methyldimethoxysilane
  • MDMOS methyldimethoxysilane
  • TMOS trimethylmethoxysilane
  • DMDMOS dimethyldimethoxysilane
  • linear siloxanes and cyclic siloxanes e.g
  • octamethylcyclotetrasiloxane OCTS
  • TCTS tetramethylcyclotetrasiloxane
  • the thickness of the precursor film depends upon the ultimate application. For an interlayer dielectric or packaging application, the thickness may range from 100 angstroms up to about 2 to 3 microns. In some cases, extra thickness is required to provide some amount of sacrificial dielectric to accommodate a subsequent planarization operation. In some cases, the thickness of the precursor layer may be driven in part by the ability of the UV radiation to penetrate the film and remove the porogen. Therefore relatively thinner precursor layers may be required for some processes.
  • a silicon nitride (SiN) film may be deposited.
  • Silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation.
  • Silicon nitride films may be deposited with a LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process. The process to deposit silicon nitride is well known in the art.
  • UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. In some cases, the film deposition and the UV treatment may occur in the same semiconductor processing tool.
  • a spin-on film may be deposited onto the wafer.
  • the spin-on ULK film is applied to the wafer via a spinning process. First a liquid solution containing the film is applied to the wafer, and the wafer is spun to evenly spread the liquid solution to all parts of the wafer. After the film is applied to the wafer, it is cured to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film. Because the spin-on process requires a coater, a special equipment not yet integrated with UV radiation apparatus, the deposition and the UV treatment usually do not occur in the same semiconductor processing tool.
  • the ULK film may be applied to the wafer using a print-on method.
  • a collection of nozzles preferably actuated using sonic energy, is used to disperse the film-containing precursor solution on the wafer surface.
  • the nozzles are scanned across the wafer to produce a uniform film over its surface.
  • Subsequent curing is used in the same manner as that for spin-on films.
  • the curing process may include a heating step to drive away most of the solvent from the precursor film.
  • Subsequent UV treatment may be used to better penetrate the film to drive away residual solvent, and unreacted monomers & oligomers.
  • the UV treatment causes film hardening through cross-linking.
  • the sequential multi-station approach may use IR lamps for the first step, i.e., wherein bulk solvent removal is required. Subsequent steps leading up to film strengthening may then use UV radiation sources.
  • the porogen removal operation may be accomplished by thermal anneal, UV radiation, or a combination of both.
  • the UV radiation used with the invention encompasses near-UV, as well UV wavelengths.
  • the radiation used to facilitate porogen removal in accordance with this invention may have wavelengths ranging between about 156 and 500 nanometers.
  • the UV wavelength(s) should match the absorption characteristics of the porogen bonds to be broken and/or the oxidizing agent, if used. Common bonds to be targeted which contribute to the overall absorption characteristics of the porogen-containing film are Si—CH 3 , C—C, C ⁇ C and C—H.
  • ATRP has an absorption peak at approximately 250-270 nanometers; therefore, a UV source intended to interact directly with a film containing ATRP preferably has high intensity components in the range of about 220 to 285 nanometers.
  • the radiation may comprise a narrow range of wavelengths (e.g., most intensity exits in a band about 1 to 20 nanometers in width) or a broader range of wavelengths.
  • the UV light can directly interact with porogen bonds and thereby facilitate its decomposition or other breakdown mechanism.
  • the porogen decomposes into lower molecular weight components that exist in the vapor phase or can be easily vaporized and thereby removed from the precursor film.
  • the UV radiation can indirectly facilitate breakdown of the porogen. In one example, this is accomplished by the UV radiation interacting with a compound other than the porogen to convert that compound into a species that can attack the porogen and thereby facilitate its removal.
  • the UV radiation may convert molecular oxygen or other oxygen containing compounds present in a reaction chamber to produce a strong oxidant, which can then react with and break down the porogen.
  • the decomposition products of the porogen can be volatilized and removed from the precursor film.
  • one or more of substrate temperature, UV intensity and UV wavelength are set to result in porogen removal while minimizing the increase in the stress of the film.
  • the single conventional cure operation e.g., at 400° C. and 100% UV intensity, used for porogen removal and increasing hardness results in an increase in stress.
  • the porogen removal operation is performed at a lower UV intensity and/or lower temperature than subsequent cross-linking operations.
  • Temperatures during the porogen removal operation may be from around 250° C.-450° C. As indicated, in some embodiments the temperature during the porogen removal operation is lower than the temperature during the cross-linking operation.
  • the temperature during the porogen removal operation may range from about 250° C.-375° C.; in specific embodiments the temperature ranges from about 300° C.-350° C., about 300° C.-325° C., and about 350° C.-375° C. In one particular embodiment, the temperature is about 375° C. during the porogen removal operation.
  • temperatures during the cross-linking operation may be around 400° C. or greater.
  • UV intensity is lower than during the cross-linking operation.
  • UV intensity may be from about 0-60%, 0-70%, 0-80% or 0-90% than it is during the cross-linking operation.
  • UV intensity is about 50-90% of the intensity during the cross-linking operation.
  • the porogen removal operation may be accomplished by a thermal anneal (i.e., with zero UV intensity). Temperatures required for purely thermal anneal depend on the particular porogen used. Typical temperatures range from around 300° C.-450° C., e.g., around 400° C. The use of a thermal cure alone to remove porogen may be beneficial to reduce the total time of UV exposure.
  • both substrate temperature and UV intensity are lower during the porogen removal operation than for subsequent cross-linking operations. Unlike in a conventional single operation UV cure process, conditions during the porogen removal operation are such that the film is typically made softer or more compliant as a result of this operation.
  • the UV intensity is the same or higher during the porogen removal process as during subsequent cross-linking operations.
  • temperature but not UV intensity may be constant during porogen removal and cross-linking operations.
  • UV intensity may be constant (e.g., at 100%) for porogen removal and cross-linking operations, with temperature lower during the porogen removal operation.
  • FIG. 2 shows a rough schematic of UV absorption as a function of wavelength for a porogen and cross-linking in a dielectric material.
  • absorption of radiation by the backbone is highest shorter wavelengths, including wavelengths about 220-250 nm, and decreases around higher wavelengths.
  • Absorption of radiation by the porogen is dependent on the particular porogen used; the porogen shown in FIG. 2 has an absorption peak around 260 nm. It should be noted that the absorption spectra depicted are examples; the absorption spectra of the porogen and backbone depends on the particular compositions.
  • a first station of a multi-station cure chamber has a radiation source emitting radiation of wavelengths of common porogens, e.g., around 200 nm-500 nm, 200 nm-280 nm, or around 250 nm, while other stations dedicated to increasing the mechanical strength of the porogen have radiation sources that emit lower wavelength radiation.
  • UV exposure time is largely a function of both the lamp intensity and the density and thickness of the precursor layer including porogen and structure former. UV exposure times range from seconds to tens of hours. Preferably, for commercial applications, the exposure time will be about 5 minutes or less. In a preferred embodiment, the exposure time ranges from about 1 second up to 5 minutes. Purely thermal porogen removal operations may take longer, e.g., hours to tens of hours.
  • the UV radiation may directly interact with the porogen or it may generate a reactive species that in turn attacks the porogen.
  • the porogen removal operation is preferably performed an inert environment. This may be a vacuum, a noble gas atmosphere (e.g., argon or helium), or a nitrogen atmosphere, etc. In some embodiments, a quantity of hydrogen gas may be used as well. If reactive species are to be generated, then the atmosphere could include oxygen, N 2 O, CO 2 , NO 2 or a combination thereof.
  • molecular diatomic oxygen forms less stable but more aggressive oxidizing species such as ozone and oxygen radicals.
  • the ozone and oxygen radicals are sufficiently strong oxidizing agents to oxidize the organic porogen.
  • the porogen is then removed in the form of small volatile hydrocarbon molecules and oxygen containing species.
  • the film is typically soft and compliant.
  • the cross-linking operation increases the hardness and/or modulus of the film.
  • the cross-linking operation is so called because it is believed that it increases the number of crosslinks (i.e., the number of covalent bonds) within the dielectric film, thereby increasing the film hardness.
  • the invention is not limited to a particular mechanism of increasing the hardness and modulus. Hardness and modulus are well defined within the art and will not be discussed in detail herein. Measures of film hardness and modulus presented herein may be made with any suitable apparatus including nano-indenter device.
  • One way to characterize mechanical performance of a dielectric film is via a “cracking threshold” measurement.
  • This is a measure of thickness of a dielectric film on a blank substrate (e.g., a flat 300 mm wafer) that can be deposited without forming a crack.
  • the dielectric is deposited to various thicknesses using a single set of process conditions.
  • the resulting wafer (with dielectric film) is set aside without disturbance for a period of time (e.g., one day) and then examined for cracks.
  • the greatest thickness at which no crack is observed is the cracking threshold.
  • the cracking threshold is measured in micrometers.
  • the cracking threshold as well as crack propagation velocity in dielectric films is exponentially dependent on film stress. Thus it is important to minimize thin film stresses to prevent crack propagation through the dielectric layer during the packaging process.
  • multi-operation porogen removal and curing operations of the present invention result in minimizing the stress of the film.
  • the cross-linking operation is performed by exposing the porous dielectric film to radiation.
  • the film is exposed to ultraviolet radiation.
  • radiation having wavelengths as low as 150 nanometers may be used to increase cross-linking.
  • Substrate temperatures may range from around room temperature to about 450° C., for example around 400° C. As indicated, in certain embodiments, substrate temperatures during the cross-linking operation are typically higher than during porogen removal. In a particular embodiment, substrate temperature during porogen removal is about 375° C., and during the cross-linking operation around 400° C. In some embodiments, substrate temperature during the cross-linking operation or operations may be as high as the thermal budget allows.
  • UV intensity may also be higher during cross-linking than during porogen removal, as discussed above.
  • UV exposure time is largely a function of both the lamp intensity and the density of the precursor layer including porogen and structure former. UV exposure times range from seconds to tens of hours. Preferably, for commercial applications, the exposure time will be about 5 minutes or less. In a preferred embodiment, the exposure time ranges from about 1 second up to 5 minutes.
  • the UV radiation during the cross-linking operation may be modulated, e.g., by intensity, to facilitate mechanical strengthening.
  • modulated e.g., by intensity
  • a silicon nitride film may be treated to increase tensile stress in accordance with the present invention.
  • silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation.
  • Silicon nitride films may be deposited with an LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process.
  • UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress.
  • Tensile nitride films are used to create and transfer strain to the source and drain regions of NMOS devices. This strain is transferred to the channel regions of the NMOS devices and improves the mobility of carriers, and thus performance of the transistor in an integrated circuit.
  • UV radiation is known to increase silicon nitride film tensile stress. It is believed that UV radiation breaks Si—H and Si—OH bonds in a PECVD or LPCVD nitride film and allows the hydrogen and resulting water vapor to diffuse out of the film. The presence of bonded hydrogen in the film reduces the nominal spacing between the molecules and generates compressive stress. When the hydrogen is removed, the spacing between the molecules is increased and the film becomes more tensile.
  • the degree of change in tensile stress can be controlled. Factors include the thickness of the silicon nitride film, the power and intensity of the UV radiation, the duration of exposure, and the temperature of the wafer. By modulating these parameters, the change in tensile stress can be controlled. Of course, some purge gas is flowed to remove the hydrogen and water vapor removed from the film.
  • the UV treatment of silicon nitride has two operations: an initial bond breaking operation; and a bond breaking and diffusion operation. It is known in the art that a partially fabricated semiconductor wafer may be subjected to high temperature for a limited time. Every time a wafer is heated to a high temperature, the doped regions in the wafer may spread and regions of mismatched coefficients of thermal expansion may cause extrusions or even delaminations between layers. Every time a wafer is heated and cooled, between 400° C. and room temperature, more crystal dislocation forms, which may cause device failure. On the other hand, diffusion of hydrogen and water vapor through a film is correlated to wafer temperature.
  • the initial bond breaking operation occurs with a lower wafer temperature.
  • the wafer temperature is increased in the second operation to facilitate removal of the hydrogen and water vapor from the film.
  • the use of two operations to increase the tensile stress of silicon nitride films minimizes the duration of high wafer temperature and maximizes the bond-breaking effects of the UV radiation.
  • the wafer temperature may be as high as 480° C. during the higher temperature diffusion operation.
  • a spin-on ULK film may be treated with UV radiation first to evolve the solvent and then to harden and strengthen the film.
  • the spin-on ULK film is applied to the wafer via a spinning coating process.
  • the spin-on process was first used to apply a thin layer of photoresist. This simple process can planarize the surface of the wafer, because the liquid precursor can form a thin film covering all features.
  • the substrate After the substrate is coated with the liquid precursor, it must undergo annealing to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film.
  • different solvents may be used. Generally, two or three operations of bake and cure are used. An initial operation at a lower temperature is generally used to drive off the solvent and to complete chemical reactions and a second operation at a higher temperature is generally used to drive off unreacted materials and to densify the film.
  • UV radiation can be used instead of the thermal annealing process to complete the spin-on film deposition.
  • two or three operations can be performed in one semiconductor processing tool.
  • the solvents are first evolved from the spin-on film while the chemical reactions complete.
  • Liquid precursor solvents tend to be volatile and easily evolved; therefore, a lower wafer temperature and less UV intensity is required.
  • the purge gas flow rate must be high to remove all evolved solvent and keep the reactor clean.
  • the UV parameters must favor solvent evolution so as not to cause premature hardening and strengthening of the film and trap solvent particles in the dielectric film.
  • the UV wavelengths may be tuned to target the specific solvent. Longer wavelengths may be used to effectively volatilize the solvent without rearranging the chemical bonds within the film. Managing the relative rates at which solvent in a film is evolved and that at which the film hardens is important for the complete removal of solvent.
  • the next UV operation would be to drive off unreacted organic materials.
  • This step is very similar to the operations for porous ULK films.
  • the UV intensity and wafer temperature are increased to that for cross-linking.
  • the UV wavelengths are also changed to the spectral band that favors cross-linking.
  • the purge gas flow rate is still high, to remove any unreacted organic materials.
  • the remaining operation is the same as that for cross-linking of a porous ULK film, which is discussed above.
  • the apparatus will include one or more chambers (sometimes referred to as process reactors) that house one or more wafers and are suitable for wafer processing. At least one chamber will include a UV source. A single chamber may be employed for all operations of the invention or separate chambers may be used. Each chamber may house one or more wafers for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g., rotation, vibration, or other agitation) during UV treatment operations. For certain operations in which the wafer is to be heated, the apparatus may include a heating platen.
  • the multi-operation cure process is performed using a multi-station cure chamber.
  • the multiple operation cure processes of the invention rely on being able to independently modulate the UV intensity, wavelength, spectral distribution and substrate temperature of each step or operation.
  • certain inert or reactive gases may be injected during the cure process at the same or different flowrates at each step.
  • the porogen removal operation uses the same UV intensity but a lower substrate temperature than the cross-linking operation.
  • the porogen removal operation uses a lower substrate temperature and a lower UV intensity than the cross-linking operation.
  • various effects of UV exposure may be modulated by independently modulating UV intensity and substrate temperature. Detail process parameters of various examples are discussed below.
  • Multi-station cure chambers capable of modulating these effects by providing independent control of the substrate temperature and the UV intensity are described in above-referenced U.S. patent application Ser. No. 11/115,576 and in commonly assigned U.S. patent application Ser. No. 11/184,101, filed Jul. 18, 2005, titled “Cast Pedestal With Heating Element and Coaxial Heat Exchanger,” which is hereby incorporated by reference in its entirety and for all purposes.
  • chambers decouple substrate temperature and UV intensity by reducing the amount of IR radiation on the wafer and/or providing independent heat transfer mechanisms to and from the wafer.
  • the chambers may be equipped with cold mirrors or other reflectors to reduce the amount of IR radiation incident on the wafer.
  • each pedestal or other substrate support may have an independent heat transfer mechanism to help maintain a substrate temperature regardless of the UV intensity.
  • the substrate temperature and UV intensity may be independently set for a wide range of temperatures and intensities.
  • FIGS. 3A and 3B show one embodiment of an apparatus appropriate for use with certain embodiments of the invention that uses UV flood lamps.
  • Chamber 301 includes multiple cure stations 303 , 305 , 307 and 309 , each of which accommodates a wafer.
  • Station 303 includes transfer pins 319 .
  • FIG. 3B is a side view of the chamber showing stations 303 and 305 and wafers 313 and 315 located above pedestals 323 and 325 . There are gaps 304 between the wafers and the pedestals.
  • the wafer may be supported above the pedestal by an attachment, such as a pin, or floated on gas.
  • Parabolic or planar cold mirrors 353 and 355 are located above UV flood lamp sets 333 and 335 .
  • UV light from lamp sets 333 and 335 passes through windows 343 and 345 .
  • Wafers 303 and 305 are then exposed to the radiation.
  • the wafer may be supported by the pedestals 323 and 325 .
  • the lamps may or may not be equipped with cold mirrors.
  • the wafer temperature may be maintained by use of a conductive gas such as helium or a mixture of helium and argon at a sufficiently high pressure, typically between 50 and 760 Torr, but preferably between 100 and 600 Torr.
  • a wafer enters the chamber at station 303 where the porogen removal operation is performed.
  • Pedestal temperature at station 303 is set to a first temperature, e.g. 375° C., with the UV lamps above station 303 set to a first intensity, e.g., 70% maximum intensity.
  • the porous dielectric matrix is soft and is transferred to station 305 for hardening.
  • Pedestal temperature at station 305 is set to a second temperature, e.g., 400° C. and UV intensity is set to a second intensity, e.g. 100%, or maximum, intensity.
  • Stations 307 and 309 may also be used for increasing hardness. For example, conditions at stations 305 , 307 and 309 may be the same.
  • Each wafer is sequentially exposed to each UV light source
  • different stations irradiate the wafer at different wavelengths or wavelengths ranges.
  • the example above uses a UV flood lamp, which generates radiation in a broad spectrum.
  • Optical components may be used in the radiation source to modulate the part of the broad spectrum that reaches the wafer.
  • reflectors, filters, or combination of both reflectors and filters may be used to subtract a part of the spectrum from the radiation.
  • One such filter is a bandpass filter.
  • Optical bandpass filters are designed to transmit a specific waveband. They are composed of many thin layers of dielectric materials, which have differing refractive indices to produce constructive and destructive interference in the transmitted light. In this way optical bandpass filters can be designed to transmit a specific waveband only.
  • the range limitations are usually dependant upon the interference filters lens, and the composition of the thin-film filter material.
  • Incident light is passed through two coated reflecting surfaces. The distance between the reflective coatings determines which wavelengths will destructively interfere and which wavelengths will be allowed to pass through the coated surfaces. In situations where the reflected beams are in phase, the light will pass through the two reflective surfaces. However, if the wavelengths are out of phase, destructive interference will block most of the reflections, allowing almost nothing to transmit through. In this way, interference filters are able to attenuate the intensity of transmitted light at wavelengths that are higher or lower than the desired range.
  • FIG. 4 depicts UV flood lamp set 433 , incident light 435 , filter 443 and transmitted light 437 .
  • the window 343 is the quartz window, typically made of quartz.
  • the quartz window can be made to block radiations of undesired wavelengths.
  • High-purity Silica Quartz with very little metal impurity is more transparent deeper into the ultraviolet.
  • quartz with a thickness of 1 cm will have a transmittance of about 50% at a wavelength of 170 nm, which drops to only a few percent at 160 nm.
  • Increasing levels of impurities in the quartz cause transmission of UV at lower wavelengths to be reduced.
  • Electrically fused quartz has a greater presence of metallic impurities, limiting its UV transmittance wavelength to around 200 nm.
  • Synthetic silica has much greater purity and will transfer down to 170 nm.
  • the transmittance through quartz is determined by the water content. More water in the quartz means that infrared radiation is more likely absorbed.
  • the water content in the quartz may be controlled through the manufacturing process.
  • the spectrum of radiation transmission through the quartz window may be controlled to cutoff or reduce UV transmission at shorter wavelengths and/or to reduce infrared transmission at longer wavelengths.
  • UV cut-off filters Another type of filter is UV cut-off filters. These filters do not allow UV transmission below a set value, e.g. 280 nm. These filters work by absorbing wavelengths below the cut-off value. This may be helpful to optimize the desired cure effect.
  • UV flood lamps can generate a broad spectrum of radiation, from UV to infrared, but other light generators may be used to emit a smaller spectrum or to increase the intensity of a narrower spectrum.
  • Other light generators may be mercury-vapor lamps, doped mercury-vapor lamps, electrode lamps, excimer lamps, excimer lasers, pulsed Xenon lamps, doped Xenon lamps. Lasers such as excimer lasers can emit radiation of a single wavelength. When dopants are added to mercury-vapor and to Xenon lamps, radiation in a narrow wavelength band may be made more intense.
  • Common dopants are iron, nickel, cobalt, tin, zinc, indium, gallium, thallium, antimony, bismuth, or combinations of these.
  • mercury vapor lamps doped with indium emits strongly in the visible spectrum and around 450 nm; iron, at 360 nm; and gallium, at 320 nm. Radiation wavelengths can also be controlled by changing the fill pressure of the lamps.
  • high-pressure mercury vapor lamps can be made to emit wavelengths of 250 to 440 nm, particularly 310 to 350 nm more intensely. Low-pressure mercury vapor lamps emit at shorter wavelengths.
  • reflectors that preferentially deliver one or more segments of the lamps spectral output may be used.
  • a common reflector is a cold mirror that allows infrared radiation to pass but reflects other light.
  • Other reflectors that preferentially reflect light of a spectral band may be used. Therefore a wafer may be exposed to radiation of different wavelengths at different stations. Of course, the radiation wavelengths may be the same in some stations.
  • indexer 311 lifts and moves each wafer from one pedestal to another between each exposure period.
  • Indexer 311 is an indexer plate 321 attached to a motion mechanism 331 that has rotational and axial motion. Upward axial motion is imparted to indexer plate 321 to pick up wafers from each pedestal. The rotational motion serves to advance the wafers from one station to another. The motion mechanism then imparts downward axial motion to the plate to put the wafers down on the stations.
  • Pedestals 323 and 325 are electrically heated and maintained at a desired process temperature. Pedestals 323 and 325 may also be equipped with cooling lines, if needed. Each pedestal may have its own heating system. In an alternate embodiment, a large heater block may be used to support the wafers instead of individual pedestals. A thermally conductive gas, such as helium, is used to effect good thermal coupling between the pedestal and the wafer. In some embodiments, cast pedestals with coaxial heat exchangers may be used. These are described in above-referenced application Ser. No. 11/184,101.
  • FIGS. 3A and 3B show only an example of a suitable apparatus and other apparatuses designed for other methods involved in previous and/or subsequent processes may be used.
  • the wafer support is a carousel. Unlike with the stationary pedestal wafer supports, the wafers do not move relative to the carousel. After a wafer is loaded onto the carousel, the carousel rotates, if necessary, to expose the wafer to light from a UV lamp set. The carousel is stationary during the exposure period. After the exposure period, the carousel rotates to advance each wafer for exposure to the next set of lamps. Heating and cooling elements may be embedded within the rotating carousel. Alternatively the carousel may be in contact with a heater plate or hold the wafers so that they are suspended above a heater plate.
  • the substrates are exposed to UV radiation from focused, rather than, flood lamps. Unlike the flood lamp embodiments wherein the wafers are stationary during exposure (as in FIGS. 3A and b), there is relative movement between the wafers and the light sources during exposure to the focused lights as the wafers are scanned.
  • methods of the invention may be used with a standard PECVD chamber used to deposit the precursor layer if the chamber is equipped with a UV radiation source.
  • Some supercritical fluid chamber systems may also be configured to include a UV radiation source. Many of these systems may also be used to perform post-porogen removal procedures. In these cases, the chamber system will likely be pumped out between each of the process operations.
  • Example processes in accordance with the present invention are discussed below.
  • the example processes list parameters of wafer temperature, UV intensity as percentage of full power, desired spectral band as a wavelength range, and gas flow rate for each of stations one to four for a four-station chamber. These example processes are suitable for use in a Novellus SOLA, which uses a four-station sequential processing chamber. These example processes may also be suitable for use in other semiconductor processing equipment, such as ones that use multiple chambers or multi-stations chambers with fewer or more than four stations.
  • the first example relates to the porous PECVD ULK film embodiment referenced above.
  • Wafers having a porous ULK film deposited thereon are treated with UV radiation first to remove the porogens in the ULK film and then to strengthen and harden the film. Because the porogen becomes harder to remove after the ULK film is hardened and strengthened, the process conditions in the first station must favor porogen removal.
  • the wafer is heated to a temperature cooler than stations 2 to 4 because the ULK film hardens and strengthens more at higher temperatures.
  • the UV intensity is lower at station 1, at about 70% of maximum, which may be about 200 to 400 mW/cm 2 in the spectrum between 200 and 260 nm.
  • the UV wavelength range at station 1 is about 250-270 nm, a longer wavelength than at stations 2 to 4, which are at about 220-250 nm.
  • the different wavelengths may be achieved using different light generators and optical components.
  • the gas flow rates are higher in stations 1 and 2, at 17 standard liters per minute (slm), than stations 3 and 4, at 8 slm.
  • the higher gas flow purges the porogen from the wafer and inside surfaces of the chamber. By the time the wafer reaches stations 3 and 4, most of the porogen has generally been removed, and less purging is required.
  • the different gas flow rates may be accomplished by using different mass flow controllers (MFCs) from the same gas inlet header, or, in some instances when different gases are required in each station, different gas inlet headers.
  • MFCs mass flow controllers
  • station 1 differs from stations 3 and 4 in every process parameter, but is different from station 2 by three process parameters.
  • only the purge gas flow rate is different as between station 2 and stations 3 and 4.
  • Argon is a suitable purge gas, but helium or a mixture of argon and helium may be used. Process parameters are summarized in Table 1.
  • a silicon nitride film may be treated to increase tensile stress in accordance with the present invention.
  • silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation.
  • Silicon nitride films may be deposited with a LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process. UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. This tensile stress increase can be performed in two operations.
  • the wafer is heated to 400° C., in some cases up to 480° C., in the first station, while the UV intensity is at the maximum, about 200 to 400 mW/cm 2 .
  • the UV wavelength range is about 220-250 nm, similar to that for building ULK film strength and hardness.
  • the gas flow is at 4 slpm.
  • the wafer is heated to 415° C., and all the other parameters are kept about the same. Process parameters are summarized in Table 2.
  • a spin-on ULK film may be treated with UV radiation first to evolve the solvent and then to harden and strengthen the film.
  • the spin-on ULK film is applied to the wafer via a spinning process.
  • First a liquid solution containing the film is applied to the wafer, and the wafer is spun to evenly spread the liquid solution to all parts of the wafer.
  • UV radiation can be applied to the deposited film to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film.
  • These processes may be achieved in three operations. In the first station, the wafer temperature is kept at about 350° C., a little lower than stations 2 to 4, which are kept at 400° C.
  • the intensity is also lower at station 1, at about 70% of the maximum, which may be about 200 to 400 mW/cm 2 .
  • the UV wavelength range is at about 300-400 nm, which is longer than the 220-250 nm used in stations 2 to 4.
  • station 1 most the solvent is evolved from the spin-on ULK film. Premature hardening may trap some solvents and stop chemical reactions; therefore, station 1 parameters favor solvent evolution and not film hardening or strengthening.
  • the purge gas flows at a high rate, 17 slm, to remove all the solvents evolved from the film. In station 2, the purge gas flow is still high, but the wafer temperature, UV intensity and wavelength, are all changed to the parameters that favor film hardening and strengthening.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application claiming priority under 35 U.S.C. §120 from pending U.S. patent application Ser. No. 13/886,694, filed on May 3, 2013, attorney docket no. NOVLP197D1/NVLS003262D1, titled “MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS,” which, in turn, is a divisional application claiming priority under 35 U.S.C. §120 from pending U.S. patent application Ser. No. 11/688,695, filed on Mar. 20, 2007, attorney docket no. NOVLP197/NVLS-3262, titled “MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS,” which, in turn, is a continuation-in-part of and claims priority under 35 U.S.C. §120 to pending U.S. patent application Ser. No. 11/369,311, filed on Mar. 6, 2006, attorney docket no. NOVLP154/NVLS-3121, titled “Method For Reducing Stress In Porous Dielectric Films,” which, in turn, is a continuation-in-part of and claims priority under 35 U.S.C. §120 to issued U.S. patent application Ser. No. 11/115,576, filed on Apr. 26, 2005, attorney docket no. NOVLP127/NVLS-3044, titled “Single-Chamber Sequential Curing Of Semiconductor Wafers,” now U.S. Pat. No. 8,137,465, issued on Mar. 20, 2012, all of which are incorporated herein by reference in their entireties for all purposes.
  • FIELD OF THE INVENTION
  • This invention relates to methods for preparing and treating dielectric films. More specifically, the invention relates to exposing films to ultraviolet radiation and other process conditions to facilitate a desired change in film properties.
  • BACKGROUND
  • Many layers of dielectric films are used to make an integrated circuit. IC manufacturing requires dielectric films to have certain properties in order for the circuit to function as designed. For example, there is a general need for materials with low dielectric constants (low-k). Using low-k materials as the intermetal dielectric (i.e., the layer of insulator separating consecutive levels of the conductive metal interconnects) reduces the delay in signal propagation due to capacitive effects, otherwise know as the RC delay. A dielectric material of low dielectric constant will have low capacitance, and hence the RC delay of an IC constructed with such a material will be lower as well.
  • As another example, there is a general need for materials with specific tensile or compressive stresses. Increasing shallow trench isolation (STI) film tensile stress increases transistor drain current and device performance because the electron and hole mobilities are higher. Other applications require dielectric films to have compressive stress. These and other properties may be met on the film as deposited, or after treatment.
  • One such treatment may be a thermal process in which the substrate is heated to a temperature for a time. A thermal treatment may remove unwanted particles from the film, or change its stresses. These thermal processes, however, have certain difficulties. In particular, substrate temperatures generally need to be high (i.e., greater than about 400° Celsius) with exposure times typically on the order of hours. As is well known in the field, these conditions can damage copper containing devices, especially in the application wherein a low-k dielectric is being cured. Also, the use of nickel silicide precludes inducing film stress by using temperatures above 400° C. while some SiN films have a cure temperature up to 480° C.
  • To overcome these disadvantages of thermal processing, another technique has been developed, which involves exposing the film to UV radiation. Irradiation of the low-k or spacer nitride films permits modulation of desired film properties such as dielectric constant or film stress at lower temperatures. However, the use of UV radiation in such processes may result in deleterious side-effects unless special care is taken to deliver the precise wavelengths and to maintain the wafer temperature at an optimal level.
  • What is needed therefore are improved methods for treating dielectric films with UV radiation to obtain desired film properties.
  • SUMMARY
  • The present invention addresses this need by providing improved apparatus and methods of preparing a dielectric material on a substrate. The apparatus involves a multi-station chamber wherein radiation intensity, radiation wavelength, substrate temperature, and gas flow may be independently controlled at each station. Each station may have different optical components selected to filter out or block certain radiation wavelengths, allow certain radiation wavelengths through, or to increase or reduce the intensity of the radiation. In certain embodiments, the apparatus may be a semiconductor processing tool with multiple chambers having one or more stations wherein radiation intensity, radiation wavelength, substrate temperature, and gas flow may be independently controlled at each station.
  • The methods involve multiple operation ultraviolet curing processes in which radiation intensity, radiation wavelength, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, a film containing silicon nitride is exposed to UV radiation and heat in a first operation to increase tensile stress and wafer temperature. In a second operation, the film is exposed to UV radiation to further increase tensile stress in the film. In certain embodiments, a spin-on ultra low k film is exposed to UV radiation in a first operation to evolve solvents on the film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the film.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following detailed description can be more fully understood when considered in conjunction with the drawings in which:
  • FIG. 1 is a flowchart summarizing stages of a general process in accordance with the present invention.
  • FIG. 2 is a rough schematic representing UV absorption as a function of wavelength for certain processes in accordance with the present invention.
  • FIGS. 3 A and B are schematic representations of an apparatus suitable for UV porogen removal in accordance with certain embodiments of this invention.
  • FIG. 4 is a schematic representation of a UV source and filter.
  • DETAILED DESCRIPTION Introduction
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail to not unnecessarily obscure the present invention. While the invention will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the invention to the embodiments.
  • The present invention involves a multi-station semiconductor processing chamber. Each station has a wafer support and a radiation source. The radiation source has one or more light generators and one or more optical components. The radiation intensity and the wavelength output of the radiation source may be independently controlled at each station. The wafer support temperature and gas flow may also be independently controlled at each station. In certain embodiments, the present invention pertains to a multi-chamber semiconductor processing tool having at least one wafer processing station in each chamber and capable of independently control radiation intensity, radiation wavelengths, and wafer temperature at each station.
  • The present invention also involves processes to prepare and/or cure dielectric films on substrates by exposure to UV radiation. Effects of exposure to UV radiation or UV curing may include increased stress of the materials, increased cross-linking of the bonds of the material and densification the material. UV radiation is also used to remove porogen from a precursor layer containing a porogen and structure former or backbone, thereby forming a porous dielectric film. UV radiation can also be used to evolve solvents present in a film, such as a spin-on ULK film. It is often desirable to modulate one or more of these effects in preference to one another—for example, for dense low-k carbon-doped oxide (CDO) films, it is desirable to increase the mechanical properties of the films by cross-linking without a large increase in the stress of the film whereas for silicon nitride films, a large increase in the stress may be desirable. Similarly, in preparing porous low-k films from precursor layers, it may be desirable to remove porogen from a precursor layer and strengthen the backbone without causing the film thickness to shrink, and thereby causing a concomitant increase in stress. Further, in the case of porous low-k films, it may be desirable to remove the porogen from the film before its cross-linking commences.
  • Conventional UV curing processes use a single processing condition, e.g. 100% UV intensity and temperature of 400° C., that may be suitable for one or some of the effects listed above but may also cause an undesired effect. For example, porogen evolution and film strengthening occur at slightly different wavelengths. Using a broad spectrum UV radiation, SH—CH3 bonds in the film would cross-link rapidly. As a consequence of this cross-linking the film shrinks and rapidly densifies, trapping porogen in the matrix and preventing its successful evolution. This undesired effect causes the film to have a higher dielectric constant than desired.
  • Methods of the invention modulate these effects in preference to one another. In certain embodiments, the methods involve multiple operation cure processes, each operation having a particular desired result or effect, with conditions such as UV intensity and substrate temperature optimized for the particular desired result. Also in certain embodiments, optimizing conditions for a desired effect involves independently controlling the UV intensity, UV wavelength range, and the substrate temperature in each operation (i.e., so that the substrate temperature is decoupled from the UV intensity and wavelength). The methods of the invention may be performed in multi-station cure chambers, each station having a UV light source, and conditions at each station optimized for the desired result at that station.
  • UV curing is used to drive out porogen from composite porogen-CDO films, leaving a porous CDO matrix with a low k-value, generally between 2.0 and 2.6. In a particular embodiment, the methods of the present invention are used to prepare dielectric films from precursor films or layers that contain a structure former that serves as a backbone of a porous network, and a porogen, which generates the porous regions within the porous network. The methods involve a multiple operation UV cure process in which porogen is removed in an initial exposure (or exposures) to UV radiation to form a porous dielectric film. This operation tends to make the film softer. Once the porogen is removed, the film is exposed to UV radiation to increase cross-linking within the film, thereby increasing the hardness. Films produced by these methods have lower stress than films produced using a single cure operation, while having comparable hardness and modulus as those films. The UV exposure characteristics of these operations are different so as to accomplish different effects on the film.
  • FIG. 1 is a flow chart depicting generic operations in accordance with the present invention. Initially, a wafer is provided to a semiconductor processing tool 101. This wafer may or may not have a film deposited on it that will be the subject of the UV treatment. If the wafer does not include the film to be treated, an optional step would be to deposit such film onto the wafer 103. This deposition may be performed in a separate chamber or in a first station of a multi-station chamber. Based on the type of film to be treated and processing to be achieved, the wafer is then exposed to radiation under a first set of exposure conditions 105. These conditions may be radiation intensity, radiation wavelength(s) (either a single wavelength may be used or a spectrum or a range of wavelengths), wafer temperature, and gas flow. These conditions may be controlled independently from each other from operation to operation. In a second operation, the wafer is exposed to radiation at a second set of conditions 107. Typically one or more of these conditions will be different from operation to operation, and additional operations may be used to vary one or more of these conditions 109. An operation may include transferring the wafer between stations, so that the same operation is performed at more than one station, but under the same conditions.
  • An operation covering more than one station may be preferable in some cases to average out any radiation non-uniformity. Because the wafer is flat and circular, a light generator, usually tubular, cannot uniformity irradiate all parts of the wafer. Using optical components, a plurality of light generators, and carefully designing the layout of these generators, the radiation across the wafer can be made more uniform, but not perfectly. By exposing wafers in subsequent stations under the same exposure conditions but in a different relative orientation (between the light source and the wafer), any spots of non-uniformity are changed from station to station. The generators may be laid out and relative orientation changed such that the total exposure from all of the light sources in the various stations is uniform over the wafer. After the irradiation operations, the wafer is removed from the semiconductor tool 111. However, the wafer may experience more processing before it is removed, for example, the wafer may be cooled, or more films may be deposited, before the wafer exits the semiconductor processing tool.
  • In certain embodiments, the UV cure processes described herein improve wafer throughput by reducing UV exposure time and/or parallel processing of wafers. For example, in one embodiment, having a dedicated porogen removal step reduces required UV exposure time to harden the film by 75% resulting in a three-fold improvement in wafer throughput in the UV cure station.
  • Reference is made throughout the specification to multiple operations in which porogen is removed from a precursor film in an initial operation or operations, and cross-linking (and hardness) is increased in a subsequent operation or operations and for which temperature and UV intensity differentiate the porogen removal and cross-linking operations. As indicated, these processes may be used to produce low stress porous dielectric films having good mechanical strength. However, the multiple operations may also be used for other UV curing processes in which exposure conditions differ from stage to stage to increase any of the effects of UV radiation in preference to any other effect. Some of these UV curing processes include increasing the tensile stress in nitride film at different wafer temperatures and treatment spin-on ULK film to evolve solvent and to harden the film.
  • Forming the Precursor Film
  • Certain embodiments of the present invention involve depositing onto a wafer the film to be irradiated. Different types of film may be deposited, depending on process requirements. One such film is the low-k dielectric film. A precursor film or layer that contains a “structure former”, typically a dielectric material, is deposited with a porogen, which generates the porous regions within the dielectric material. In a method of this invention, the porogen is removed from the precursor film to create a porous low-k dielectric layer. Within the precursor film, the porogen resides in locations that will subsequently become void locations in the final dielectric film.
  • The precursor film may be formed by any of numerous techniques. In some methods, the structure former and porogen are co-deposited in one process. Any suitable deposition technique may be used, including spin-coating processes, print-on, dip coating, thermal process and chemical vapor deposition—particularly plasma enhanced chemical vapor deposition (PECVD). In alternative methods, the structure former and porogen are deposited separately in a two-phase process. For example, in some mesoporous films, a template-forming precursor, solvent and catalyst are mixed and applied by spin-coat or print-on methods to form a template in a first process phase, then a silica-forming precursor is introduced to the formed template in a second process step such as supercritical infusion into a polymer matrix. Depending on the application, the thickness of the precursor film may range between about 15 nanometers and 3 micrometers.
  • Generally, a porogen is any removable material that defines void regions in a dielectric matrix. Frequently, though not necessarily, the porogen is an organic material. In methods of the present invention, the porogen is removed from the precursor film by a UV mediated method. In a first approach, the UV light directly interacts with the porogen to chemically decompose or otherwise modify the porogen and produce products that are more easily removed than the porogen itself. In another approach, the UV exposure occurs in the presence of oxygen to create an oxidizing environment (e.g., ozone and/or oxygen radicals) that oxidizes the porogen. The oxidation products are more easily removed than the porogen itself. Note that in this approach, some UV radiation may interact directly with the porogen as well. In general, the UV radiation is tuned for absorption by the porogen in the first approach and tuned for oxygen activation in the second approach. Thus, in the first approach, the UV radiation preferably has a high intensity component at a wavelength (or range of wavelengths) at or near an absorption peak of the porogen. Of course, the porogen may be chosen to match the characteristics of an available UV source.
  • In some cases the porogen is randomly distributed throughout the precursor film and other cases it is ordered in a repeating structure throughout the film. In the case of an ordered porous or mesoporous dielectric matrix, the porogen is frequently referred to as a “template.” One type of ordered porogen, for example, is a block copolymer that has chemically distinct components (e.g. PEO polyethylene oxide and PPO polypropylene oxide) that segregate into separate phases. The discussion herein will refer to porogen and porogen materials in general and are intended to include any type of porogen, ordered or non-ordered, organic or inorganic, unless otherwise specified.
  • Frequently, the porogen is a hydrocarbon. The following is a non-comprehensive list of precursor films (listed by type of porogen molecules) suitable for the present invention. “Low temperature porogens” are deposited below about 200 degrees C. and “high temperature porogens” are deposited above about 200 degrees C.
  • Low Temperature Porogens High Temperature Porogens
    Aldehydes: CH2O, CH3CHO Alkenes: C2H4,
    CH3—CH═CH2, etc
    Alkenes: C2H4, CH3—CH═CH2, etc Terpene family
    compounds
    (e.g. alpha-terpinene)
    di-tert-butyl silane Terpene derivatives
    (such as terpinol)
    Esters Compounds with multiple
    active sites (e.g., ENB)
  • One preferred class of porogens is the polyfunctional cyclic non-aromatic compounds, particularly alpha-terpinenes (ATRP). Suitable alpha-terpinene derivatives include, for example, alpha-terpinene itself, substituted alpha-terpinenes, and multi-ring compounds containing the alpha-terpinene nucleus. Other compounds include functional groups such as —CH═CH2, —CH═CH—, —C≡CH, —C≡C—, —C═O, —OCH3. A typical example of these compounds is 1,2,3,4-tetramethyl-1,3-cyclopentadiene (TMCP) (C9H14). Three-dimensional multi-ring compounds such as 5-ethylidene-2-norbornene (ENB) are also suitable. Another ATRP compound that is preferred is D-limonene.
  • In some cases, the porogen and structure former reside in the same compound. That is, the porogen is a removable moiety in a compound that contains moieties serving as structure formers covalently bonded to moieties serving as the porogen. Nominally, the porogen moiety is a large bulky organic substituent that will leave pores in the resulting dielectric film. Examples of such species are organic silanes such as di-tert-butylsilane, phenyldimethylsilane, and alkoxysilanes such as 5-(bicycloheptenyl)methyldimethoxysilane (BMDS) and 5-(bicycloheptenyl)triethoxysilane (BTS) (SiC13O3H24). These compounds may be deposited using CVD or spin on methods, for example.
  • As indicated, the structure former serves as a backbone for the resulting porous low-k film. Many different chemical compositions may be used as the structure former. In some embodiments, the composition includes silicon and oxygen. Sometimes it also includes carbon and/or other elements and even metals. For relatively thick precursor layers, it will sometimes be desirable to use structure formers that are not opaque to the UV radiation.
  • Examples of precursors for structure formers include silanes, alkylsilanes (e.g., trimethylsilane and tetramethylsilane), alkoxysilanes (e.g., methyltriethoxysilane (MTEOS), methyltrimethoxysilane (MTMOS) diethoxymethylsilane (DEMS), methyldimethoxysilane (MDMOS), trimethylmethoxysilane (TMMOS) and dimethyldimethoxysilane (DMDMOS)), linear siloxanes and cyclic siloxanes (e.g. octamethylcyclotetrasiloxane (OMCTS) and tetramethylcyclotetrasiloxane (TMCTS)). Note that one example of a silane is di-tert-butylsilane, described above.
  • The thickness of the precursor film (and hence the resulting dielectric layer) depends upon the ultimate application. For an interlayer dielectric or packaging application, the thickness may range from 100 angstroms up to about 2 to 3 microns. In some cases, extra thickness is required to provide some amount of sacrificial dielectric to accommodate a subsequent planarization operation. In some cases, the thickness of the precursor layer may be driven in part by the ability of the UV radiation to penetrate the film and remove the porogen. Therefore relatively thinner precursor layers may be required for some processes.
  • In certain embodiments, a silicon nitride (SiN) film may be deposited. Silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation. Silicon nitride films may be deposited with a LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process. The process to deposit silicon nitride is well known in the art. UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. In some cases, the film deposition and the UV treatment may occur in the same semiconductor processing tool.
  • In certain embodiments, a spin-on film may be deposited onto the wafer. As its name states, the spin-on ULK film is applied to the wafer via a spinning process. First a liquid solution containing the film is applied to the wafer, and the wafer is spun to evenly spread the liquid solution to all parts of the wafer. After the film is applied to the wafer, it is cured to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film. Because the spin-on process requires a coater, a special equipment not yet integrated with UV radiation apparatus, the deposition and the UV treatment usually do not occur in the same semiconductor processing tool.
  • In some embodiments the ULK film may be applied to the wafer using a print-on method. Here a collection of nozzles, preferably actuated using sonic energy, is used to disperse the film-containing precursor solution on the wafer surface. The nozzles are scanned across the wafer to produce a uniform film over its surface. Subsequent curing is used in the same manner as that for spin-on films. The curing process may include a heating step to drive away most of the solvent from the precursor film. Subsequent UV treatment may be used to better penetrate the film to drive away residual solvent, and unreacted monomers & oligomers. Finally, the UV treatment causes film hardening through cross-linking. In this embodiment, the sequential multi-station approach may use IR lamps for the first step, i.e., wherein bulk solvent removal is required. Subsequent steps leading up to film strengthening may then use UV radiation sources.
  • Porogen Removal
  • The porogen removal operation may be accomplished by thermal anneal, UV radiation, or a combination of both. It will be appreciated by those skilled in the art that the UV radiation used with the invention encompasses near-UV, as well UV wavelengths. Thus, the radiation used to facilitate porogen removal in accordance with this invention may have wavelengths ranging between about 156 and 500 nanometers. As indicated, the UV wavelength(s) should match the absorption characteristics of the porogen bonds to be broken and/or the oxidizing agent, if used. Common bonds to be targeted which contribute to the overall absorption characteristics of the porogen-containing film are Si—CH3, C—C, C═C and C—H. For example, ATRP has an absorption peak at approximately 250-270 nanometers; therefore, a UV source intended to interact directly with a film containing ATRP preferably has high intensity components in the range of about 220 to 285 nanometers. Note that the radiation may comprise a narrow range of wavelengths (e.g., most intensity exits in a band about 1 to 20 nanometers in width) or a broader range of wavelengths.
  • As indicated, the UV light can directly interact with porogen bonds and thereby facilitate its decomposition or other breakdown mechanism. In a typical case, the porogen decomposes into lower molecular weight components that exist in the vapor phase or can be easily vaporized and thereby removed from the precursor film. Alternatively the UV radiation can indirectly facilitate breakdown of the porogen. In one example, this is accomplished by the UV radiation interacting with a compound other than the porogen to convert that compound into a species that can attack the porogen and thereby facilitate its removal. For example, the UV radiation may convert molecular oxygen or other oxygen containing compounds present in a reaction chamber to produce a strong oxidant, which can then react with and break down the porogen. Again, the decomposition products of the porogen can be volatilized and removed from the precursor film.
  • In embodiments wherein UV radiation is used to remove porogen, one or more of substrate temperature, UV intensity and UV wavelength are set to result in porogen removal while minimizing the increase in the stress of the film. As discussed above, the single conventional cure operation, e.g., at 400° C. and 100% UV intensity, used for porogen removal and increasing hardness results in an increase in stress. In certain embodiments of this invention, the porogen removal operation is performed at a lower UV intensity and/or lower temperature than subsequent cross-linking operations.
  • Temperatures during the porogen removal operation may be from around 250° C.-450° C. As indicated, in some embodiments the temperature during the porogen removal operation is lower than the temperature during the cross-linking operation. For example, the temperature during the porogen removal operation may range from about 250° C.-375° C.; in specific embodiments the temperature ranges from about 300° C.-350° C., about 300° C.-325° C., and about 350° C.-375° C. In one particular embodiment, the temperature is about 375° C. during the porogen removal operation. As discussed below, temperatures during the cross-linking operation may be around 400° C. or greater.
  • Also in certain embodiments, UV intensity is lower than during the cross-linking operation. For example, UV intensity may be from about 0-60%, 0-70%, 0-80% or 0-90% than it is during the cross-linking operation. In a specific embodiment, UV intensity is about 50-90% of the intensity during the cross-linking operation.
  • In some embodiments, the porogen removal operation may be accomplished by a thermal anneal (i.e., with zero UV intensity). Temperatures required for purely thermal anneal depend on the particular porogen used. Typical temperatures range from around 300° C.-450° C., e.g., around 400° C. The use of a thermal cure alone to remove porogen may be beneficial to reduce the total time of UV exposure.
  • In certain embodiments, both substrate temperature and UV intensity are lower during the porogen removal operation than for subsequent cross-linking operations. Unlike in a conventional single operation UV cure process, conditions during the porogen removal operation are such that the film is typically made softer or more compliant as a result of this operation. In certain embodiments, the UV intensity is the same or higher during the porogen removal process as during subsequent cross-linking operations. For example, in some embodiments, temperature but not UV intensity may be constant during porogen removal and cross-linking operations. In other embodiments, UV intensity may be constant (e.g., at 100%) for porogen removal and cross-linking operations, with temperature lower during the porogen removal operation.
  • In certain embodiments, conditions during the porogen removal operation and the cross-linking operation or operations are also differentiated by the wavelength of the UV radiation. FIG. 2 shows a rough schematic of UV absorption as a function of wavelength for a porogen and cross-linking in a dielectric material. As can be seen from the figure, absorption of radiation by the backbone is highest shorter wavelengths, including wavelengths about 220-250 nm, and decreases around higher wavelengths. Absorption of radiation by the porogen is dependent on the particular porogen used; the porogen shown in FIG. 2 has an absorption peak around 260 nm. It should be noted that the absorption spectra depicted are examples; the absorption spectra of the porogen and backbone depends on the particular compositions. In certain embodiments, a first station of a multi-station cure chamber has a radiation source emitting radiation of wavelengths of common porogens, e.g., around 200 nm-500 nm, 200 nm-280 nm, or around 250 nm, while other stations dedicated to increasing the mechanical strength of the porogen have radiation sources that emit lower wavelength radiation.
  • UV exposure time is largely a function of both the lamp intensity and the density and thickness of the precursor layer including porogen and structure former. UV exposure times range from seconds to tens of hours. Preferably, for commercial applications, the exposure time will be about 5 minutes or less. In a preferred embodiment, the exposure time ranges from about 1 second up to 5 minutes. Purely thermal porogen removal operations may take longer, e.g., hours to tens of hours.
  • As indicated the UV radiation may directly interact with the porogen or it may generate a reactive species that in turn attacks the porogen. If the exclusive mechanism is direct interaction, then the porogen removal operation is preferably performed an inert environment. This may be a vacuum, a noble gas atmosphere (e.g., argon or helium), or a nitrogen atmosphere, etc. In some embodiments, a quantity of hydrogen gas may be used as well. If reactive species are to be generated, then the atmosphere could include oxygen, N2O, CO2, NO2 or a combination thereof. When exposed to UV radiation, molecular diatomic oxygen forms less stable but more aggressive oxidizing species such as ozone and oxygen radicals. The ozone and oxygen radicals are sufficiently strong oxidizing agents to oxidize the organic porogen. The porogen is then removed in the form of small volatile hydrocarbon molecules and oxygen containing species.
  • If not removed quickly the volatile porogen removed from the substrate may adhere to the chamber walls or windows. The porogen may become a source of contamination for other substrates or cloud the windows and reduce the effectiveness of the UV radiation. U.S. patent application Ser. No. 11/391,134, filed March 2006 and titled PURGING OF POROGEN FROM UV CURE CHAMBER, describes mechanisms to ensure that the porogen is removed quickly, and is hereby incorporated by reference in its entirely, for all purposes. UV-mediated porogen removal is also discussed in commonly assigned U.S. patent application Ser. No. 10/672,311, filed Sep. 26, 2003 and titled METHOD OF POROGEN REMOVAL FROM POROUS LOW-K FILMS USING UV RADIATION, which is hereby incorporated by reference in its entirety, for all purposes.
  • Cross-Linking
  • As noted above, after the porogen removal operation, the film is typically soft and compliant. The cross-linking operation increases the hardness and/or modulus of the film. The cross-linking operation is so called because it is believed that it increases the number of crosslinks (i.e., the number of covalent bonds) within the dielectric film, thereby increasing the film hardness. However, the invention is not limited to a particular mechanism of increasing the hardness and modulus. Hardness and modulus are well defined within the art and will not be discussed in detail herein. Measures of film hardness and modulus presented herein may be made with any suitable apparatus including nano-indenter device.
  • One way to characterize mechanical performance of a dielectric film is via a “cracking threshold” measurement. This is a measure of thickness of a dielectric film on a blank substrate (e.g., a flat 300 mm wafer) that can be deposited without forming a crack. In a typical experiment, the dielectric is deposited to various thicknesses using a single set of process conditions. The resulting wafer (with dielectric film) is set aside without disturbance for a period of time (e.g., one day) and then examined for cracks. The greatest thickness at which no crack is observed is the cracking threshold. For many processes, the cracking threshold is measured in micrometers. The cracking threshold as well as crack propagation velocity in dielectric films is exponentially dependent on film stress. Thus it is important to minimize thin film stresses to prevent crack propagation through the dielectric layer during the packaging process. As indicated above, in certain embodiments, multi-operation porogen removal and curing operations of the present invention result in minimizing the stress of the film.
  • The cross-linking operation is performed by exposing the porous dielectric film to radiation. In certain embodiments, the film is exposed to ultraviolet radiation. However, as noted above, radiation having wavelengths as low as 150 nanometers may be used to increase cross-linking.
  • Substrate temperatures may range from around room temperature to about 450° C., for example around 400° C. As indicated, in certain embodiments, substrate temperatures during the cross-linking operation are typically higher than during porogen removal. In a particular embodiment, substrate temperature during porogen removal is about 375° C., and during the cross-linking operation around 400° C. In some embodiments, substrate temperature during the cross-linking operation or operations may be as high as the thermal budget allows.
  • UV intensity may also be higher during cross-linking than during porogen removal, as discussed above. UV exposure time is largely a function of both the lamp intensity and the density of the precursor layer including porogen and structure former. UV exposure times range from seconds to tens of hours. Preferably, for commercial applications, the exposure time will be about 5 minutes or less. In a preferred embodiment, the exposure time ranges from about 1 second up to 5 minutes.
  • The UV radiation during the cross-linking operation may be modulated, e.g., by intensity, to facilitate mechanical strengthening. This is described in the commonly assigned U.S. patent application Ser. No. 10/825,888, filed Apr. 16, 2004 and titled “Method To Improve Mechanical Strength Of Low-K Dielectric Film Using Modulated UV Exposure,” which is hereby incorporated by reference in its entirety.
  • Increasing Tensile Stress in Silicon Nitride Film
  • A silicon nitride film may be treated to increase tensile stress in accordance with the present invention. For certain applications, silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation. Silicon nitride films may be deposited with an LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process.
  • UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. Tensile nitride films are used to create and transfer strain to the source and drain regions of NMOS devices. This strain is transferred to the channel regions of the NMOS devices and improves the mobility of carriers, and thus performance of the transistor in an integrated circuit.
  • UV radiation is known to increase silicon nitride film tensile stress. It is believed that UV radiation breaks Si—H and Si—OH bonds in a PECVD or LPCVD nitride film and allows the hydrogen and resulting water vapor to diffuse out of the film. The presence of bonded hydrogen in the film reduces the nominal spacing between the molecules and generates compressive stress. When the hydrogen is removed, the spacing between the molecules is increased and the film becomes more tensile.
  • The degree of change in tensile stress can be controlled. Factors include the thickness of the silicon nitride film, the power and intensity of the UV radiation, the duration of exposure, and the temperature of the wafer. By modulating these parameters, the change in tensile stress can be controlled. Of course, some purge gas is flowed to remove the hydrogen and water vapor removed from the film.
  • In some embodiments, the UV treatment of silicon nitride has two operations: an initial bond breaking operation; and a bond breaking and diffusion operation. It is known in the art that a partially fabricated semiconductor wafer may be subjected to high temperature for a limited time. Every time a wafer is heated to a high temperature, the doped regions in the wafer may spread and regions of mismatched coefficients of thermal expansion may cause extrusions or even delaminations between layers. Every time a wafer is heated and cooled, between 400° C. and room temperature, more crystal dislocation forms, which may cause device failure. On the other hand, diffusion of hydrogen and water vapor through a film is correlated to wafer temperature. In order to minimize the duration of higher temperature exposure, the initial bond breaking operation occurs with a lower wafer temperature. As more hydrogen and hydroxyls are freed, the wafer temperature is increased in the second operation to facilitate removal of the hydrogen and water vapor from the film. The use of two operations to increase the tensile stress of silicon nitride films minimizes the duration of high wafer temperature and maximizes the bond-breaking effects of the UV radiation. In some cases, the wafer temperature may be as high as 480° C. during the higher temperature diffusion operation.
  • Spin-on Films
  • A spin-on ULK film may be treated with UV radiation first to evolve the solvent and then to harden and strengthen the film. As its name states, the spin-on ULK film is applied to the wafer via a spinning coating process. First a high viscosity liquid precursor containing the film is applied to the wafer while the wafer is spinning to evenly spread the liquid solution to all parts of the wafer. The spin-on process was first used to apply a thin layer of photoresist. This simple process can planarize the surface of the wafer, because the liquid precursor can form a thin film covering all features.
  • After the substrate is coated with the liquid precursor, it must undergo annealing to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film. Depending on the precursor, different solvents may be used. Generally, two or three operations of bake and cure are used. An initial operation at a lower temperature is generally used to drive off the solvent and to complete chemical reactions and a second operation at a higher temperature is generally used to drive off unreacted materials and to densify the film.
  • UV radiation can be used instead of the thermal annealing process to complete the spin-on film deposition. In accordance with the present invention, two or three operations can be performed in one semiconductor processing tool. Just like the thermal annealing process, the solvents are first evolved from the spin-on film while the chemical reactions complete. Liquid precursor solvents tend to be volatile and easily evolved; therefore, a lower wafer temperature and less UV intensity is required. However, the purge gas flow rate must be high to remove all evolved solvent and keep the reactor clean. The UV parameters must favor solvent evolution so as not to cause premature hardening and strengthening of the film and trap solvent particles in the dielectric film. The UV wavelengths may be tuned to target the specific solvent. Longer wavelengths may be used to effectively volatilize the solvent without rearranging the chemical bonds within the film. Managing the relative rates at which solvent in a film is evolved and that at which the film hardens is important for the complete removal of solvent.
  • The next UV operation would be to drive off unreacted organic materials. This step is very similar to the operations for porous ULK films. In this operation, the UV intensity and wafer temperature are increased to that for cross-linking. The UV wavelengths are also changed to the spectral band that favors cross-linking. The purge gas flow rate is still high, to remove any unreacted organic materials. After this operation, the remaining operation is the same as that for cross-linking of a porous ULK film, which is discussed above.
  • Apparatus
  • The present invention can be implemented in many different types of apparatus. Generally, the apparatus will include one or more chambers (sometimes referred to as process reactors) that house one or more wafers and are suitable for wafer processing. At least one chamber will include a UV source. A single chamber may be employed for all operations of the invention or separate chambers may be used. Each chamber may house one or more wafers for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g., rotation, vibration, or other agitation) during UV treatment operations. For certain operations in which the wafer is to be heated, the apparatus may include a heating platen.
  • In certain embodiments the multi-operation cure process is performed using a multi-station cure chamber. As discussed above, in certain embodiments, the multiple operation cure processes of the invention rely on being able to independently modulate the UV intensity, wavelength, spectral distribution and substrate temperature of each step or operation. Additionally, certain inert or reactive gases may be injected during the cure process at the same or different flowrates at each step. For example, in one embodiment, the porogen removal operation uses the same UV intensity but a lower substrate temperature than the cross-linking operation. In another embodiment, the porogen removal operation uses a lower substrate temperature and a lower UV intensity than the cross-linking operation. Similarly, various effects of UV exposure (porogen removal, change in stress, change in hardness, process time, etc.) may be modulated by independently modulating UV intensity and substrate temperature. Detail process parameters of various examples are discussed below.
  • Multi-station cure chambers capable of modulating these effects by providing independent control of the substrate temperature and the UV intensity are described in above-referenced U.S. patent application Ser. No. 11/115,576 and in commonly assigned U.S. patent application Ser. No. 11/184,101, filed Jul. 18, 2005, titled “Cast Pedestal With Heating Element and Coaxial Heat Exchanger,” which is hereby incorporated by reference in its entirety and for all purposes.
  • These chambers decouple substrate temperature and UV intensity by reducing the amount of IR radiation on the wafer and/or providing independent heat transfer mechanisms to and from the wafer. For example, the chambers may be equipped with cold mirrors or other reflectors to reduce the amount of IR radiation incident on the wafer. In addition, each pedestal or other substrate support may have an independent heat transfer mechanism to help maintain a substrate temperature regardless of the UV intensity. Thus, unlike conventional UV cure chambers where substrate temperature is coupled to UV intensity, the substrate temperature and UV intensity may be independently set for a wide range of temperatures and intensities.
  • FIGS. 3A and 3B show one embodiment of an apparatus appropriate for use with certain embodiments of the invention that uses UV flood lamps. Chamber 301 includes multiple cure stations 303, 305, 307 and 309, each of which accommodates a wafer. Station 303 includes transfer pins 319. FIG. 3B is a side view of the chamber showing stations 303 and 305 and wafers 313 and 315 located above pedestals 323 and 325. There are gaps 304 between the wafers and the pedestals. The wafer may be supported above the pedestal by an attachment, such as a pin, or floated on gas. Parabolic or planar cold mirrors 353 and 355 are located above UV flood lamp sets 333 and 335. UV light from lamp sets 333 and 335 passes through windows 343 and 345. Wafers 303 and 305 are then exposed to the radiation. In alternative embodiments, the wafer may be supported by the pedestals 323 and 325. In such embodiments, the lamps may or may not be equipped with cold mirrors. By making full contact with the pedestal, the wafer temperature may be maintained by use of a conductive gas such as helium or a mixture of helium and argon at a sufficiently high pressure, typically between 50 and 760 Torr, but preferably between 100 and 600 Torr.
  • In operation, a wafer (or other substrate) enters the chamber at station 303 where the porogen removal operation is performed. Pedestal temperature at station 303 is set to a first temperature, e.g. 375° C., with the UV lamps above station 303 set to a first intensity, e.g., 70% maximum intensity. After having some or all of the porogen removed in station 303, the porous dielectric matrix is soft and is transferred to station 305 for hardening. Pedestal temperature at station 305 is set to a second temperature, e.g., 400° C. and UV intensity is set to a second intensity, e.g. 100%, or maximum, intensity. Stations 307 and 309 may also be used for increasing hardness. For example, conditions at stations 305, 307 and 309 may be the same. Each wafer is sequentially exposed to each UV light source
  • In some cases, different stations irradiate the wafer at different wavelengths or wavelengths ranges. The example above uses a UV flood lamp, which generates radiation in a broad spectrum. Optical components may be used in the radiation source to modulate the part of the broad spectrum that reaches the wafer. For example, reflectors, filters, or combination of both reflectors and filters may be used to subtract a part of the spectrum from the radiation. One such filter is a bandpass filter.
  • Optical bandpass filters are designed to transmit a specific waveband. They are composed of many thin layers of dielectric materials, which have differing refractive indices to produce constructive and destructive interference in the transmitted light. In this way optical bandpass filters can be designed to transmit a specific waveband only. The range limitations are usually dependant upon the interference filters lens, and the composition of the thin-film filter material. Incident light is passed through two coated reflecting surfaces. The distance between the reflective coatings determines which wavelengths will destructively interfere and which wavelengths will be allowed to pass through the coated surfaces. In situations where the reflected beams are in phase, the light will pass through the two reflective surfaces. However, if the wavelengths are out of phase, destructive interference will block most of the reflections, allowing almost nothing to transmit through. In this way, interference filters are able to attenuate the intensity of transmitted light at wavelengths that are higher or lower than the desired range. FIG. 4 depicts UV flood lamp set 433, incident light 435, filter 443 and transmitted light 437.
  • Another filter that can attenuate the wavelengths of the radiation reaching the wafer is the window 343, typically made of quartz. By changing the level of metal impurities and water content, the quartz window can be made to block radiations of undesired wavelengths. High-purity Silica Quartz with very little metal impurity is more transparent deeper into the ultraviolet. As an example, quartz with a thickness of 1 cm will have a transmittance of about 50% at a wavelength of 170 nm, which drops to only a few percent at 160 nm. Increasing levels of impurities in the quartz cause transmission of UV at lower wavelengths to be reduced. Electrically fused quartz has a greater presence of metallic impurities, limiting its UV transmittance wavelength to around 200 nm. Synthetic silica, on the other hand, has much greater purity and will transfer down to 170 nm. For infrared radiation, the transmittance through quartz is determined by the water content. More water in the quartz means that infrared radiation is more likely absorbed. The water content in the quartz may be controlled through the manufacturing process. Thus, the spectrum of radiation transmission through the quartz window may be controlled to cutoff or reduce UV transmission at shorter wavelengths and/or to reduce infrared transmission at longer wavelengths.
  • Another type of filter is UV cut-off filters. These filters do not allow UV transmission below a set value, e.g. 280 nm. These filters work by absorbing wavelengths below the cut-off value. This may be helpful to optimize the desired cure effect.
  • Radiation wavelength can also be controlled by modifying the properties of the light generator. UV flood lamps can generate a broad spectrum of radiation, from UV to infrared, but other light generators may be used to emit a smaller spectrum or to increase the intensity of a narrower spectrum. Other light generators may be mercury-vapor lamps, doped mercury-vapor lamps, electrode lamps, excimer lamps, excimer lasers, pulsed Xenon lamps, doped Xenon lamps. Lasers such as excimer lasers can emit radiation of a single wavelength. When dopants are added to mercury-vapor and to Xenon lamps, radiation in a narrow wavelength band may be made more intense. Common dopants are iron, nickel, cobalt, tin, zinc, indium, gallium, thallium, antimony, bismuth, or combinations of these. For example, mercury vapor lamps doped with indium emits strongly in the visible spectrum and around 450 nm; iron, at 360 nm; and gallium, at 320 nm. Radiation wavelengths can also be controlled by changing the fill pressure of the lamps. For example, high-pressure mercury vapor lamps can be made to emit wavelengths of 250 to 440 nm, particularly 310 to 350 nm more intensely. Low-pressure mercury vapor lamps emit at shorter wavelengths.
  • In addition to changing light generator properties and the use of filters, reflectors that preferentially deliver one or more segments of the lamps spectral output may be used. A common reflector is a cold mirror that allows infrared radiation to pass but reflects other light. Other reflectors that preferentially reflect light of a spectral band may be used. Therefore a wafer may be exposed to radiation of different wavelengths at different stations. Of course, the radiation wavelengths may be the same in some stations.
  • In FIG. 3B, pedestals 323 and 325 are stationary. Indexer 311 lifts and moves each wafer from one pedestal to another between each exposure period. Indexer 311 is an indexer plate 321 attached to a motion mechanism 331 that has rotational and axial motion. Upward axial motion is imparted to indexer plate 321 to pick up wafers from each pedestal. The rotational motion serves to advance the wafers from one station to another. The motion mechanism then imparts downward axial motion to the plate to put the wafers down on the stations.
  • Pedestals 323 and 325 are electrically heated and maintained at a desired process temperature. Pedestals 323 and 325 may also be equipped with cooling lines, if needed. Each pedestal may have its own heating system. In an alternate embodiment, a large heater block may be used to support the wafers instead of individual pedestals. A thermally conductive gas, such as helium, is used to effect good thermal coupling between the pedestal and the wafer. In some embodiments, cast pedestals with coaxial heat exchangers may be used. These are described in above-referenced application Ser. No. 11/184,101.
  • FIGS. 3A and 3B show only an example of a suitable apparatus and other apparatuses designed for other methods involved in previous and/or subsequent processes may be used. For example, in another embodiment that uses flood lamps, the wafer support is a carousel. Unlike with the stationary pedestal wafer supports, the wafers do not move relative to the carousel. After a wafer is loaded onto the carousel, the carousel rotates, if necessary, to expose the wafer to light from a UV lamp set. The carousel is stationary during the exposure period. After the exposure period, the carousel rotates to advance each wafer for exposure to the next set of lamps. Heating and cooling elements may be embedded within the rotating carousel. Alternatively the carousel may be in contact with a heater plate or hold the wafers so that they are suspended above a heater plate.
  • In certain embodiments, the substrates are exposed to UV radiation from focused, rather than, flood lamps. Unlike the flood lamp embodiments wherein the wafers are stationary during exposure (as in FIGS. 3A and b), there is relative movement between the wafers and the light sources during exposure to the focused lights as the wafers are scanned.
  • Other apparatuses designed for other methods involved in previous and/or subsequent processes may be used. For example, methods of the invention may be used with a standard PECVD chamber used to deposit the precursor layer if the chamber is equipped with a UV radiation source. Some supercritical fluid chamber systems may also be configured to include a UV radiation source. Many of these systems may also be used to perform post-porogen removal procedures. In these cases, the chamber system will likely be pumped out between each of the process operations.
  • EXAMPLES
  • Example processes in accordance with the present invention are discussed below. The example processes list parameters of wafer temperature, UV intensity as percentage of full power, desired spectral band as a wavelength range, and gas flow rate for each of stations one to four for a four-station chamber. These example processes are suitable for use in a Novellus SOLA, which uses a four-station sequential processing chamber. These example processes may also be suitable for use in other semiconductor processing equipment, such as ones that use multiple chambers or multi-stations chambers with fewer or more than four stations.
  • The first example relates to the porous PECVD ULK film embodiment referenced above. Wafers having a porous ULK film deposited thereon are treated with UV radiation first to remove the porogens in the ULK film and then to strengthen and harden the film. Because the porogen becomes harder to remove after the ULK film is hardened and strengthened, the process conditions in the first station must favor porogen removal. In station 1, the wafer is heated to a temperature cooler than stations 2 to 4 because the ULK film hardens and strengthens more at higher temperatures. The UV intensity is lower at station 1, at about 70% of maximum, which may be about 200 to 400 mW/cm2 in the spectrum between 200 and 260 nm. The UV wavelength range at station 1 is about 250-270 nm, a longer wavelength than at stations 2 to 4, which are at about 220-250 nm. As discussed above, the different wavelengths may be achieved using different light generators and optical components. Further, the gas flow rates are higher in stations 1 and 2, at 17 standard liters per minute (slm), than stations 3 and 4, at 8 slm. In one particular implementation of this invention, the higher gas flow purges the porogen from the wafer and inside surfaces of the chamber. By the time the wafer reaches stations 3 and 4, most of the porogen has generally been removed, and less purging is required. The different gas flow rates may be accomplished by using different mass flow controllers (MFCs) from the same gas inlet header, or, in some instances when different gases are required in each station, different gas inlet headers. In this example, station 1 differs from stations 3 and 4 in every process parameter, but is different from station 2 by three process parameters. On the other hand, only the purge gas flow rate is different as between station 2 and stations 3 and 4. Argon is a suitable purge gas, but helium or a mixture of argon and helium may be used. Process parameters are summarized in Table 1.
  • TABLE 1
    Process Example for Porous PECVD ULK Film
    Parameter Station #1 Station #2 Station #3 Station #4
    Wafer 375° C. 400° C. 400° C. 400° C.
    Temperature
    UV Intensity 70% 100% 100% 100%
    (% of full
    power)
    Desired 250-270 nm 220-250 nm 220-250 nm 220-250 nm
    Spectral
    band
    Gas Flow Rate 10-25 slm 10-25 slm 5-12 slm 5-12 slm
  • As another example, a silicon nitride film may be treated to increase tensile stress in accordance with the present invention. For certain applications, silicon nitride may be used in place of silicon dioxide for top layer protection because it is harder and has better hermeticity, etch selectivity, and protects regions below it against oxidation. Silicon nitride films may be deposited with a LPCVD (low-pressure chemical vapor deposition) or a PECVD (plasma-enhanced chemical vapor deposition) process. UV radiation may be used to change the stress properties of the silicon nitride film, generally to increase its tensile stress. This tensile stress increase can be performed in two operations. In this example, the wafer is heated to 400° C., in some cases up to 480° C., in the first station, while the UV intensity is at the maximum, about 200 to 400 mW/cm2. The UV wavelength range is about 220-250 nm, similar to that for building ULK film strength and hardness. The gas flow is at 4 slpm. In stations 2 to 4, the wafer is heated to 415° C., and all the other parameters are kept about the same. Process parameters are summarized in Table 2.
  • TABLE 2
    Process Example for High Tensile Nitride Film
    Parameter Station #1 Station #2 Station #3 Station #4
    Wafer 400° C. 415° C. 415° C. 415° C.
    Temperature
    UV Intensity 100% 100% 100% 100%
    (% of full
    power)
    Desired 220-250 nm 220-250 nm 220-250 nm 220-250 nm
    Spectral band
    Gas Flow Rate 2-6 slm 2-6 slm 2-6 slm 2-6 slm
  • In yet another example, a spin-on ULK film may be treated with UV radiation first to evolve the solvent and then to harden and strengthen the film. As its name states, the spin-on ULK film is applied to the wafer via a spinning process. First a liquid solution containing the film is applied to the wafer, and the wafer is spun to evenly spread the liquid solution to all parts of the wafer. UV radiation can be applied to the deposited film to drive-off the solvent; complete chemical reactions; drive off unreacted monomers and oligomers; and to densify the film. These processes may be achieved in three operations. In the first station, the wafer temperature is kept at about 350° C., a little lower than stations 2 to 4, which are kept at 400° C. The intensity is also lower at station 1, at about 70% of the maximum, which may be about 200 to 400 mW/cm2. The UV wavelength range is at about 300-400 nm, which is longer than the 220-250 nm used in stations 2 to 4. In station 1, most the solvent is evolved from the spin-on ULK film. Premature hardening may trap some solvents and stop chemical reactions; therefore, station 1 parameters favor solvent evolution and not film hardening or strengthening. The purge gas flows at a high rate, 17 slm, to remove all the solvents evolved from the film. In station 2, the purge gas flow is still high, but the wafer temperature, UV intensity and wavelength, are all changed to the parameters that favor film hardening and strengthening. In this station, any remaining unreacted monomers and oligamers are removed under high purge gas flow. In stations 3 and 4, the purge gas flow is reduced to 8 slm while the other parameters remain constant. This example is similar to that of the porous ULK film example in Table 1, which also has three distinct stages. Process parameters are summarized in Table 3.
  • TABLE 3
    Process Example for Spin-on ULK Film
    Parameter Station #1 Station #2 Station #3 Station #4
    Wafer 350° C. 400° C. 400° C. 400° C.
    Temperature
    UV Intensity 70% 100% 100% 100%
    (% of full
    power)
    Desired 300-400 nm 220-250 nm 220-250 nm 220-250 nm
    Spectral band
    Gas Flow Rate 10-25 slm 10-25 slm 5-12 slm 5-12 slm
  • While this invention has been described in terms of several embodiments, there are alterations, modifications, permutations, and substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, modifications, permutations, and substitute equivalents as fall within the true spirit and scope of the present invention. The use of the singular in the claims does not mean “only one,” but rather “one or more,” unless otherwise stated in the claims.

Claims (19)

1. A method of processing a semiconductor wafer, the method comprising:
performing a plurality of exposure operations providing wafer exposure characteristics on a wafer, wherein:
at least two of the exposure operations differ with respect to at least one of the wafer exposure characteristics selected from the group consisting of: radiation intensity, radiation wavelength, and wafer support temperature,
each exposure operation of the plurality of exposure operations is performed in a common processing chamber, and
at least two of the exposure operations are performed in different stations of the common processing chamber.
2. The method of claim 1, wherein the plurality of exposure operations is two operations.
3. The method of claim 2, wherein the common processing chamber comprises 3 or more stations and two or more of the stations are used to provide wafer exposure characteristics that are the same.
4. The method of claim 3, wherein light sources used to provide the exposure operations at each of the two or more stations providing wafer exposure characteristics that are the same are oriented at different relative orientations with respect to the wafer.
5. The method of claim 4, wherein the common processing chamber comprises 4 or more stations and two or more of the stations are used to provide wafer exposure characteristics that are the same.
6. The method of claim 5, wherein light sources used to provide the exposure operations at each of the two or more stations providing wafer exposure characteristics that are the same are oriented at different relative orientations with respect to the wafer.
7. The method of claim 1, wherein a first exposure operation of the plurality of exposure operations is to evolve a gaseous by-product from a dielectric film and a second exposure operation of the plurality of exposure operations is to increase cross-linking in the film to harden the dielectric film.
8. The method of claim 7, wherein a porous ULK film on the wafer is subjected to the plurality of exposure operations to remove porogens and to increase cross-linking.
9. The method of claim 7, wherein a spin-on ULK film on the wafer is subjected to the plurality of exposure operations to evolve solvent and to increase cross-linking.
10. The method of claim 1, wherein a nitride film on the wafer is subjected to the plurality of exposure operations to increase tensile stress.
11. The method of claim 1, further comprising depositing a dielectric film on the wafer.
12. The method of claim 1, wherein:
a first exposure operation of the plurality of exposure operations is to ultraviolet (UV) radiation and the wafer exposure characteristics of the first exposure operation are selected to cause porogens in the wafer to be removed,
a second exposure operation of the plurality of exposure operations is to UV radiation and the wafer exposure characteristics of the second exposure operation are selected to increase cross-linking in the wafer, and
the second exposure operation is performed after the first exposure operation.
13. The method of claim 12, wherein:
the wafer temperature during the first exposure operation is held at between approximately 250° C. and 450° C.,
the wafer temperature during the second exposure operation is held at approximately 400° C. or greater, and
the wafer temperature during the second exposure operation is maintained at a higher temperature than the wafer temperature during the first exposure operation.
14. The method of claim 12, wherein the radiation intensity during the first exposure operation is held to approximately 50% to 90% of the radiation intensity of the second exposure operation.
15. The method of claim 12, wherein:
the radiation wavelength and the radiation intensity during the first exposure operation are biased towards one or more absorption wavelength peaks of the porogens, and
the radiation wavelength and the radiation intensity during the second exposure operation are biased towards one or more absorption wavelength peaks of the wafer.
16. The method of claim 15, wherein the first exposure operation is performed in an inert environment.
17. The method of claim 12, wherein:
the first exposure operation is performed while flowing oxygen gas into the station where the first exposure operation is performed and the radiation wavelength and radiation intensity during the first exposure operation are tuned for activating the oxygen, and
the radiation wavelength and radiation intensity during the second exposure operation are biased towards one or more absorption wavelength peaks of the wafer.
18. The method of claim 1, wherein:
a first exposure operation of the plurality of exposure operations has a radiation intensity of zero and non-zero wafer support temperature,
a second exposure operation of the plurality of exposure operations is to ultraviolet radiation and the wafer exposure characteristics of the second exposure operation are selected to increase cross-linking in the wafer, and
the second exposure operation is performed after the first exposure operation.
19. The method of claim 18, wherein:
the first exposure operation has a wafer support temperature between approximately 300° C. and 450° C.
US14/086,732 2005-04-26 2013-11-21 Multi-station sequential curing of dielectric films Abandoned US20140080324A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/086,732 US20140080324A1 (en) 2005-04-26 2013-11-21 Multi-station sequential curing of dielectric films

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/115,576 US8137465B1 (en) 2005-04-26 2005-04-26 Single-chamber sequential curing of semiconductor wafers
US11/369,311 US8889233B1 (en) 2005-04-26 2006-03-06 Method for reducing stress in porous dielectric films
US11/688,695 US8454750B1 (en) 2005-04-26 2007-03-20 Multi-station sequential curing of dielectric films
US13/886,694 US8629068B1 (en) 2005-04-26 2013-05-03 Multi-station sequential curing of dielectric films
US14/086,732 US20140080324A1 (en) 2005-04-26 2013-11-21 Multi-station sequential curing of dielectric films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/886,694 Division US8629068B1 (en) 2005-04-26 2013-05-03 Multi-station sequential curing of dielectric films

Publications (1)

Publication Number Publication Date
US20140080324A1 true US20140080324A1 (en) 2014-03-20

Family

ID=48484239

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/688,695 Active 2026-07-05 US8454750B1 (en) 2005-04-26 2007-03-20 Multi-station sequential curing of dielectric films
US13/886,694 Active US8629068B1 (en) 2005-04-26 2013-05-03 Multi-station sequential curing of dielectric films
US14/086,732 Abandoned US20140080324A1 (en) 2005-04-26 2013-11-21 Multi-station sequential curing of dielectric films

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/688,695 Active 2026-07-05 US8454750B1 (en) 2005-04-26 2007-03-20 Multi-station sequential curing of dielectric films
US13/886,694 Active US8629068B1 (en) 2005-04-26 2013-05-03 Multi-station sequential curing of dielectric films

Country Status (1)

Country Link
US (3) US8454750B1 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing
US20130284087A1 (en) * 2005-12-05 2013-10-31 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a uv-cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
CN105336677A (en) * 2014-08-01 2016-02-17 中芯国际集成电路制造(上海)有限公司 Forming method of semiconductor structure
US9384959B2 (en) 2005-04-26 2016-07-05 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20180082835A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Uv radiation system and method for arsenic outgassing control in sub 7nm cmos fabrication
US10240236B2 (en) 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN110052609A (en) * 2019-05-29 2019-07-26 中国航空制造技术研究院 The coaxial fuse deposition formation equipment of electron beam tow
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
WO2023163861A1 (en) * 2022-02-28 2023-08-31 Lam Research Corporation Wafer bow compensation by patterned uv cure

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
CN100358098C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Semiconductor arts piece processing device
US9112090B2 (en) * 2012-01-31 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. UV radiation recovery of image sensor
KR20140091203A (en) * 2013-01-10 2014-07-21 삼성전자주식회사 An apparatus and method to reduce the residual stress of semiconductor
US9093265B2 (en) * 2013-10-15 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. High UV curing efficiency for low-k dielectrics
DE102014108141A1 (en) * 2014-02-21 2015-08-27 Von Ardenne Gmbh Method and processing arrangement for processing a metal substrate
US9263350B2 (en) 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9659765B2 (en) 2014-07-21 2017-05-23 Applied Materials, Inc. Enhancement of modulus and hardness for UV-cured ultra low-k dielectric films
US10049886B2 (en) 2014-10-30 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for damage reduction in light-assisted processes
US20160138160A1 (en) * 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5028560A (en) * 1988-06-21 1991-07-02 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate

Family Cites Families (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3504181A (en) 1966-10-06 1970-03-31 Westinghouse Electric Corp Silicon carbide solid state ultraviolet radiation detector
US3704219A (en) * 1971-04-07 1972-11-28 Mcdowell Electronics Inc Impedance matching network for use with sputtering apparatus
US3983385A (en) 1974-08-23 1976-09-28 Union Carbide Corporation Method and apparatus for operating a mercury vapor lamp
US4313969A (en) 1979-09-10 1982-02-02 Fusion Systems Corporation Method and apparatus for providing low gloss and gloss controlled radiation-cured coatings
US4357451A (en) 1980-05-21 1982-11-02 Phillips Petroleum Company Chemical dehydroxylation of silica
US4391663A (en) 1980-12-05 1983-07-05 Hutter Iii Charles G Method of curing adhesive
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
US4527620A (en) 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4654226A (en) 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4837289A (en) 1987-04-30 1989-06-06 Ciba-Geigy Corporation UV- and heat curable terminal polyvinyl functional macromers and polymers thereof
JPS63307740A (en) * 1987-06-09 1988-12-15 Semiconductor Energy Lab Co Ltd Photochemical reaction processing device
US4751191A (en) 1987-07-08 1988-06-14 Mobil Solar Energy Corporation Method of fabricating solar cells with silicon nitride coating
US4956582A (en) * 1988-04-19 1990-09-11 The Boeing Company Low temperature plasma generator with minimal RF emissions
US5174881A (en) 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JPH01296611A (en) * 1988-05-25 1989-11-30 Canon Inc Semiconductor thin film deposition
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
JP2765890B2 (en) 1988-12-09 1998-06-18 株式会社日立製作所 Plasma ion source trace element mass spectrometer
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
US5166101A (en) 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
US5005519A (en) 1990-03-14 1991-04-09 Fusion Systems Corporation Reaction chamber having non-clouded window
JPH03277774A (en) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd Photo-vapor reaction device
EP0456479B1 (en) 1990-05-09 2001-01-31 Canon Kabushiki Kaisha Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
JPH0675200B2 (en) 1990-05-18 1994-09-21 株式会社オーク製作所 Cooling structure for exposure equipment
US5268320A (en) 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
US5240746A (en) 1991-02-25 1993-08-31 Delco Electronics Corporation System for performing related operations on workpieces
US5962085A (en) 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0812847B2 (en) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JPH0531735A (en) * 1991-08-02 1993-02-09 Canon Inc Apparatus for molding optical element
JP2925799B2 (en) 1991-08-30 1999-07-28 ホーヤ株式会社 Optical filter structure for weather resistance tester and weather resistance tester
US5298939A (en) 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
JP2934084B2 (en) * 1991-11-25 1999-08-16 キヤノン株式会社 Molding equipment
US5215588A (en) 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5582880A (en) 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
US5339198A (en) 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US5407524A (en) * 1993-08-13 1995-04-18 Lsi Logic Corporation End-point detection in plasma etching by monitoring radio frequency matching network
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
DE4419234A1 (en) 1994-06-01 1995-12-07 Wacker Chemie Gmbh Process for the silylation of inorganic oxides
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5840600A (en) 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JP3632256B2 (en) 1994-09-30 2005-03-23 株式会社デンソー Manufacturing method of semiconductor device having silicon nitride film
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5518959A (en) 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
US5795448A (en) 1995-12-08 1998-08-18 Sony Corporation Magnetic device for rotating a substrate
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US6143063A (en) 1996-03-04 2000-11-07 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
JP4180659B2 (en) 1996-03-28 2008-11-12 インテル・コーポレーション Memory cell design with vertical stack crossing
US5674783A (en) 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
US5700844A (en) 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6280171B1 (en) 1996-06-14 2001-08-28 Q2100, Inc. El apparatus for eyeglass lens curing using ultraviolet light
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5743581A (en) 1997-03-18 1998-04-28 Applied Materials Incorporated Semiconductor process chamber exhaust port quartz removal tool
JPH10284360A (en) 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
US6044329A (en) 1997-06-19 2000-03-28 Kware Software Systems Inc. Laser gas analyzer and a method of operating the laser to reduce non-linearity errors
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US8075789B1 (en) 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6080965A (en) 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
JPH1197446A (en) 1997-09-18 1999-04-09 Tokyo Electron Ltd Vertical heat treatment equipment
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
JPH11209876A (en) 1998-01-26 1999-08-03 Nippon Asm Kk Thin film forming device and its method
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6291800B1 (en) 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
JP4521992B2 (en) 1998-04-01 2010-08-11 旭化成株式会社 Manufacturing method of wiring structure
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
KR100343134B1 (en) 1998-07-09 2002-10-25 삼성전자 주식회사 Method for forming a dielectric film
US6900413B2 (en) 1998-08-12 2005-05-31 Aviza Technology, Inc. Hot wall rapid thermal processor
US6150272A (en) 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6407007B1 (en) 1998-11-17 2002-06-18 Taiwan Semiconductor Manufacturing Company Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer
US6290589B1 (en) 1998-12-09 2001-09-18 Applied Materials, Inc. Polishing pad with a partial adhesive coating
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
KR20000043888A (en) 1998-12-29 2000-07-15 김영환 Method for manufacturing flash memory device
US6239018B1 (en) * 1999-02-01 2001-05-29 United Microelectronics Corp. Method for forming dielectric layers
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
JP3410385B2 (en) 1999-04-19 2003-05-26 株式会社ディスコ Cleaning equipment and cutting equipment
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
JP2001104776A (en) * 1999-10-06 2001-04-17 Tokyo Electron Ltd Treatment apparatus and method
WO2000070666A1 (en) * 1999-05-14 2000-11-23 Tokyo Electron Limited Method and apparatus for processing
US6524389B1 (en) 1999-05-24 2003-02-25 Tokyo Electron Limited Substrate processing apparatus
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6136963A (en) 1999-07-27 2000-10-24 Heska Corporation Parasitic helminth DiAg2 nucleic acid molecules, and uses thereof
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
ATE414326T1 (en) 1999-08-17 2008-11-15 Applied Materials Inc METHOD AND APPARATUS FOR IMPROVING THE PROPERTIES OF A LOW-K SI-O-C FILM
KR100338057B1 (en) * 1999-08-26 2002-05-24 황 철 주 Antenna device for generating inductively coupled plasma
US6242717B1 (en) 1999-08-30 2001-06-05 Lucent Technologies Inc. Removable reflector rack for an ultraviolet curing oven
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6740566B2 (en) 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
JP4774568B2 (en) 1999-10-01 2011-09-14 ソニー株式会社 Manufacturing method of semiconductor device
JP2001329088A (en) 1999-10-18 2001-11-27 Nippon Sheet Glass Co Ltd Silicon dioxide coated polyolefin resin and its manufacturing method
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6580094B1 (en) 1999-10-29 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Electro luminescence display device
US6530380B1 (en) 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6629012B1 (en) 2000-01-06 2003-09-30 Advanced Micro Devices Inc. Wafer-less qualification of a processing tool
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6367412B1 (en) 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
JP3419745B2 (en) 2000-02-28 2003-06-23 キヤノン販売株式会社 Semiconductor device and manufacturing method thereof
US6329062B1 (en) 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6387453B1 (en) 2000-03-02 2002-05-14 Sandia Corporation Method for making surfactant-templated thin films
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6554905B1 (en) 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
US6572252B1 (en) 2000-04-25 2003-06-03 Advanced Micro Devices, Inc. System and method for illuminating a semiconductor processing system
KR100427423B1 (en) 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Inner tube for cvd apparatus
US6444715B1 (en) 2000-06-06 2002-09-03 Honeywell International Inc. Low dielectric materials and methods of producing same
JP3910344B2 (en) 2000-06-28 2007-04-25 リンテック株式会社 Process film for manufacturing ceramic green sheets
US6485599B1 (en) 2000-07-11 2002-11-26 International Business Machines Corporation Curing of sealants using multiple frequencies of radiation
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
JP4731694B2 (en) 2000-07-21 2011-07-27 東京エレクトロン株式会社 Semiconductor device manufacturing method and substrate processing apparatus
US6391932B1 (en) 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
AU2001288954A1 (en) 2000-09-13 2002-03-26 Shipley Company, L.L.C. Electronic device manufacture
US6856712B2 (en) 2000-11-27 2005-02-15 University Of Washington Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
JP3516941B2 (en) 2000-11-30 2004-04-05 キヤノン販売株式会社 Semiconductor device and manufacturing method thereof
AU2002236528A1 (en) 2000-11-30 2002-06-11 Shipley Company, L.L.C. Uv-free curing of organic dielectrica
TWI313059B (en) 2000-12-08 2009-08-01 Sony Corporatio
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
KR100384850B1 (en) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 Method for forming Ta2O5 dielectric layer
JP4694768B2 (en) 2001-01-04 2011-06-08 レーザー・イメージング・システムズ・ゲーエムベーハー・ウント・カンパニー・カーゲー Direct pattern writer
US6451685B1 (en) 2001-02-05 2002-09-17 Micron Technology, Inc. Method for multilevel copper interconnects for ultra large scale integration
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
KR100897771B1 (en) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
JP4335469B2 (en) 2001-03-22 2009-09-30 株式会社荏原製作所 Method and apparatus for adjusting gas circulation rate of vacuum exhaust device
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP4682456B2 (en) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ Substrate processing method and substrate processing apparatus
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR20030002993A (en) 2001-06-29 2003-01-09 학교법인 포항공과대학교 Process for the formation of low dielectric thin films
US8796589B2 (en) 2001-07-15 2014-08-05 Applied Materials, Inc. Processing system with the dual end-effector handling
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP2003115576A (en) 2001-10-03 2003-04-18 Matsushita Electric Ind Co Ltd Method for manufacturing electronic device
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6899857B2 (en) 2001-11-13 2005-05-31 Chartered Semiconductors Manufactured Limited Method for forming a region of low dielectric constant nanoporous material using a microemulsion technique
TW200303289A (en) 2001-11-21 2003-09-01 Univ Massachusetts Mesoporous materials and methods
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP2003234402A (en) 2002-02-12 2003-08-22 Tokyo Electron Ltd Method and apparatus for manufacturing semiconductor
DE10208450B4 (en) 2002-02-27 2004-09-16 Infineon Technologies Ag Process for the deposition of thin layers by means of ALD / CVD processes in connection with fast thermal processes
US6805801B1 (en) 2002-03-13 2004-10-19 Novellus Systems, Inc. Method and apparatus to remove additives and contaminants from a supercritical processing solution
JP2003273111A (en) 2002-03-14 2003-09-26 Seiko Epson Corp Method for forming film and device manufactured by using the method, and method for manufacturing device
JP4090347B2 (en) 2002-03-18 2008-05-28 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
KR100480500B1 (en) 2002-04-25 2005-04-06 학교법인 포항공과대학교 Process for depositing insulating film on substrate at low temperature
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6873051B1 (en) 2002-05-31 2005-03-29 Advanced Micro Devices, Inc. Nickel silicide with reduced interface roughness
KR100469126B1 (en) 2002-06-05 2005-01-29 삼성전자주식회사 Method of forming a thin film with a low hydrogen contents
US6812167B2 (en) 2002-06-05 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for improving adhesion between dielectric material layers
JP2004014841A (en) 2002-06-07 2004-01-15 Fujitsu Ltd Semiconductor device and its manufacturing method
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6644786B1 (en) 2002-07-08 2003-11-11 Eastman Kodak Company Method of manufacturing a thermally actuated liquid control device
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US6869862B2 (en) 2002-08-09 2005-03-22 Texas Instruments Incorporated Method for improving a physical property defect value of a gate dielectric
US7234584B2 (en) 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6759342B2 (en) 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US20050025892A1 (en) 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US7160813B1 (en) 2002-11-12 2007-01-09 Novellus Systems, Inc. Etch back process approach in dual source plasma reactors
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6924222B2 (en) 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US6831284B2 (en) 2002-11-21 2004-12-14 Applied Materials, Inc. Large area source for uniform electron beam generation
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
KR100483290B1 (en) 2002-12-14 2005-04-15 동부아남반도체 주식회사 Method For Manufacturing Semiconductor Devices
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
KR20050084450A (en) 2002-12-19 2005-08-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. Stress-free composite substrate and method of manufacturing such a composite substrate
US20060121208A1 (en) 2003-01-09 2006-06-08 Siegel Stephen B Multiple wavelength UV curing
US7767056B2 (en) * 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
US20040152239A1 (en) 2003-01-21 2004-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interface improvement by electron beam process
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US6740602B1 (en) 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US7169715B2 (en) 2003-03-21 2007-01-30 Intel Corporation Forming a dielectric layer using porogens
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
JP4026529B2 (en) 2003-04-10 2007-12-26 東京エレクトロン株式会社 Shower head structure and processing apparatus
US7301148B2 (en) 2003-04-23 2007-11-27 Battelle Memorial Institute Methods and systems for remote detection of gases
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6740605B1 (en) 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040221871A1 (en) 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20070034159A1 (en) 2003-05-23 2007-02-15 Mitsuaki Komino Semiconductor manufacturing device and its heating unit
JP3929939B2 (en) * 2003-06-25 2007-06-13 株式会社東芝 Processing apparatus, manufacturing apparatus, processing method, and electronic apparatus manufacturing method
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7425505B2 (en) 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US6972252B1 (en) 2003-08-25 2005-12-06 Novellus Systems, Inc. Method of improving adhesion between two dielectric films
JP4095566B2 (en) 2003-09-05 2008-06-04 キヤノン株式会社 Method for evaluating an optical element
US7264676B2 (en) * 2003-09-11 2007-09-04 United Microelectronics Corp. Plasma apparatus and method capable of adaptive impedance matching
US6936540B2 (en) 2003-09-18 2005-08-30 Micron Technology, Inc. Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings
US20050064701A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
US7132334B2 (en) 2003-09-23 2006-11-07 Macronix International Co., Ltd. Methods of code programming a mask ROM device
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US6897162B2 (en) 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7045746B2 (en) 2003-11-12 2006-05-16 Mattson Technology, Inc. Shadow-free shutter arrangement and method
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
KR100848226B1 (en) 2004-01-21 2008-07-24 가부시키가이샤 히다치 고쿠사이 덴키 Method for manufacturing semiconductor device and substrate processing system
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
KR100593737B1 (en) 2004-01-28 2006-06-28 삼성전자주식회사 Wiring Method and Wiring Structure of Semiconductor Device
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
KR100568448B1 (en) 2004-04-19 2006-04-07 삼성전자주식회사 method of fabricating high-k dielectric layer having reduced impurity
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7112541B2 (en) 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7067819B2 (en) 2004-05-14 2006-06-27 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
JP3972126B2 (en) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 Ultraviolet generation source, ultraviolet irradiation processing apparatus and semiconductor manufacturing apparatus
US7504663B2 (en) 2004-05-28 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with a floating gate electrode that includes a plurality of particles
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
JP4503356B2 (en) 2004-06-02 2010-07-14 東京エレクトロン株式会社 Substrate processing method and semiconductor device manufacturing method
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7709814B2 (en) 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7182673B2 (en) 2004-06-29 2007-02-27 Novellus Systems, Inc. Method and apparatus for post-CMP cleaning of a semiconductor work piece
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7223704B2 (en) 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
US7304302B1 (en) 2004-08-27 2007-12-04 Kla-Tencor Technologies Corp. Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
JP4893905B2 (en) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 Zeolite raw material liquid, zeolite crystal preparation method, zeolite raw material liquid preparation method, and zeolite thin film
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US20060062914A1 (en) 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7169949B2 (en) 2004-09-30 2007-01-30 Basf Corporation Silane-modified UV absorbers and coatings
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7354852B2 (en) 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US20060142143A1 (en) 2004-12-15 2006-06-29 Hayim Abrevaya Process for preparing a dielectric interlayer film containing silicon beta zeolite
US7179755B2 (en) 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7020238B1 (en) 2005-01-31 2006-03-28 Oxford Instruments Analytical Oy Adapter and analyzer device for performing X-ray fluorescence analysis on hot surfaces
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8361814B2 (en) 2005-02-11 2013-01-29 Applied Materials, Israel, Ltd. Method for monitoring chamber cleanliness
US7253105B2 (en) 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7300891B2 (en) 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US20060220251A1 (en) 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7232730B2 (en) 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
KR100621777B1 (en) 2005-05-04 2006-09-15 삼성전자주식회사 Substrate heat processing apparatus
US7214630B1 (en) 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7638780B2 (en) 2005-06-28 2009-12-29 Eastman Kodak Company UV cure equipment with combined light path
US7410895B2 (en) 2005-07-12 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming interconnect structures
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7902008B2 (en) 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
KR20080047543A (en) 2005-08-31 2008-05-29 스미또모 가가꾸 가부시끼가이샤 Transistor, organic semiconductor device, and method for manufacture of the transistor or device
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR101060825B1 (en) 2005-10-14 2011-08-30 요시미 시오야 Semiconductor manufacturing apparatus and manufacturing method
US20070105292A1 (en) 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
JP2007194582A (en) * 2005-12-20 2007-08-02 Tokyo Electron Ltd Modifying method for ferroelectric thin film, and semiconductor device
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7632771B2 (en) 2006-02-07 2009-12-15 Imec UV light exposure for functionalization and hydrophobization of pure-silica zeolites
JP4666308B2 (en) 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7964514B2 (en) 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP4887848B2 (en) 2006-03-15 2012-02-29 セイコーエプソン株式会社 Circuit board, electro-optical device and electronic apparatus
US7838428B2 (en) 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7816253B2 (en) 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
KR101181836B1 (en) 2006-04-28 2012-09-11 삼성에스디아이 주식회사 Seperater for fuel cell, method of preparing same, and fuel cell system comprising same
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US20080009141A1 (en) 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US7790243B2 (en) 2006-07-19 2010-09-07 The Aerospace Corporation Method for producing large-diameter 3D carbon nano-onion structures at room temperature
US20080026579A1 (en) 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7936500B2 (en) 2007-03-02 2011-05-03 Ravenbrick Llc Wavelength-specific optical switch
US20080242118A1 (en) 2007-03-29 2008-10-02 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7846804B2 (en) 2007-06-05 2010-12-07 United Microelectronics Corp. Method for fabricating high tensile stress film
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8237099B2 (en) 2007-06-15 2012-08-07 Cognex Corporation Method and system for optoelectronic detection and location of objects
KR100881396B1 (en) 2007-06-20 2009-02-05 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
JP4600438B2 (en) 2007-06-21 2010-12-15 株式会社デンソー Method for manufacturing silicon carbide semiconductor device
KR20100042644A (en) 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 Boron derived materials deposition method
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8283644B2 (en) 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8088683B2 (en) 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
WO2010059762A1 (en) 2008-11-21 2010-05-27 Buckman Laboratories International, Inc. Method for controlling enzymatic decomposition of peroxide and products thereof
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US7993937B2 (en) 2009-09-23 2011-08-09 Tokyo Electron Limited DC and RF hybrid processing system
US8877080B2 (en) 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5028560A (en) * 1988-06-21 1991-07-02 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US9384959B2 (en) 2005-04-26 2016-07-05 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US10121682B2 (en) 2005-04-26 2018-11-06 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9873946B2 (en) 2005-04-26 2018-01-23 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US9073100B2 (en) * 2005-12-05 2015-07-07 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20130284087A1 (en) * 2005-12-05 2013-10-31 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a uv-cure chamber
US11177131B2 (en) * 2005-12-05 2021-11-16 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20150255285A1 (en) * 2005-12-05 2015-09-10 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a uv-cure chamber
US10020197B2 (en) * 2005-12-05 2018-07-10 Novellus Systems, Inc. Method for reducing porogen accumulation from a UV-cure chamber
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20110111533A1 (en) * 2009-11-12 2011-05-12 Bhadri Varadarajan Uv and reducing treatment for k recovery and surface clean in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
CN105336677A (en) * 2014-08-01 2016-02-17 中芯国际集成电路制造(上海)有限公司 Forming method of semiconductor structure
US10240236B2 (en) 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11075127B2 (en) 2016-08-09 2021-07-27 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US20180082835A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Uv radiation system and method for arsenic outgassing control in sub 7nm cmos fabrication
CN109643638A (en) * 2016-09-16 2019-04-16 应用材料公司 UV irradation system and method for the control arsenic degassing in lower than the manufacture of 7 nanometer CMOSs
US10332739B2 (en) * 2016-09-16 2019-06-25 Applied Materials, Inc. UV radiation system and method for arsenic outgassing control in sub 7nm CMOS fabrication
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN110052609A (en) * 2019-05-29 2019-07-26 中国航空制造技术研究院 The coaxial fuse deposition formation equipment of electron beam tow
WO2023163861A1 (en) * 2022-02-28 2023-08-31 Lam Research Corporation Wafer bow compensation by patterned uv cure

Also Published As

Publication number Publication date
US8454750B1 (en) 2013-06-04
US8629068B1 (en) 2014-01-14

Similar Documents

Publication Publication Date Title
US8629068B1 (en) Multi-station sequential curing of dielectric films
US9873946B2 (en) Multi-station sequential curing of dielectric films
US7265061B1 (en) Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US8043667B1 (en) Method to improve mechanical strength of low-K dielectric film using modulated UV exposure
US7470454B2 (en) Non-thermal process for forming porous low dielectric constant films
US7094713B1 (en) Methods for improving the cracking resistance of low-k dielectric materials
US7932188B2 (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US8889233B1 (en) Method for reducing stress in porous dielectric films
EP3231892B1 (en) Mechanical enhancement of dense and porous organosilicate materials by uv exposure
US7381662B1 (en) Methods for improving the cracking resistance of low-k dielectric materials
US7923385B2 (en) Methods for producing low stress porous and CDO low-K dielectric materials using precursors with organic functional groups
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
US7357977B2 (en) Ultralow dielectric constant layer with controlled biaxial stress
US20030232495A1 (en) Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
KR102539941B1 (en) Reactive ultraviolet thermal processing of low dielectric constant materials
EP1420439B1 (en) Non-thermal process for forming porous low dielectric constant films
US7892985B1 (en) Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
TW202105513A (en) Methods of post treating dielectric films with microwave radiation

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION