US20140091279A1 - Non-planar semiconductor device having germanium-based active region with release etch-passivation surface - Google Patents

Non-planar semiconductor device having germanium-based active region with release etch-passivation surface Download PDF

Info

Publication number
US20140091279A1
US20140091279A1 US13/630,808 US201213630808A US2014091279A1 US 20140091279 A1 US20140091279 A1 US 20140091279A1 US 201213630808 A US201213630808 A US 201213630808A US 2014091279 A1 US2014091279 A1 US 2014091279A1
Authority
US
United States
Prior art keywords
germanium
rich
silicon
semiconductor device
nanowire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/630,808
Inventor
Jessica S. Kachian
Willy Rachmady
Robert B. Turkot, Jr.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US13/630,808 priority Critical patent/US20140091279A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KACHIAN, JESSICA S., RACHMADY, WILLY, TURKOT, ROBERT B., JR.
Priority to PCT/US2013/045029 priority patent/WO2014051723A1/en
Priority to KR1020157005089A priority patent/KR101772298B1/en
Priority to EP13842457.7A priority patent/EP2901488B1/en
Priority to KR1020177023227A priority patent/KR102012114B1/en
Priority to CN201380045066.6A priority patent/CN104584225B/en
Priority to TW104139164A priority patent/TWI590463B/en
Priority to TW102133463A priority patent/TWI523231B/en
Priority to TW106113295A priority patent/TWI651857B/en
Publication of US20140091279A1 publication Critical patent/US20140091279A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • Embodiments of the invention are in the field of semiconductor devices and, in particular, non-planar semiconductor devices having germanium-based active regions with release etch-passivation surfaces.
  • germanium-based material systems offer exceptionally high hole mobility in the transistor channels due to low effective mass along with reduced impurity scattering. Such devices provide high drive current performance and appear promising for future low power, high speed logic applications. However, significant improvements are still needed in the area of germanium-based devices.
  • multi-gate transistors such as tri-gate transistors, or gate-all-around devices, such as nanowires
  • gate-all-around devices such as nanowires
  • Many different techniques have been attempted to reduce channel or external resistance of such transistors. However, significant improvements are still needed in the area of channel or external resistance suppression.
  • many different techniques have been attempted to manufacture devices with non-Si channel materials such as SiGe, Ge, and III-V materials. However, significant process improvements are still needed to integrate these materials on Si wafers.
  • FIG. 1A illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a multi-wire semiconductor device.
  • FIG. 1B illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a single-wire semiconductor device.
  • FIG. 2 illustrates a cross-sectional view of a passivating release etch process taken along a channel region of a multi-wire semiconductor device, in accordance with an embodiment of the present invention.
  • FIG. 3A is a schematic representation of a germanium-based semiconductor structure having terminal sulfur-passivation, in accordance with an embodiment of the present invention.
  • FIG. 3B is a schematic representation of a germanium-based semiconductor structure having bridging sulfur-passivation, in accordance with an embodiment of the present invention.
  • FIG. 4A illustrates a three-dimensional cross-sectional view of a nanowire-based semiconductor structure having germanium-based active regions with a release etch-passivation surface, in accordance with an embodiment of the present invention.
  • FIG. 4B illustrates a cross-sectional channel view of the nanowire-based semiconductor structure of FIG. 4A , as taken along the a-a′ axis, in accordance with an embodiment of the present invention.
  • FIG. 4C illustrates a cross-sectional spacer view of the nanowire-based semiconductor structure of FIG. 4A , as taken along the b-b′ axis, in accordance with an embodiment of the present invention.
  • FIGS. 5A-5F illustrate three-dimensional cross-sectional views representing various operations in a method of fabricating a CMOS nanowire semiconductor structure, in accordance with an embodiment of the present invention.
  • FIG. 6 illustrates an angled view of a non-planar semiconductor device having a germanium-based active region with a release etch-passivation surface, in accordance with an embodiment of the present invention.
  • FIG. 7 illustrates a computing device in accordance with one implementation of the invention.
  • Non-planar semiconductor devices having germanium-based active regions with release etch-passivation surfaces are described.
  • numerous specific details are set forth, such as specific integration and material regimes, in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details. In other instances, well-known features, such as integrated circuit design layouts, are not described in detail in order to not unnecessarily obscure embodiments of the present invention.
  • the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.
  • One or more embodiments described herein are directed to approaches for forming germanium (Ge)-containing nanowire architectures.
  • one or more devices described herein may be characterized as a Ge-based device, a nanoribbon device, a nanowire device, a non-planar transistor, or a combination thereof. More specifically, one or more embodiments are directed to performing a release of rectangular-shaped Ge-containing nanowires from Ge/SiGe, Ge/Si, SiGe/SiGe, or SiGe/Si multilayer stacks.
  • hydrosulfide-based chemistry e.g., ammonium hydrosulfide
  • a Ge passivating agent allows for conservation of the Ge-containing nanowire material during the etch and, hence, the generation of rectangular shaped nanowires or nanoribbons.
  • one or more embodiments involve nanostructure release using a wet etchant that acts to passivate a preserved material while etching an adjacent sacrificial layer. That is, methods described herein employ chemistries that act more than only as mere sacrificial layer etchants. In earlier approaches, some Ge-containing channel material is consumed during the release etch, which can additionally prevent or hinder the formation of rectangular-shaped nanowires.
  • FIG. 1A illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a multi-wire semiconductor device. Referring to FIG.
  • a channel cut of a semiconductor stack 100 A having a plurality of sacrificial layers 102 A and nanowire structures 104 A is formed above a substrate 106 A.
  • a portion of each of the nanowire structures 104 A is etched due to poor selectivity.
  • the etch facets the nanowire structures 104 A to leave etched and faceted nanowire channels 104 A′.
  • FIG. 1B illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a single-wire semiconductor device.
  • a channel cut of a semiconductor stack 100 B having a sacrificial layer 102 B and nanowire structure 104 B is formed above a substrate 106 B.
  • a portion of nanowire structure 104 B is etched due to poor selectivity.
  • the etch rounds the corners of the nanowire structure 104 B to leave etched and rounded nanowire channel 104 B′
  • one or more embodiments involve using simultaneous Ge passivation and sacrificial layer etching, allowing both selective wet-etch removal of the sacrificial layer and protection of the Ge-containing nanowire material.
  • Such approaches prevent loss of the Ge-containing nanowire material, enabling rectangular-shaped nanowires.
  • FIG. 2 illustrates a cross-sectional view of a passivating release etch process taken along a channel region of a multi-wire semiconductor device, in accordance with an embodiment of the present invention.
  • a channel cut of a semiconductor stack 200 having a plurality of sacrificial layers 202 and germanium-based nanowire structures 204 is formed above a substrate 206 .
  • etching to remove the sacrificial layers 202 to provide released stack 210 no significant portion of each of the nanowire structures 204 is etched due to high selectivity.
  • rectangular nanowire structures 204 with squared corners are essentially preserved to leave released rectangular nanowire structures 204 with squared corners.
  • a germanium-based material is preserved against a sacrificial material having less germanium during a wet etch release operation.
  • a selective chemistry that removes the sacrificial material while preserving the germanium-based material is based on an aqueous solution of ammonium sulfide (NH 4 ) 2 S which is in equilibrium with ammonium hydrosulfide (NH 4 )SH. As best understood, the latter component acts to etch the sacrificial layer.
  • FIG. 3A is a schematic representation of a germanium-based semiconductor structure 300 A having terminal sulfur-pas sivation 302 A, in accordance with an embodiment of the present invention.
  • FIG. 3B is a schematic representation of a germanium-based semiconductor structure 300 B having bridging sulfur-passivation 302 B, in accordance with an embodiment of the present invention.
  • the above described sulfur passivation need not be entirely uniform nor be provided to every exposed germanium atom to effectuate suitable passivation.
  • sulfur passivation may not be detected everywhere on the germanium surface, e.g., the passivation may not be perfect chemically
  • a suitable electrical passivation for impeding etching of the germanium material may be achieved with mere partial coverage of sulfur atoms.
  • the above is in contrast to conventional etching, e.g., a hydroxide (OH ⁇ )-based wet etch which leads to GeO x formation and ultimate dissolution (i.e., no passivation mechanism).
  • an aqueous solution of approximately 10% by weight (NH 4 ) 2 S is used to etch a silicon-rich material (selective to a germanium-rich material) at an etch rate of about 1 nanometer/minute at a temperature of approximately 75 degrees Celsius.
  • an aqueous solution of (NH 4 ) 2 S with a % weight approximately in the range of 1%-25% of (NH 4 ) 2 S is used.
  • the pH of the solution is basic at approximately 9+/ ⁇ 1.
  • a workable etch rate is not observed below approximately 55 degrees Celsius.
  • concentration no significant concentration modulation is observed approximately between 55 and 75 degrees Celsius.
  • a solution of (NH 4 ) 2 S having a temperature approximately in the range of 40-75 degrees Celsius is used. Above approximately 75 degrees Celsius, however, concentration modulation of the (NH 4 ) 2 S may be used to vary the etch rate of the silicon-rich material. However, selectivity against the germanium-rich material may be impacted detrimentally. Furthermore, although sonication may be used for etch rate tunability, a non-agitated solution may be preferred when handling structures with very small features undergoing a release etch (e.g., nanowire release).
  • a silicon-rich release or sacrificial layer is etched with high selectivity to a germanium-rich semiconductor structure that is preserved. Such etches may be effective for, e.g., etching an essentially pure silicon release layer with selectivity to an essentially pure germanium structure, such as a germanium nanowire, in accordance with one embodiment.
  • intermediate compositions may also benefit from etching approaches described herein.
  • a silicon germanium layer is removed with selectivity to an essentially pure germanium structure.
  • a silicon germanium release layer having a first germanium concentration is removed with selectivity to a silicon germanium structure having a second, higher, germanium concentration.
  • an essentially pure silicon release layer is removed with selectivity to a silicon germanium structure.
  • an approximately Si 0.5 Ge 0.5 release layer is removed with selectivity to an essentially pure germanium structure.
  • the release layer in this case has a composition suitable for germanium growth thereon but also sufficiently different for selective etching.
  • Semiconductor devices based on a released stack such as stack 210 (described above) or semiconductor devices 400 and 600 (described below) may be a semiconductor device incorporating a gate, a channel region and a pair of source/drain regions.
  • the semiconductor device is one such as, but not limited to, a MOS-FET or a Microelectromechanical System (MEMS).
  • MEMS Microelectromechanical System
  • the semiconductor device is a three-dimensional MOS-FET and is an isolated device or is one device in a plurality of nested devices.
  • both N- and P-channel transistors may be fabricated on a single substrate to form a CMOS integrated circuit.
  • additional interconnect wiring may be fabricated in order to integrate such devices into an integrated circuit.
  • a selective wet etch may be used to fabricate a germanium-based nanowire device (see more detailed description in association with FIGS. 4A-4C below), but may also be used in other three-dimensional semiconductor devices (e.g., devices with protruding channel regions, such as in a tri-gate or FIN-FET based MOS-FETs, particularly gate all-around devices, e.g., described below in association with FIG. 6 ).
  • FIG. 4A illustrates a three-dimensional cross-sectional view of a nanowire-based semiconductor structure having germanium-based active regions with a release etch-passivation surface, in accordance with an embodiment of the present invention.
  • FIG. 4B illustrates a cross-sectional channel view of the nanowire-based semiconductor structure of FIG. 4A , as taken along the a-a′ axis.
  • FIG. 4C illustrates a cross-sectional spacer view of the nanowire-based semiconductor structure of FIG. 4A , as taken along the b-b′ axis.
  • a semiconductor device 400 includes one or more vertically stacked nanowires ( 404 set) disposed above a substrate 402 .
  • Embodiments herein are targeted at both single wire devices and multiple wire devices.
  • a three nanowire-based devices having nanowires 404 A, 404 B and 404 C is shown for illustrative purposes.
  • nanowire 404 A is used as an example where description is focused on only one of the nanowires. It is to be understood that where attributes of one nanowire are described, embodiments based on a plurality of nanowires may have the same attributes for each of the nanowires.
  • Each of the nanowires 404 includes a channel region 406 disposed in the nanowire.
  • the channel region 406 has a length (L).
  • the channel region also has a perimeter orthogonal to the length (L).
  • a gate electrode stack 408 surrounds the entire perimeter of each of the channel regions 406 .
  • the gate electrode stack 408 includes a gate electrode along with a gate dielectric layer disposed between the channel region 406 and the gate electrode (not shown).
  • the channel region 406 is discrete in that it is completely surrounded by the gate electrode stack 408 without any intervening material such as underlying substrate material or overlying channel fabrication materials. Accordingly, in embodiments having a plurality of nanowires 404 , the channel regions 406 of the nanowires are also discrete relative to one another, as depicted in FIG. 4B .
  • the channel region 406 includes a germanium-rich material portion 406 A and a passivated surface 406 B. It is to be understood that, for illustrative purposes, the relative thickness of the passivated surface 406 B is depicted as much greater than would normally be expected.
  • the germanium-rich material portion 406 A is composed of germanium (Ge) or silicon germanium (SiGe) and the passivated surface 406 B is composed of germanium-sulfur bonds.
  • the nanowires 404 may be sized as wires or ribbons (the latter described below), and may have squared-off or rounded corners. In any case, however, in an embodiment, the sizing and shaping of each channel region is essentially the same as prior to a release etch used to fabricate the discrete channel regions 406 .
  • the nanowires 404 are uniaxially strained nanowires. The uniaxially strained nanowire or plurality of nanowires may be uniaxially strained with tensile strain or with compressive strain, e.g., for NMOS or PMOS, respectively.
  • each of the channel regions 406 is shown as approximately the same in FIG. 4B , however, they need not be.
  • the width of the nanowires 404 is substantially greater than the height. In a specific embodiment, the width is approximately 2-10 times greater than the height. Nanowires with such geometry may be referred to as nanoribbons.
  • the nanoribbons are oriented vertically. That is, each of the nanowires 404 has a width and a height, the width substantially less than the height.
  • the nanowires 404 may be sized as wires or ribbons, and may have squared-off or rounded corners.
  • each of the nanowires 504 also includes source and drain regions 410 and 412 disposed in the nanowire on either side of the channel region 404 .
  • a pair of contacts 414 is disposed over the source/drain regions 410 / 412 .
  • the pair of contacts 414 surrounds the entire perimeter of each of the source/drain regions 410 / 412 , as depicted in FIG. 4A . That is, in an embodiment, the source/drain regions 410 / 412 are discrete in that they are completely surrounded by the contacts 414 without any intervening material such as underlying substrate material or overlying channel fabrication materials.
  • the source/drain regions 410 / 412 of the nanowires are also discrete relative to one another.
  • a sulfur passivation layer is disposed at the outer surface of each region, e.g., resulting from a selective and passivating wet etch as described for the channel regions 406 .
  • the semiconductor device 400 further includes a pair of spacers 416 .
  • the spacers 416 are disposed between the gate electrode stack 408 and the pair of contacts 414 .
  • the channel regions and the source/drain regions are, in at least several embodiments, made to be discrete (e.g., by a selective and passivating wet etch process).
  • not all regions of the nanowires 404 need be, or even can be made to be discrete.
  • nanowires 404 A- 404 C are not discrete at the location under spacers 416 .
  • the stack of nanowires 404 A- 404 C have intervening semiconductor material 480 there between, such as silicon-rich material intervening between germanium-rich nanowires, as described below in association with FIGS. 5A-5F .
  • the bottom nanowire 404 A is still in contact with a portion of substrate 402 , e.g., in contact with an insulating layer portion disposed on a bulk substrate.
  • a portion of the plurality of vertically stacked nanowires under one or both of the spacers 416 is non-discrete.
  • CMOS architecture may also be formed to include both NMOS and PMOS nanowire-based devices disposed on or above the same substrate, e.g., as described in association with FIGS. 5A-5F , below.
  • the substrate 402 may be composed of a material suitable for semiconductor device fabrication.
  • substrate 402 includes a lower bulk substrate composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material.
  • An upper insulator layer composed of a material which may include, but is not limited to, silicon dioxide, silicon nitride or silicon oxy-nitride is disposed on the lower bulk substrate.
  • the structure 400 may be fabricated from a starting semiconductor-on-insulator substrate.
  • the plurality of vertically stacked nanowires 404 is disposed above a bulk crystalline substrate having an intervening dielectric layer disposed thereon, as depicted in FIGS. 4A-4C .
  • the structure 400 is formed directly from a bulk substrate and local oxidation is used to form electrically insulative portions in place of the above described upper insulator layer.
  • the plurality of vertically stacked nanowires 404 is disposed above a bulk crystalline substrate having no intervening dielectric layer disposed thereon.
  • a top barrier layer having a high band gap, such as a group III-V material barrier layer is used to isolate the bottom nanowire 404 A from and underlying substrate.
  • the gate electrode of gate electrode stack 408 is composed of a metal gate and the gate dielectric layer is composed of a high-K material.
  • the gate dielectric layer is composed of a material such as, but not limited to, hafnium oxide, hafnium oxy-nitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide, barium strontium titanate, barium titanate, strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or a combination thereof.
  • a portion of gate dielectric layer may include a layer of native oxide formed from the top few layers of the nanowire 404 .
  • the gate dielectric layer is composed of a top high-k portion and a lower portion composed of an oxide of a semiconductor material.
  • the gate dielectric layer is composed of a top portion of hafnium oxide and a bottom portion of silicon dioxide or silicon oxy-nitride.
  • the gate electrode is composed of a metal layer such as, but not limited to, metal nitrides, metal carbides, metal silicides, metal aluminides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel or conductive metal oxides.
  • the gate electrode is composed of a non-workfunction-setting fill material formed above a metal workfunction-setting layer.
  • the spacers 416 are composed of an insulative dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride or silicon nitride.
  • the contacts 414 are, in an embodiment, fabricated from a metal species.
  • the metal species may be a pure metal, such as nickel or cobalt, or may be an alloy such as a metal-metal alloy or a metal-semiconductor alloy (e.g., such as a silicide material).
  • each of the nanowires 404 also includes source and drain regions 410 / 412 disposed in or on the nanowire on either side of the channel regions 406 .
  • the source and drain regions 410 / 412 are embedded source and drain regions, e.g., at least a portion of the nanowires is removed and replaced with a source/drain material region.
  • the source and drain regions 410 / 412 are composed of, or at least include, portions of the one or more nanowires 404 .
  • FIGS. 5A-5F illustrate three-dimensional cross-sectional views representing various operations in a method of fabricating a CMOS nanowire semiconductor structure, in accordance with an embodiment of the present invention.
  • a method of fabricating a nanowire semiconductor structure may, in an embodiment, include forming both a PMOS nanowire-based semiconductor device and an adjacent NMOS nanowire-based semiconductor device. Each device may be fabricated by forming a nanowire above a substrate.
  • FIG. 5A illustrates an initial structure 500 having a substrate 502 (e.g., composed of a bulk substrate 502 A with an insulating or barrier or compositional buffer layer 502 B there on).
  • a silicon-rich layer 504 /germanium-rich layer 506 /silicon-rich layer 508 /germanium-rich layer 510 stack is disposed on the stack 502 .
  • the ordering of such layers may be reversed.
  • a portion of the silicon-rich layer 504 /germanium-rich layer 506 /silicon-rich layer 508 /germanium-rich layer 510 stack as well as a top portion of the insulator or barrier or compositional buffer layer 502 B is patterned into a fin-type structure 512 , e.g., with a mask and plasma etch process.
  • a free surface is formed on either side of each of the silicon-rich and germanium-rich layers by patterning to provide the fin-type structure 512 .
  • FIG. 5C illustrates the fin-type structure 512 with three sacrificial gates 514 A, 514 B, and 514 C disposed thereon.
  • the three sacrificial gates 514 A, 514 B, and 514 C are composed of a sacrificial gate oxide layer 516 and a sacrificial polysilicon gate layer 518 which are, e.g., blanket deposited and patterned with a plasma etch process.
  • spacers may be formed on the sidewalls of the three sacrificial gates 514 A, 514 B, and 514 C, doping may be performed in regions 520 of the fin-type structure 512 shown in FIG. 5C (e.g., tip and/or source and drain type doping), and an interlayer dielectric layer may be formed to cover and then re-expose the three sacrificial gates 514 A, 514 B, and 514 C. The interlayer dielectric layer may then be polished to expose the three sacrificial gates 514 A, 514 B, and 514 C for a replacement gate, or gate-last, process. Referring to FIG. 5D , the three sacrificial gates 514 A, 514 B, and 514 C are exposed, along with spacers 522 and interlayer dielectric layer 524 .
  • the sacrificial gates 514 A, 514 B, and 514 C may then be removed, e.g., in a replacement gate or gate-last process flow, to expose channel portions of the fin-type structure 512 .
  • the sacrificial gates 514 A, 514 B, and 514 C are removed to provide trenches 526 .
  • Portions of the germanium-rich layers 506 and 510 exposed by the trenches 526 , as well as exposed portions of the insulating or barrier or compositional buffer layer 502 B, are removed to leave discrete portions of the silicon-rich layers 504 and 508 .
  • the sacrificial gates 514 A, 514 B, and 514 C are removed to provide trenches 528 . Portions of the silicon-rich layers 504 and 508 exposed by the trenches 528 are removed to leave discrete portions of the germanium-rich layers 506 and 510 .
  • etching the portion of the silicon-rich release layer includes passivating exposed portions of the germanium-rich nanowire at the same time.
  • a wet etchant based on an aqueous solution of approximately 10% by weight (NH 4 ) 2 S is used.
  • the etching is performed at a temperature approximately in the range of 55-75 degrees Celsius.
  • the etching is performed at a temperature of approximately 75 degrees Celsius.
  • an etch rate of about 1 nanometer/minute is used for the silicon-rich material.
  • a pH of approximately 9 is used.
  • passivating exposed portions of the germanium-rich layers includes forming terminal sulfur-germanium bonds or bridging sulfur-germanium bonds, or both. In an embodiment, passivating exposed portions of the germanium-rich layers includes incompletely chemically passivating the exposed portions of the germanium-rich layers but sufficiently electrically passivating the exposed portions of the germanium-rich layers to inhibit etching of the exposed portions of the germanium-rich layers during etching of the silicon-rich release layers.
  • the silicon-rich layers 504 and 508 are etched selectively with a wet etch that selectively removes the silicon-rich while not etching (and while additionally passivating) the germanium-rich nanowire structures 506 and 510 .
  • the germanium-rich layers 506 and 510 are etched selectively with a wet etch that selectively removes the germanium-rich layers while not etching the silicon-rich nanowire structures 504 and 508 .
  • the silicon-rich layers may be removed from the fin-type structure 512 to form germanium-rich channel nanowires, or the germanium-rich layers may be removed from the fin-type structure 512 to form silicon-rich channel nanowires.
  • the discrete portions of the silicon-rich layers 504 and 508 (NMOS) or the germanium-rich layers 506 and 510 (PMOS) shown in FIG. 5E will, in one embodiment, ultimately become channel regions in a nanowire-based structure.
  • FIG. 5F illustrates the structure following deposition of an NMOS gate stack 530 or a PMOS gate stack 532 .
  • the gate stacks may be composed of a high-k gate dielectric layer and an N-type or P-type metal gate electrode layer, respectively.
  • FIG. 5F depicts the result of the subsequent removal of the interlayer dielectric layer 524 after formation of the permanent gate stack. Contacts may be formed in the place of the interlayer dielectric layer 524 portions remaining in FIG. 5E .
  • source and drain engineering may also be performed.
  • FIG. 6 illustrates an angled view of a non-planar semiconductor device having a germanium-based active region with a release etch-passivation surface, in accordance with an embodiment of the present invention.
  • a semiconductor device 600 includes a hetero-structure 604 disposed above a substrate 602 .
  • the hetero-structure 604 includes a compositional buffer layer 628 .
  • a three-dimensional germanium-rich material body 606 such as an essentially pure Ge body, with a channel region 608 is disposed above the compositional buffer layer 628 .
  • a gate stack 618 is disposed to surround at least a portion of the channel region 608 .
  • the gate stack 618 includes a gate electrode 624 and a gate dielectric layer 620 .
  • the gate stack may further include dielectric spacers 640 .
  • Source and drain regions 614 / 616 may be formed in or on portions of the three-dimensional body 606 not surrounded by gate stack 618 , or may be formed or adjacent to the channel region 608 (e.g., in the case of embedded regions formed by etching and subsequent epitaxial growth). Also, isolation regions 670 may be included.
  • the gate stack completely surrounds the channel region 608 .
  • a release layer was removed (e.g., a portion of the compositional buffer layer was removed), at least at the channel region 608 , e.g., by a selective and passivating wet etch.
  • at least the outer surface of the channel region 608 of the body 606 is passivated by sulfur atoms.
  • one or more embodiments described herein are targeted at active region arrangements having passivated surfaces. Although described above with respect to benefits for non-planar and gate-all-around devices, benefits may also be achieved for planar devices without gate wrap-around features. Thus, such arrangements may be included to form high mobility material-based transistors such as planar devices, fin or tri-gate based devices, and gate all around devices, including nanowire-based devices. It is to be understood that formation of materials such as the silicon-rich and germanium-rich material layers described herein may be performed by techniques such as, but not limited to, chemical vapor deposition (CVD) or molecular beam epitaxy (MBE), or other like processes.
  • CVD chemical vapor deposition
  • MBE molecular beam epitaxy
  • FIG. 7 illustrates a computing device 700 in accordance with one implementation of the invention.
  • the computing device 700 houses a board 702 .
  • the board 702 may include a number of components, including but not limited to a processor 704 and at least one communication chip 706 .
  • the processor 704 is physically and electrically coupled to the board 702 .
  • the at least one communication chip 706 is also physically and electrically coupled to the board 702 .
  • the communication chip 706 is part of the processor 704 .
  • computing device 700 may include other components that may or may not be physically and electrically coupled to the board 702 .
  • these other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • volatile memory e.g., DRAM
  • non-volatile memory e.g., ROM
  • flash memory e.g., a graphics processor, a digital signal processor, a crypto processor, a chipset, an
  • the communication chip 706 enables wireless communications for the transfer of data to and from the computing device 700 .
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 706 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 700 may include a plurality of communication chips 706 .
  • a first communication chip 706 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 706 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 704 of the computing device 700 includes an integrated circuit die packaged within the processor 704 .
  • the integrated circuit die of the processor includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • the term “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the communication chip 706 also includes an integrated circuit die packaged within the communication chip 706 .
  • the integrated circuit die of the communication chip includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • another component housed within the computing device 700 may contain an integrated circuit die that includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • the computing device 700 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device 700 may be any other electronic device that processes data.
  • embodiments of the present invention include non-planar semiconductor devices having germanium-based active regions with release etch-passivation surfaces.
  • a semiconductor device in an embodiment, includes a vertical arrangement of a plurality of germanium-rich nanowires disposed above a substrate. Each nanowire includes a channel region having a sulfur-passivated outer surface.
  • a gate stack is disposed on and completely surrounds the channel region of each of the germanium-rich nanowires.
  • the gate stack includes a gate dielectric layer disposed on and surrounding the sulfur-passivated outer surface and a gate electrode disposed on the gate dielectric layer. Source and drain regions are disposed on either side of the channel regions of the germanium-rich nanowires.
  • the sulfur-passivated outer surface of each channel region includes bridging sulfur atoms, each bridging sulfur atom bonded to two or more germanium atoms of the corresponding germanium-rich nanowire.
  • the sulfur-passivated outer surface of each channel region includes terminal sulfur atoms, each terminal sulfur atom bonded to a germanium atom of the corresponding germanium-rich nanowire.
  • the semiconductor device further includes a dielectric spacer on either side of the gate stack and over the vertical arrangement of the plurality of germanium-rich nanowires.
  • An intervening silicon-rich semiconductor material is disposed between the portions of the germanium-rich nanowires underneath each spacer.
  • the germanium-rich nanowires are composed essentially of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium or silicon.
  • the germanium-rich nanowires are composed essentially of silicon germanium having a first concentration of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium having a second, lower, concentration of germanium.
  • the germanium-rich nanowires are composed essentially of silicon germanium, and the intervening silicon-rich semiconductor material are composed essentially of silicon.
  • the source regions of each germanium-rich nanowire are formed in the germanium-rich nanowire and are discrete relative to one another.
  • the drain regions of each germanium-rich nanowire are formed in the germanium-rich nanowire and are discrete relative to one another.
  • the source and drain regions of each germanium-rich nanowire have a sulfur-passivated outer surface.
  • the semiconductor device further includes a conductive source contact surrounding each of the discrete source regions.
  • a conductive drain contact surrounds each of the discrete drain regions.
  • the gate dielectric layer is a high-k gate dielectric layer
  • the gate electrode is a metal gate electrode
  • a semiconductor device in an embodiment, includes a hetero-structure disposed above a substrate and having a three-dimensional germanium-rich semiconductor body with a channel region including a sulfur-passivated outer surface.
  • a gate stack is disposed on and surrounds the channel region.
  • the gate stack includes a gate dielectric layer disposed on the sulfur-passivated outer surface of the channel region and a gate electrode disposed on the gate dielectric layer. Source and drain regions are disposed on either side of channel region of the three-dimensional semiconductor body.
  • the sulfur-passivated outer surface of the channel region includes bridging sulfur atoms, each bridging sulfur atom bonded to two or more germanium atoms of the three-dimensional germanium-rich semiconductor body.
  • the sulfur-passivated outer surface of the channel region includes terminal sulfur atoms, each terminal sulfur atom bonded to a germanium atom of the three-dimensional germanium-rich semiconductor body.
  • the semiconductor device further includes a dielectric spacer on either side of the gate stack and over the heterostructure.
  • An intervening silicon-rich semiconductor material is disposed below portions of the three-dimensional germanium-rich semiconductor body underneath each spacer.
  • the three-dimensional germanium-rich semiconductor body is composed essentially of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium or silicon.
  • the three-dimensional germanium-rich semiconductor body is composed essentially of silicon germanium having a first concentration of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium having a second, lower, concentration of germanium.
  • the three-dimensional germanium-rich semiconductor body is composed essentially of silicon germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon.
  • the device is a tri-gate device.
  • the device is a fin-fet device.
  • the gate dielectric layer is a high-k gate dielectric layer
  • the gate electrode is a metal gate electrode
  • a method of fabricating a nanowire-based semiconductor structure includes forming a silicon-rich release layer above a substrate. The method also includes forming a germanium-rich active layer on the silicon-rich release layer. The method also includes forming, from the germanium-rich active layer, a germanium-rich nanowire. The method also includes etching at least a portion of the silicon-rich release layer to form a discrete channel region for the germanium-rich nanowire. The etching includes etching the portion of the silicon-rich release layer while passivating exposed portions of the germanium-rich nanowire. The method also includes forming a gate electrode stack completely surrounding the discrete channel region of the germanium-rich nanowire.
  • etching the portion of the silicon-rich release layer while passivating exposed portions of the germanium-rich nanowire includes etching with a wet etchant composed of an aqueous solution of approximately 10% by weight (NH 4 ) 2 S.
  • etching with the wet etchant includes etching at a temperature approximately in the range of 55-75 degrees Celsius.
  • etching with the wet etchant includes etching at a temperature of approximately 75 degrees Celsius.
  • etching with the wet etchant includes using an etch rate of about 1 nanometer/minute for the silicon-rich material.
  • etching with the wet etchant includes using a pH of approximately 9.
  • passivating exposed portions of the germanium-rich nanowire includes forming terminal sulfur-germanium bonds or bridging sulfur-germanium bonds, or both.
  • passivating exposed portions of the germanium-rich nanowire includes incompletely chemically passivating the exposed portions of the germanium-rich nanowire but sufficiently electrically passivating the exposed portions of the germanium-rich nanowire to inhibit etching of the exposed portions of the germanium-rich nanowire during etching of the silicon-rich release layer.
  • etching the portion of the silicon-rich release layer to form the discrete channel region includes etching a material composed essentially of silicon or silicon germanium selective to a nanowire composed essentially of germanium.
  • etching the portion of the silicon-rich release layer to form the discrete channel region includes etching a material composed essentially of silicon or silicon germanium with a first germanium concentration selective to a nanowire composed essentially of silicon germanium with a second, higher, germanium concentration.

Abstract

Non-planar semiconductor devices having germanium-based active regions with release etch-passivation surfaces are described. For example, a semiconductor device includes a vertical arrangement of a plurality of germanium-rich nanowires disposed above a substrate. Each nanowire includes a channel region having a sulfur-passivated outer surface. A gate stack is disposed on and completely surrounds the channel region of each of the germanium-rich nanowires. The gate stack includes a gate dielectric layer disposed on and surrounding the sulfur-passivated outer surface and a gate electrode disposed on the gate dielectric layer. Source and drain regions are disposed on either side of the channel regions of the germanium-rich nanowires.

Description

    TECHNICAL FIELD
  • Embodiments of the invention are in the field of semiconductor devices and, in particular, non-planar semiconductor devices having germanium-based active regions with release etch-passivation surfaces.
  • BACKGROUND
  • For the past several decades, the scaling of features in integrated circuits has been a driving force behind an ever-growing semiconductor industry. Scaling to smaller and smaller features enables increased densities of functional units on the limited real estate of semiconductor chips. For example, shrinking transistor size allows for the incorporation of an increased number of memory devices on a chip, lending to the fabrication of products with increased capacity. The drive for ever-more capacity, however, is not without issue. The necessity to optimize the performance of each device becomes increasingly significant.
  • Semiconductor devices formed from germanium-based material systems offer exceptionally high hole mobility in the transistor channels due to low effective mass along with reduced impurity scattering. Such devices provide high drive current performance and appear promising for future low power, high speed logic applications. However, significant improvements are still needed in the area of germanium-based devices.
  • Additionally, in the manufacture of integrated circuit devices, multi-gate transistors, such as tri-gate transistors, or gate-all-around devices, such as nanowires, have become more prevalent as device dimensions continue to scale down. Many different techniques have been attempted to reduce channel or external resistance of such transistors. However, significant improvements are still needed in the area of channel or external resistance suppression. Also, many different techniques have been attempted to manufacture devices with non-Si channel materials such as SiGe, Ge, and III-V materials. However, significant process improvements are still needed to integrate these materials on Si wafers.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a multi-wire semiconductor device.
  • FIG. 1B illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a single-wire semiconductor device.
  • FIG. 2 illustrates a cross-sectional view of a passivating release etch process taken along a channel region of a multi-wire semiconductor device, in accordance with an embodiment of the present invention.
  • FIG. 3A is a schematic representation of a germanium-based semiconductor structure having terminal sulfur-passivation, in accordance with an embodiment of the present invention.
  • FIG. 3B is a schematic representation of a germanium-based semiconductor structure having bridging sulfur-passivation, in accordance with an embodiment of the present invention.
  • FIG. 4A illustrates a three-dimensional cross-sectional view of a nanowire-based semiconductor structure having germanium-based active regions with a release etch-passivation surface, in accordance with an embodiment of the present invention.
  • FIG. 4B illustrates a cross-sectional channel view of the nanowire-based semiconductor structure of FIG. 4A, as taken along the a-a′ axis, in accordance with an embodiment of the present invention.
  • FIG. 4C illustrates a cross-sectional spacer view of the nanowire-based semiconductor structure of FIG. 4A, as taken along the b-b′ axis, in accordance with an embodiment of the present invention.
  • FIGS. 5A-5F illustrate three-dimensional cross-sectional views representing various operations in a method of fabricating a CMOS nanowire semiconductor structure, in accordance with an embodiment of the present invention.
  • FIG. 6 illustrates an angled view of a non-planar semiconductor device having a germanium-based active region with a release etch-passivation surface, in accordance with an embodiment of the present invention.
  • FIG. 7 illustrates a computing device in accordance with one implementation of the invention.
  • DESCRIPTION OF THE EMBODIMENTS
  • Non-planar semiconductor devices having germanium-based active regions with release etch-passivation surfaces are described. In the following description, numerous specific details are set forth, such as specific integration and material regimes, in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details. In other instances, well-known features, such as integrated circuit design layouts, are not described in detail in order to not unnecessarily obscure embodiments of the present invention. Furthermore, it is to be understood that the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.
  • One or more embodiments described herein are directed to approaches for forming germanium (Ge)-containing nanowire architectures. For example, in an embodiment one or more devices described herein may be characterized as a Ge-based device, a nanoribbon device, a nanowire device, a non-planar transistor, or a combination thereof. More specifically, one or more embodiments are directed to performing a release of rectangular-shaped Ge-containing nanowires from Ge/SiGe, Ge/Si, SiGe/SiGe, or SiGe/Si multilayer stacks. Use of a hydrosulfide-based chemistry (e.g., ammonium hydrosulfide), which acts as both a sacrificial layer etchant and a Ge passivating agent, allows for conservation of the Ge-containing nanowire material during the etch and, hence, the generation of rectangular shaped nanowires or nanoribbons.
  • Earlier attempts to releasing nanowires, e.g., to completely expose a channel region of a nanowire for gate-all-around fabrication, have employed chemistries which act as sacrificial layer etchants only. Such solutions may result in loss of the Ge-containing channel material and, consequently, prevent formation of rectangular-shaped Ge-containing nanowires having squared corners. The conventional chemistries do not effectively passivate Ge during the sacrificial layer etch. For example, under the etch conditions previously used to consume a sacrificial layer, Ge may be easily oxidized and etched. Consequently, if Ge is not adequately passivated during the etch, it will likely be consumed at a significant rate along with the sacrificial layer.
  • In order to address the above issues, one or more embodiments involve nanostructure release using a wet etchant that acts to passivate a preserved material while etching an adjacent sacrificial layer. That is, methods described herein employ chemistries that act more than only as mere sacrificial layer etchants. In earlier approaches, some Ge-containing channel material is consumed during the release etch, which can additionally prevent or hinder the formation of rectangular-shaped nanowires. In a first example of earlier attempts, FIG. 1A illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a multi-wire semiconductor device. Referring to FIG. 1A, a channel cut of a semiconductor stack 100A having a plurality of sacrificial layers 102A and nanowire structures 104A is formed above a substrate 106A. Upon etching to remove the sacrificial layers 102A to provide released stack 110A, a portion of each of the nanowire structures 104A is etched due to poor selectivity. In the specific case shown in FIG. 1A, the etch facets the nanowire structures 104A to leave etched and faceted nanowire channels 104A′.
  • In a second example of earlier attempts, FIG. 1B illustrates a cross-sectional view of a non-passivating release etch process taken along a channel region of a single-wire semiconductor device. Referring to FIG. 1B, a channel cut of a semiconductor stack 100B having a sacrificial layer 102B and nanowire structure 104B is formed above a substrate 106B. Upon etching to remove the sacrificial layer 102B to provide released structure 110B, a portion of nanowire structure 104B is etched due to poor selectivity. In the specific case shown in FIG. 1B, the etch rounds the corners of the nanowire structure 104B to leave etched and rounded nanowire channel 104B′
  • In contrast to the processes described in association with FIGS. 1A and 1B, one or more embodiments involve using simultaneous Ge passivation and sacrificial layer etching, allowing both selective wet-etch removal of the sacrificial layer and protection of the Ge-containing nanowire material. Such approaches prevent loss of the Ge-containing nanowire material, enabling rectangular-shaped nanowires. As an example, FIG. 2 illustrates a cross-sectional view of a passivating release etch process taken along a channel region of a multi-wire semiconductor device, in accordance with an embodiment of the present invention.
  • Referring to FIG. 2, a channel cut of a semiconductor stack 200 having a plurality of sacrificial layers 202 and germanium-based nanowire structures 204 is formed above a substrate 206. Upon etching to remove the sacrificial layers 202 to provide released stack 210, no significant portion of each of the nanowire structures 204 is etched due to high selectivity. In the specific case shown in FIG. 2, rectangular nanowire structures 204 with squared corners are essentially preserved to leave released rectangular nanowire structures 204 with squared corners.
  • In an embodiment, a germanium-based material is preserved against a sacrificial material having less germanium during a wet etch release operation. In one embodiment, a selective chemistry that removes the sacrificial material while preserving the germanium-based material is based on an aqueous solution of ammonium sulfide (NH4)2S which is in equilibrium with ammonium hydrosulfide (NH4)SH. As best understood, the latter component acts to etch the sacrificial layer. Either the ammonium sulfide (NH4)2S or the ammonium hydrosulfide (NH4)SH, or both, acts to passivate at least a portion of the germanium-based material by providing sulfur atoms to the surface of the material. Here, chemical passivation through chemisorption provides bridging or terminal S groups. For example, FIG. 3A is a schematic representation of a germanium-based semiconductor structure 300A having terminal sulfur-pas sivation 302A, in accordance with an embodiment of the present invention. In another example, FIG. 3B is a schematic representation of a germanium-based semiconductor structure 300B having bridging sulfur-passivation 302B, in accordance with an embodiment of the present invention.
  • The above described sulfur passivation need not be entirely uniform nor be provided to every exposed germanium atom to effectuate suitable passivation. For example in one embodiment, although sulfur passivation may not be detected everywhere on the germanium surface, e.g., the passivation may not be perfect chemically, a suitable electrical passivation for impeding etching of the germanium material may be achieved with mere partial coverage of sulfur atoms. Whether completely chemically passivating or only partially chemically passivating (but suitably electrically passivating), the above is in contrast to conventional etching, e.g., a hydroxide (OH)-based wet etch which leads to GeOx formation and ultimate dissolution (i.e., no passivation mechanism).
  • More specifically, in an embodiment, an aqueous solution of approximately 10% by weight (NH4)2S is used to etch a silicon-rich material (selective to a germanium-rich material) at an etch rate of about 1 nanometer/minute at a temperature of approximately 75 degrees Celsius. In a more general embodiment, an aqueous solution of (NH4)2S with a % weight approximately in the range of 1%-25% of (NH4)2S is used. The pH of the solution is basic at approximately 9+/−1. In general, a workable etch rate is not observed below approximately 55 degrees Celsius. As for concentration, no significant concentration modulation is observed approximately between 55 and 75 degrees Celsius. In a general embodiment, a solution of (NH4)2S having a temperature approximately in the range of 40-75 degrees Celsius is used. Above approximately 75 degrees Celsius, however, concentration modulation of the (NH4)2S may be used to vary the etch rate of the silicon-rich material. However, selectivity against the germanium-rich material may be impacted detrimentally. Furthermore, although sonication may be used for etch rate tunability, a non-agitated solution may be preferred when handling structures with very small features undergoing a release etch (e.g., nanowire release).
  • More generally, in an embodiment, a silicon-rich release or sacrificial layer is etched with high selectivity to a germanium-rich semiconductor structure that is preserved. Such etches may be effective for, e.g., etching an essentially pure silicon release layer with selectivity to an essentially pure germanium structure, such as a germanium nanowire, in accordance with one embodiment. However, intermediate compositions may also benefit from etching approaches described herein. For example, in another embodiment, a silicon germanium layer is removed with selectivity to an essentially pure germanium structure. In another embodiment, a silicon germanium release layer having a first germanium concentration is removed with selectivity to a silicon germanium structure having a second, higher, germanium concentration. In yet another embodiment, an essentially pure silicon release layer is removed with selectivity to a silicon germanium structure. In a specific embodiment, an approximately Si0.5Ge0.5 release layer is removed with selectivity to an essentially pure germanium structure. The release layer in this case has a composition suitable for germanium growth thereon but also sufficiently different for selective etching.
  • Semiconductor devices based on a released stack such as stack 210 (described above) or semiconductor devices 400 and 600 (described below) may be a semiconductor device incorporating a gate, a channel region and a pair of source/drain regions. In an embodiment, the semiconductor device is one such as, but not limited to, a MOS-FET or a Microelectromechanical System (MEMS). In one embodiment, the semiconductor device is a three-dimensional MOS-FET and is an isolated device or is one device in a plurality of nested devices. As will be appreciated for a typical integrated circuit, both N- and P-channel transistors may be fabricated on a single substrate to form a CMOS integrated circuit. Furthermore, additional interconnect wiring may be fabricated in order to integrate such devices into an integrated circuit.
  • As mentioned above, a selective wet etch may be used to fabricate a germanium-based nanowire device (see more detailed description in association with FIGS. 4A-4C below), but may also be used in other three-dimensional semiconductor devices (e.g., devices with protruding channel regions, such as in a tri-gate or FIN-FET based MOS-FETs, particularly gate all-around devices, e.g., described below in association with FIG. 6).
  • In a first example, FIG. 4A illustrates a three-dimensional cross-sectional view of a nanowire-based semiconductor structure having germanium-based active regions with a release etch-passivation surface, in accordance with an embodiment of the present invention. FIG. 4B illustrates a cross-sectional channel view of the nanowire-based semiconductor structure of FIG. 4A, as taken along the a-a′ axis. FIG. 4C illustrates a cross-sectional spacer view of the nanowire-based semiconductor structure of FIG. 4A, as taken along the b-b′ axis.
  • Referring to FIG. 4A, a semiconductor device 400 includes one or more vertically stacked nanowires (404 set) disposed above a substrate 402. Embodiments herein are targeted at both single wire devices and multiple wire devices. As an example, a three nanowire-based devices having nanowires 404A, 404B and 404C is shown for illustrative purposes. For convenience of description, nanowire 404A is used as an example where description is focused on only one of the nanowires. It is to be understood that where attributes of one nanowire are described, embodiments based on a plurality of nanowires may have the same attributes for each of the nanowires.
  • Each of the nanowires 404 includes a channel region 406 disposed in the nanowire. The channel region 406 has a length (L). Referring to FIG. 4B, the channel region also has a perimeter orthogonal to the length (L). Referring to both FIGS. 4A and 4B, a gate electrode stack 408 surrounds the entire perimeter of each of the channel regions 406. The gate electrode stack 408 includes a gate electrode along with a gate dielectric layer disposed between the channel region 406 and the gate electrode (not shown). The channel region 406 is discrete in that it is completely surrounded by the gate electrode stack 408 without any intervening material such as underlying substrate material or overlying channel fabrication materials. Accordingly, in embodiments having a plurality of nanowires 404, the channel regions 406 of the nanowires are also discrete relative to one another, as depicted in FIG. 4B.
  • In an embodiment, the channel region 406 includes a germanium-rich material portion 406A and a passivated surface 406B. It is to be understood that, for illustrative purposes, the relative thickness of the passivated surface 406B is depicted as much greater than would normally be expected. In an embodiment, the germanium-rich material portion 406A is composed of germanium (Ge) or silicon germanium (SiGe) and the passivated surface 406B is composed of germanium-sulfur bonds.
  • In an embodiment, the nanowires 404 may be sized as wires or ribbons (the latter described below), and may have squared-off or rounded corners. In any case, however, in an embodiment, the sizing and shaping of each channel region is essentially the same as prior to a release etch used to fabricate the discrete channel regions 406. In an embodiment, the nanowires 404 are uniaxially strained nanowires. The uniaxially strained nanowire or plurality of nanowires may be uniaxially strained with tensile strain or with compressive strain, e.g., for NMOS or PMOS, respectively.
  • The width and height of each of the channel regions 406 is shown as approximately the same in FIG. 4B, however, they need not be. For example, in another embodiment (not shown), the width of the nanowires 404 is substantially greater than the height. In a specific embodiment, the width is approximately 2-10 times greater than the height. Nanowires with such geometry may be referred to as nanoribbons. In an alternative embodiment (also not shown), the nanoribbons are oriented vertically. That is, each of the nanowires 404 has a width and a height, the width substantially less than the height. In an embodiment, the nanowires 404 may be sized as wires or ribbons, and may have squared-off or rounded corners.
  • Referring again to FIG. 4A, each of the nanowires 504 also includes source and drain regions 410 and 412 disposed in the nanowire on either side of the channel region 404. A pair of contacts 414 is disposed over the source/drain regions 410/412. In a specific embodiment, the pair of contacts 414 surrounds the entire perimeter of each of the source/drain regions 410/412, as depicted in FIG. 4A. That is, in an embodiment, the source/drain regions 410/412 are discrete in that they are completely surrounded by the contacts 414 without any intervening material such as underlying substrate material or overlying channel fabrication materials. Accordingly, in such an embodiment having a plurality of nanowires 404, the source/drain regions 410/412 of the nanowires are also discrete relative to one another. Additionally, in an embodiment, where release layer portions are removed to provide discrete source/drain regions 410/412, a sulfur passivation layer is disposed at the outer surface of each region, e.g., resulting from a selective and passivating wet etch as described for the channel regions 406.
  • Referring again to FIG. 4A, in an embodiment, the semiconductor device 400 further includes a pair of spacers 416. The spacers 416 are disposed between the gate electrode stack 408 and the pair of contacts 414. As described above, the channel regions and the source/drain regions are, in at least several embodiments, made to be discrete (e.g., by a selective and passivating wet etch process). However, not all regions of the nanowires 404 need be, or even can be made to be discrete. For example, referring to FIG. 4C, nanowires 404A-404C are not discrete at the location under spacers 416. In one embodiment, the stack of nanowires 404A-404C have intervening semiconductor material 480 there between, such as silicon-rich material intervening between germanium-rich nanowires, as described below in association with FIGS. 5A-5F. In one embodiment, the bottom nanowire 404A is still in contact with a portion of substrate 402, e.g., in contact with an insulating layer portion disposed on a bulk substrate. Thus, in an embodiment, a portion of the plurality of vertically stacked nanowires under one or both of the spacers 416 is non-discrete.
  • Although the device 400 described above is for a single device, e.g., an NMOS or a PMOS device, a CMOS architecture may also be formed to include both NMOS and PMOS nanowire-based devices disposed on or above the same substrate, e.g., as described in association with FIGS. 5A-5F, below.
  • Referring again to FIGS. 4A-4C, the substrate 402 may be composed of a material suitable for semiconductor device fabrication. In one embodiment, substrate 402 includes a lower bulk substrate composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material. An upper insulator layer composed of a material which may include, but is not limited to, silicon dioxide, silicon nitride or silicon oxy-nitride is disposed on the lower bulk substrate. Thus, the structure 400 may be fabricated from a starting semiconductor-on-insulator substrate. As such, in one embodiment, the plurality of vertically stacked nanowires 404 is disposed above a bulk crystalline substrate having an intervening dielectric layer disposed thereon, as depicted in FIGS. 4A-4C. Alternatively, the structure 400 is formed directly from a bulk substrate and local oxidation is used to form electrically insulative portions in place of the above described upper insulator layer. As such, in another embodiment, the plurality of vertically stacked nanowires 404 is disposed above a bulk crystalline substrate having no intervening dielectric layer disposed thereon. In another embodiment, a top barrier layer having a high band gap, such as a group III-V material barrier layer is used to isolate the bottom nanowire 404A from and underlying substrate.
  • In an embodiment, referring again to FIG. 4A, the gate electrode of gate electrode stack 408 is composed of a metal gate and the gate dielectric layer is composed of a high-K material. For example, in one embodiment, the gate dielectric layer is composed of a material such as, but not limited to, hafnium oxide, hafnium oxy-nitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide, barium strontium titanate, barium titanate, strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or a combination thereof. Furthermore, a portion of gate dielectric layer may include a layer of native oxide formed from the top few layers of the nanowire 404. In an embodiment, the gate dielectric layer is composed of a top high-k portion and a lower portion composed of an oxide of a semiconductor material. In one embodiment, the gate dielectric layer is composed of a top portion of hafnium oxide and a bottom portion of silicon dioxide or silicon oxy-nitride.
  • In one embodiment, the gate electrode is composed of a metal layer such as, but not limited to, metal nitrides, metal carbides, metal silicides, metal aluminides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel or conductive metal oxides. In a specific embodiment, the gate electrode is composed of a non-workfunction-setting fill material formed above a metal workfunction-setting layer.
  • In an embodiment, the spacers 416 are composed of an insulative dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride or silicon nitride. The contacts 414 are, in an embodiment, fabricated from a metal species. The metal species may be a pure metal, such as nickel or cobalt, or may be an alloy such as a metal-metal alloy or a metal-semiconductor alloy (e.g., such as a silicide material).
  • Referring again to FIG. 4A, each of the nanowires 404 also includes source and drain regions 410/412 disposed in or on the nanowire on either side of the channel regions 406. In an embodiment, the source and drain regions 410/412 are embedded source and drain regions, e.g., at least a portion of the nanowires is removed and replaced with a source/drain material region. However, in another embodiment, the source and drain regions 410/412 are composed of, or at least include, portions of the one or more nanowires 404.
  • It is to be understood that although the device 400 described above is for a single device, a CMOS architecture may also be formed to include both NMOS and PMOS nanowire-based devices disposed on or above the same substrate. Thus, in another aspect, methods of fabricating nanowires using passivating etchants are provided. FIGS. 5A-5F illustrate three-dimensional cross-sectional views representing various operations in a method of fabricating a CMOS nanowire semiconductor structure, in accordance with an embodiment of the present invention.
  • A method of fabricating a nanowire semiconductor structure may, in an embodiment, include forming both a PMOS nanowire-based semiconductor device and an adjacent NMOS nanowire-based semiconductor device. Each device may be fabricated by forming a nanowire above a substrate. In a specific embodiment ultimately providing the formation of two nanowires for each of the NMOS and PMOS nanowire-based semiconductor devices, FIG. 5A illustrates an initial structure 500 having a substrate 502 (e.g., composed of a bulk substrate 502A with an insulating or barrier or compositional buffer layer 502B there on). A silicon-rich layer 504/germanium-rich layer 506/silicon-rich layer 508/germanium-rich layer 510 stack is disposed on the stack 502. Of course, the ordering of such layers may be reversed.
  • Referring to FIG. 5B, a portion of the silicon-rich layer 504/germanium-rich layer 506/silicon-rich layer 508/germanium-rich layer 510 stack as well as a top portion of the insulator or barrier or compositional buffer layer 502B is patterned into a fin-type structure 512, e.g., with a mask and plasma etch process. Thus, in an embodiment, a free surface is formed on either side of each of the silicon-rich and germanium-rich layers by patterning to provide the fin-type structure 512.
  • In a specific example showing the formation of three gate structures, FIG. 5C illustrates the fin-type structure 512 with three sacrificial gates 514A, 514B, and 514C disposed thereon. In one such embodiment, the three sacrificial gates 514A, 514B, and 514C are composed of a sacrificial gate oxide layer 516 and a sacrificial polysilicon gate layer 518 which are, e.g., blanket deposited and patterned with a plasma etch process.
  • Following patterning to form the three sacrificial gates 514A, 514B, and 514C, spacers may be formed on the sidewalls of the three sacrificial gates 514A, 514B, and 514C, doping may be performed in regions 520 of the fin-type structure 512 shown in FIG. 5C (e.g., tip and/or source and drain type doping), and an interlayer dielectric layer may be formed to cover and then re-expose the three sacrificial gates 514A, 514B, and 514C. The interlayer dielectric layer may then be polished to expose the three sacrificial gates 514A, 514B, and 514C for a replacement gate, or gate-last, process. Referring to FIG. 5D, the three sacrificial gates 514A, 514B, and 514C are exposed, along with spacers 522 and interlayer dielectric layer 524.
  • The sacrificial gates 514A, 514B, and 514C may then be removed, e.g., in a replacement gate or gate-last process flow, to expose channel portions of the fin-type structure 512. Referring to the left-hand portion of FIG. 5E, in the case that the fin-type structure 512 is used to fabricate an NMOS device, the sacrificial gates 514A, 514B, and 514C are removed to provide trenches 526. Portions of the germanium- rich layers 506 and 510 exposed by the trenches 526, as well as exposed portions of the insulating or barrier or compositional buffer layer 502B, are removed to leave discrete portions of the silicon- rich layers 504 and 508. Referring to the right-hand portion of FIG. 5E, in the case that the fin-type structure 512 is used to fabricate a PMOS device, the sacrificial gates 514A, 514B, and 514C are removed to provide trenches 528. Portions of the silicon- rich layers 504 and 508 exposed by the trenches 528 are removed to leave discrete portions of the germanium- rich layers 506 and 510.
  • In an embodiment, etching the portion of the silicon-rich release layer includes passivating exposed portions of the germanium-rich nanowire at the same time. In one such embodiment, a wet etchant based on an aqueous solution of approximately 10% by weight (NH4)2S is used. In a specific such embodiment, the etching is performed at a temperature approximately in the range of 55-75 degrees Celsius. In another specific such embodiment, the etching is performed at a temperature of approximately 75 degrees Celsius. In another specific such embodiment an etch rate of about 1 nanometer/minute is used for the silicon-rich material. In an embodiment, a pH of approximately 9 is used. In an embodiment, passivating exposed portions of the germanium-rich layers includes forming terminal sulfur-germanium bonds or bridging sulfur-germanium bonds, or both. In an embodiment, passivating exposed portions of the germanium-rich layers includes incompletely chemically passivating the exposed portions of the germanium-rich layers but sufficiently electrically passivating the exposed portions of the germanium-rich layers to inhibit etching of the exposed portions of the germanium-rich layers during etching of the silicon-rich release layers.
  • Thus, in an embodiment, referring to the right-hand portion of FIG. 5E, the silicon- rich layers 504 and 508 are etched selectively with a wet etch that selectively removes the silicon-rich while not etching (and while additionally passivating) the germanium- rich nanowire structures 506 and 510. In another embodiment, referring to the left-hand portion of FIG. 5E, the germanium- rich layers 506 and 510 are etched selectively with a wet etch that selectively removes the germanium-rich layers while not etching the silicon- rich nanowire structures 504 and 508. Thus, either the silicon-rich layers may be removed from the fin-type structure 512 to form germanium-rich channel nanowires, or the germanium-rich layers may be removed from the fin-type structure 512 to form silicon-rich channel nanowires. The discrete portions of the silicon-rich layers 504 and 508 (NMOS) or the germanium-rich layers 506 and 510 (PMOS) shown in FIG. 5E will, in one embodiment, ultimately become channel regions in a nanowire-based structure.
  • Following formation of the discrete channel regions as depicted in FIG. 5E, high-k gate dielectric and metal gate processing may be performed and source and drain contacts may be added. In the specific example showing the formation of three gate structures over two silicon-rich nanowires (NMOS) or over two germanium-rich nanowires (PMOS), FIG. 5F illustrates the structure following deposition of an NMOS gate stack 530 or a PMOS gate stack 532. The gate stacks may be composed of a high-k gate dielectric layer and an N-type or P-type metal gate electrode layer, respectively. Additionally, FIG. 5F depicts the result of the subsequent removal of the interlayer dielectric layer 524 after formation of the permanent gate stack. Contacts may be formed in the place of the interlayer dielectric layer 524 portions remaining in FIG. 5E. In an embodiment, at some stage during the process of removing 524 and forming contacts 534, source and drain engineering may also be performed.
  • In another example, FIG. 6 illustrates an angled view of a non-planar semiconductor device having a germanium-based active region with a release etch-passivation surface, in accordance with an embodiment of the present invention.
  • Referring to FIG. 6, a semiconductor device 600 includes a hetero-structure 604 disposed above a substrate 602. The hetero-structure 604 includes a compositional buffer layer 628. A three-dimensional germanium-rich material body 606, such as an essentially pure Ge body, with a channel region 608 is disposed above the compositional buffer layer 628. A gate stack 618 is disposed to surround at least a portion of the channel region 608. The gate stack 618 includes a gate electrode 624 and a gate dielectric layer 620. The gate stack may further include dielectric spacers 640. Source and drain regions 614/616 may be formed in or on portions of the three-dimensional body 606 not surrounded by gate stack 618, or may be formed or adjacent to the channel region 608 (e.g., in the case of embedded regions formed by etching and subsequent epitaxial growth). Also, isolation regions 670 may be included.
  • In an embodiment, not viewable from the perspective of FIG. 6, the gate stack completely surrounds the channel region 608. In that embodiment, a release layer was removed (e.g., a portion of the compositional buffer layer was removed), at least at the channel region 608, e.g., by a selective and passivating wet etch. In one such embodiment, at least the outer surface of the channel region 608 of the body 606 is passivated by sulfur atoms.
  • Thus, one or more embodiments described herein are targeted at active region arrangements having passivated surfaces. Although described above with respect to benefits for non-planar and gate-all-around devices, benefits may also be achieved for planar devices without gate wrap-around features. Thus, such arrangements may be included to form high mobility material-based transistors such as planar devices, fin or tri-gate based devices, and gate all around devices, including nanowire-based devices. It is to be understood that formation of materials such as the silicon-rich and germanium-rich material layers described herein may be performed by techniques such as, but not limited to, chemical vapor deposition (CVD) or molecular beam epitaxy (MBE), or other like processes.
  • FIG. 7 illustrates a computing device 700 in accordance with one implementation of the invention. The computing device 700 houses a board 702. The board 702 may include a number of components, including but not limited to a processor 704 and at least one communication chip 706. The processor 704 is physically and electrically coupled to the board 702. In some implementations the at least one communication chip 706 is also physically and electrically coupled to the board 702. In further implementations, the communication chip 706 is part of the processor 704.
  • Depending on its applications, computing device 700 may include other components that may or may not be physically and electrically coupled to the board 702. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • The communication chip 706 enables wireless communications for the transfer of data to and from the computing device 700. The term “wireless” and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not. The communication chip 706 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The computing device 700 may include a plurality of communication chips 706. For instance, a first communication chip 706 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 706 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • The processor 704 of the computing device 700 includes an integrated circuit die packaged within the processor 704. In some implementations of the invention, the integrated circuit die of the processor includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention. The term “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • The communication chip 706 also includes an integrated circuit die packaged within the communication chip 706. In accordance with another implementation of the invention, the integrated circuit die of the communication chip includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • In further implementations, another component housed within the computing device 700 may contain an integrated circuit die that includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • In various implementations, the computing device 700 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device 700 may be any other electronic device that processes data.
  • Thus, embodiments of the present invention include non-planar semiconductor devices having germanium-based active regions with release etch-passivation surfaces.
  • In an embodiment, a semiconductor device includes a vertical arrangement of a plurality of germanium-rich nanowires disposed above a substrate. Each nanowire includes a channel region having a sulfur-passivated outer surface. A gate stack is disposed on and completely surrounds the channel region of each of the germanium-rich nanowires. The gate stack includes a gate dielectric layer disposed on and surrounding the sulfur-passivated outer surface and a gate electrode disposed on the gate dielectric layer. Source and drain regions are disposed on either side of the channel regions of the germanium-rich nanowires.
  • In one embodiment, the sulfur-passivated outer surface of each channel region includes bridging sulfur atoms, each bridging sulfur atom bonded to two or more germanium atoms of the corresponding germanium-rich nanowire.
  • In one embodiment, the sulfur-passivated outer surface of each channel region includes terminal sulfur atoms, each terminal sulfur atom bonded to a germanium atom of the corresponding germanium-rich nanowire.
  • In one embodiment, the semiconductor device further includes a dielectric spacer on either side of the gate stack and over the vertical arrangement of the plurality of germanium-rich nanowires. An intervening silicon-rich semiconductor material is disposed between the portions of the germanium-rich nanowires underneath each spacer.
  • In one embodiment, the germanium-rich nanowires are composed essentially of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium or silicon.
  • In one embodiment, the germanium-rich nanowires are composed essentially of silicon germanium having a first concentration of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium having a second, lower, concentration of germanium.
  • In one embodiment, the germanium-rich nanowires are composed essentially of silicon germanium, and the intervening silicon-rich semiconductor material are composed essentially of silicon.
  • In one embodiment, the source regions of each germanium-rich nanowire are formed in the germanium-rich nanowire and are discrete relative to one another. The drain regions of each germanium-rich nanowire are formed in the germanium-rich nanowire and are discrete relative to one another. The source and drain regions of each germanium-rich nanowire have a sulfur-passivated outer surface.
  • In one embodiment, the semiconductor device further includes a conductive source contact surrounding each of the discrete source regions. A conductive drain contact surrounds each of the discrete drain regions.
  • In one embodiment, the gate dielectric layer is a high-k gate dielectric layer, and the gate electrode is a metal gate electrode.
  • In an embodiment, a semiconductor device includes a hetero-structure disposed above a substrate and having a three-dimensional germanium-rich semiconductor body with a channel region including a sulfur-passivated outer surface. A gate stack is disposed on and surrounds the channel region. The gate stack includes a gate dielectric layer disposed on the sulfur-passivated outer surface of the channel region and a gate electrode disposed on the gate dielectric layer. Source and drain regions are disposed on either side of channel region of the three-dimensional semiconductor body.
  • In one embodiment, the sulfur-passivated outer surface of the channel region includes bridging sulfur atoms, each bridging sulfur atom bonded to two or more germanium atoms of the three-dimensional germanium-rich semiconductor body.
  • In one embodiment, the sulfur-passivated outer surface of the channel region includes terminal sulfur atoms, each terminal sulfur atom bonded to a germanium atom of the three-dimensional germanium-rich semiconductor body.
  • In one embodiment, the semiconductor device further includes a dielectric spacer on either side of the gate stack and over the heterostructure. An intervening silicon-rich semiconductor material is disposed below portions of the three-dimensional germanium-rich semiconductor body underneath each spacer.
  • In one embodiment, the three-dimensional germanium-rich semiconductor body is composed essentially of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium or silicon.
  • In one embodiment, the three-dimensional germanium-rich semiconductor body is composed essentially of silicon germanium having a first concentration of germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon germanium having a second, lower, concentration of germanium.
  • In one embodiment, the three-dimensional germanium-rich semiconductor body is composed essentially of silicon germanium, and the intervening silicon-rich semiconductor material is composed essentially of silicon.
  • In one embodiment, the device is a tri-gate device.
  • In one embodiment, the device is a fin-fet device.
  • In one embodiment, the gate dielectric layer is a high-k gate dielectric layer, and the gate electrode is a metal gate electrode.
  • In an embodiment, a method of fabricating a nanowire-based semiconductor structure includes forming a silicon-rich release layer above a substrate. The method also includes forming a germanium-rich active layer on the silicon-rich release layer. The method also includes forming, from the germanium-rich active layer, a germanium-rich nanowire. The method also includes etching at least a portion of the silicon-rich release layer to form a discrete channel region for the germanium-rich nanowire. The etching includes etching the portion of the silicon-rich release layer while passivating exposed portions of the germanium-rich nanowire. The method also includes forming a gate electrode stack completely surrounding the discrete channel region of the germanium-rich nanowire.
  • In one embodiment, etching the portion of the silicon-rich release layer while passivating exposed portions of the germanium-rich nanowire includes etching with a wet etchant composed of an aqueous solution of approximately 10% by weight (NH4)2S.
  • In one embodiment, etching with the wet etchant includes etching at a temperature approximately in the range of 55-75 degrees Celsius.
  • In one embodiment, etching with the wet etchant includes etching at a temperature of approximately 75 degrees Celsius.
  • In one embodiment, etching with the wet etchant includes using an etch rate of about 1 nanometer/minute for the silicon-rich material.
  • In one embodiment, etching with the wet etchant includes using a pH of approximately 9.
  • In one embodiment, passivating exposed portions of the germanium-rich nanowire includes forming terminal sulfur-germanium bonds or bridging sulfur-germanium bonds, or both.
  • In one embodiment, passivating exposed portions of the germanium-rich nanowire includes incompletely chemically passivating the exposed portions of the germanium-rich nanowire but sufficiently electrically passivating the exposed portions of the germanium-rich nanowire to inhibit etching of the exposed portions of the germanium-rich nanowire during etching of the silicon-rich release layer.
  • In one embodiment, etching the portion of the silicon-rich release layer to form the discrete channel region includes etching a material composed essentially of silicon or silicon germanium selective to a nanowire composed essentially of germanium.
  • In one embodiment, etching the portion of the silicon-rich release layer to form the discrete channel region includes etching a material composed essentially of silicon or silicon germanium with a first germanium concentration selective to a nanowire composed essentially of silicon germanium with a second, higher, germanium concentration.

Claims (21)

1. A semiconductor device, comprising:
a vertical arrangement of a plurality of germanium-rich nanowires disposed above a substrate, each nanowire comprising a channel region having a sulfur-passivated outer surface;
a gate stack disposed on and completely surrounding the channel region of each of the germanium-rich nanowires, the gate stack comprising a gate dielectric layer disposed on and surrounding the sulfur-passivated outer surface and a gate electrode disposed on the gate dielectric layer; and
source and drain regions disposed on either side of the channel regions of the germanium-rich nanowires.
2. The semiconductor device of claim 1, wherein the sulfur-passivated outer surface of each channel region comprises bridging sulfur atoms, each bridging sulfur atom bonded to two or more germanium atoms of the corresponding germanium-rich nanowire.
3. The semiconductor device of claim 1, wherein the sulfur-passivated outer surface of each channel region comprises terminal sulfur atoms, each terminal sulfur atom bonded to a germanium atom of the corresponding germanium-rich nanowire.
4. The semiconductor device of claim 1, further comprising:
a dielectric spacer on either side of the gate stack and over the vertical arrangement of the plurality of germanium-rich nanowires, wherein an intervening silicon-rich semiconductor material is disposed between the portions of the germanium-rich nanowires underneath each spacer.
5. The semiconductor device of claim 4, wherein the germanium-rich nanowires consist essentially of germanium, and the intervening silicon-rich semiconductor material consists essentially of silicon germanium or silicon.
6. The semiconductor device of claim 4, wherein the germanium-rich nanowires consist essentially of silicon germanium having a first concentration of germanium, and the intervening silicon-rich semiconductor material consists essentially of silicon germanium having a second, lower, concentration of germanium.
7. The semiconductor device of claim 4, wherein the germanium-rich nanowires consist essentially of silicon germanium, and the intervening silicon-rich semiconductor material consists essentially of silicon.
8. The semiconductor device of claim 1, wherein the source regions of each germanium-rich nanowire are formed in the germanium-rich nanowire and are discrete relative to one another, the drain regions of each germanium-rich nanowire are formed in the germanium-rich nanowire and are discrete relative to one another, and the source and drain regions of each germanium-rich nanowire has a sulfur-passivated outer surface.
9. The semiconductor device of claim 8, further comprising:
a conductive source contact surrounding each of the discrete source regions; and
a conductive drain contact surrounding each of the discrete drain regions.
10. The semiconductor device of claim 1, wherein the gate dielectric layer is a high-k gate dielectric layer, and the gate electrode is a metal gate electrode.
11. A semiconductor device, comprising:
a hetero-structure disposed above a substrate and comprising a three-dimensional germanium-rich semiconductor body with a channel region having a sulfur-passivated outer surface;
a gate stack disposed on and surrounding the channel region, the gate stack comprising a gate dielectric layer disposed on the sulfur-passivated outer surface of the channel region and a gate electrode disposed on the gate dielectric layer; and
source and drain regions disposed on either side of channel region of the three-dimensional semiconductor body.
12. The semiconductor device of claim 11, wherein the sulfur-passivated outer surface of the channel region comprises bridging sulfur atoms, each bridging sulfur atom bonded to two or more germanium atoms of the three-dimensional germanium-rich semiconductor body.
13. The semiconductor device of claim 11, wherein the sulfur-passivated outer surface of the channel region comprises terminal sulfur atoms, each terminal sulfur atom bonded to a germanium atom of the three-dimensional germanium-rich semiconductor body.
14. The semiconductor device of claim 11, further comprising:
a dielectric spacer on either side of the gate stack and over the heterostructure, wherein an intervening silicon-rich semiconductor material is disposed below portions of the three-dimensional germanium-rich semiconductor body underneath each spacer.
15. The semiconductor device of claim 14, wherein the three-dimensional germanium-rich semiconductor body consists essentially of germanium, and the intervening silicon-rich semiconductor material consists essentially of silicon germanium or silicon.
16. The semiconductor device of claim 14, wherein the three-dimensional germanium-rich semiconductor body consists essentially of silicon germanium having a first concentration of germanium, and the intervening silicon-rich semiconductor material consists essentially of silicon germanium having a second, lower, concentration of germanium.
17. The semiconductor device of claim 14, wherein the three-dimensional germanium-rich semiconductor body consists essentially of silicon germanium, and the intervening silicon-rich semiconductor material consists essentially of silicon.
18. The semiconductor device of claim 11, wherein the device is a tri-gate device.
19. The semiconductor device of claim 11, wherein the device is a fin-fet device.
20. The semiconductor device of claim 11, wherein the gate dielectric layer is a high-k gate dielectric layer, and the gate electrode is a metal gate electrode.
21.-30. (canceled)
US13/630,808 2012-09-28 2012-09-28 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface Abandoned US20140091279A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US13/630,808 US20140091279A1 (en) 2012-09-28 2012-09-28 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
CN201380045066.6A CN104584225B (en) 2012-09-28 2013-06-10 Non-planar semiconductor device with the active area based on germanium and its release etch passivated surface
KR1020177023227A KR102012114B1 (en) 2012-09-28 2013-06-10 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
KR1020157005089A KR101772298B1 (en) 2012-09-28 2013-06-10 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
EP13842457.7A EP2901488B1 (en) 2012-09-28 2013-06-10 Methods for manufacturing non-planar semiconductor devices having germanium-based active regions with a combined release-etch passivation step.
PCT/US2013/045029 WO2014051723A1 (en) 2012-09-28 2013-06-10 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
TW104139164A TWI590463B (en) 2012-09-28 2013-09-16 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
TW102133463A TWI523231B (en) 2012-09-28 2013-09-16 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
TW106113295A TWI651857B (en) 2012-09-28 2013-09-16 Non-planar semiconductor device having a germanium-based active region with an etched passivation surface released (3)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/630,808 US20140091279A1 (en) 2012-09-28 2012-09-28 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface

Publications (1)

Publication Number Publication Date
US20140091279A1 true US20140091279A1 (en) 2014-04-03

Family

ID=50384313

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/630,808 Abandoned US20140091279A1 (en) 2012-09-28 2012-09-28 Non-planar semiconductor device having germanium-based active region with release etch-passivation surface

Country Status (6)

Country Link
US (1) US20140091279A1 (en)
EP (1) EP2901488B1 (en)
KR (2) KR101772298B1 (en)
CN (1) CN104584225B (en)
TW (3) TWI523231B (en)
WO (1) WO2014051723A1 (en)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140131660A1 (en) * 2011-12-23 2014-05-15 Stephen M. Cea Uniaxially strained nanowire structure
US20150236120A1 (en) * 2014-02-14 2015-08-20 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US20160056236A1 (en) * 2013-08-20 2016-02-25 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
US9343529B2 (en) * 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
US9390980B1 (en) 2015-03-24 2016-07-12 International Business Machines Corporation III-V compound and germanium compound nanowire suspension with germanium-containing release layer
US20160204263A1 (en) * 2013-09-27 2016-07-14 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
US9425291B1 (en) * 2015-12-09 2016-08-23 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
WO2017003410A1 (en) * 2015-06-27 2017-01-05 Intel Corporation Integration method for finfet with tightly controlled multiple fin heights
US20170069481A1 (en) * 2015-09-04 2017-03-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
WO2017145906A1 (en) * 2016-02-25 2017-08-31 株式会社ソシオネクスト Semiconductor integrated circuit device
US10014393B2 (en) 2016-06-08 2018-07-03 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
WO2018182749A1 (en) * 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US10103238B1 (en) * 2017-07-18 2018-10-16 Globalfoundries Inc. Nanosheet field-effect transistor with full dielectric isolation
US20180350984A1 (en) * 2014-03-14 2018-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with core-shell structures
US20190181267A1 (en) * 2014-08-15 2019-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D Contact and Method of Making Same
US10332809B1 (en) * 2018-06-21 2019-06-25 International Business Machines Corporation Method and structure to introduce strain in stack nanosheet field effect transistor
US10431683B2 (en) * 2016-12-15 2019-10-01 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for making a semiconductor device with a compressive stressed channel
US10461165B2 (en) * 2014-07-02 2019-10-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of formation
US10510871B1 (en) * 2018-08-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
WO2020005486A1 (en) * 2018-06-26 2020-01-02 Lam Research Corporation Selective atomic layer etching
US10559675B2 (en) 2017-12-21 2020-02-11 International Business Machines Corporation Stacked silicon nanotubes
US20200119015A1 (en) * 2018-10-12 2020-04-16 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10665669B1 (en) 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
TWI700830B (en) * 2018-09-28 2020-08-01 大陸商芯恩(青島)積體電路有限公司 Inversion mode gate-all-around nanosheet complementary inverter and method of making the same
US20210193652A1 (en) * 2019-12-18 2021-06-24 Intel Corporation Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102020106441A1 (en) 2020-03-03 2021-09-09 Taiwan Semiconductor Manufacturing Co. Ltd. Spacer structures for semiconductor components
US20210328059A1 (en) * 2020-04-21 2021-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors Having Nanostructures
US11158730B2 (en) 2016-08-22 2021-10-26 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US11164788B2 (en) 2016-04-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US11296079B2 (en) * 2017-12-28 2022-04-05 Intel Corporation PMOS and NMOS contacts in common trench
US20220140150A1 (en) * 2015-12-09 2022-05-05 Samsung Electronics Co., Ltd. Semiconductor device
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
EP4015447A1 (en) * 2020-12-15 2022-06-22 INTEL Corporation Integrated circuit structures having boron-doped gesn source or drain structures
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
EP4195251A1 (en) * 2021-12-13 2023-06-14 Intel Corporation Integrated circuit structures having versatile channel placement

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9293523B2 (en) * 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
EP3112316B1 (en) * 2015-07-02 2018-05-02 IMEC vzw Method for manufacturing transistor devices comprising multiple nanowire channels
CN106409907B (en) * 2015-08-03 2021-06-08 三星电子株式会社 Stack for semiconductor device and method of forming the same
CN107623033A (en) * 2016-07-13 2018-01-23 中芯国际集成电路制造(上海)有限公司 More raceway groove all-around-gate pole devices and its manufacture method
US10002922B1 (en) * 2016-12-14 2018-06-19 Taiwan Semiconductor Manufacturing Company Process to etch semiconductor materials
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
WO2022158330A1 (en) * 2021-01-25 2022-07-28 株式会社明電舎 Vehicle inspection device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000174031A (en) * 1998-12-02 2000-06-23 Nec Corp Heterojunction bipolar transistor
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100528486B1 (en) * 2004-04-12 2005-11-15 삼성전자주식회사 Non-volatile memory devices and method for forming the same
US20060099782A1 (en) * 2004-10-15 2006-05-11 Massachusetts Institute Of Technology Method for forming an interface between germanium and other materials
US7521376B2 (en) 2005-10-26 2009-04-21 International Business Machines Corporation Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
WO2009072984A1 (en) * 2007-12-07 2009-06-11 Agency For Science, Technology And Research A silicon-germanium nanowire structure and a method of forming the same
US8084308B2 (en) 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8778749B2 (en) * 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
D. Lee, K. Kubo, T. Kanashima, M. Okuyama, Passivation of Ge(100) and (111) Surfaces by Termination of Nonmetal Elements, Japanese Journal of Applied Physics 51 (2012) 04DA06. *

Cited By (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9905650B2 (en) 2011-12-23 2018-02-27 Intel Corporation Uniaxially strained nanowire structure
US9490320B2 (en) 2011-12-23 2016-11-08 Intel Corporation Uniaxially strained nanowire structure
US9224808B2 (en) * 2011-12-23 2015-12-29 Intel Corporation Uniaxially strained nanowire structure
US20140131660A1 (en) * 2011-12-23 2014-05-15 Stephen M. Cea Uniaxially strained nanowire structure
US11854905B2 (en) 2013-08-20 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9935016B2 (en) * 2013-08-20 2018-04-03 Taiwan Semiconductor Manufacturing Company Ltd. Silicon and silicon germanium nanowire formation
US20160056236A1 (en) * 2013-08-20 2016-02-25 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US20190103322A1 (en) * 2013-08-20 2019-04-04 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9634091B2 (en) * 2013-08-20 2017-04-25 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US10163729B2 (en) * 2013-08-20 2018-12-25 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US10699964B2 (en) * 2013-08-20 2020-06-30 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US20200051870A1 (en) * 2013-08-20 2020-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and Silicon Germanium Nanowire Formation
US10943833B2 (en) * 2013-08-20 2021-03-09 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US20170194215A1 (en) * 2013-08-20 2017-07-06 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US20160204263A1 (en) * 2013-09-27 2016-07-14 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
US10693008B2 (en) * 2013-09-27 2020-06-23 Intel Corporation Cladding layer epitaxy via template engineering for heterogeneous integration on silicon
US20150236120A1 (en) * 2014-02-14 2015-08-20 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9917200B2 (en) 2014-02-14 2018-03-13 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9608063B2 (en) 2014-02-14 2017-03-28 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US20180350984A1 (en) * 2014-03-14 2018-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with core-shell structures
US11245033B2 (en) * 2014-03-14 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor devices with core-shell structures
US11043569B2 (en) 2014-07-02 2021-06-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of formation
US10461165B2 (en) * 2014-07-02 2019-10-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of formation
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
US11670717B2 (en) 2014-08-15 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US10693009B2 (en) * 2014-08-15 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US20190181267A1 (en) * 2014-08-15 2019-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D Contact and Method of Making Same
US9343529B2 (en) * 2014-09-05 2016-05-17 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
US10217818B2 (en) 2014-09-05 2019-02-26 International Business Machines Corporation Method of formation of germanium nanowires on bulk substrates
US9390980B1 (en) 2015-03-24 2016-07-12 International Business Machines Corporation III-V compound and germanium compound nanowire suspension with germanium-containing release layer
US9570563B2 (en) 2015-03-24 2017-02-14 International Business Machines Corporation III-V compound and Germanium compound nanowire suspension with Germanium-containing release layer
US20180158737A1 (en) * 2015-06-27 2018-06-07 Intel Corporation Integration method for finfet with tightly controlled multiple fin heights
US11335600B2 (en) * 2015-06-27 2022-05-17 Intel Corporation Integration method for finfet with tightly controlled multiple fin heights
WO2017003410A1 (en) * 2015-06-27 2017-01-05 Intel Corporation Integration method for finfet with tightly controlled multiple fin heights
US11004678B2 (en) 2015-09-04 2021-05-11 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10037885B2 (en) 2015-09-04 2018-07-31 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US20170069481A1 (en) * 2015-09-04 2017-03-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10522342B2 (en) 2015-09-04 2019-12-31 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9911592B2 (en) * 2015-09-04 2018-03-06 International Business Machines Corporation Method for making nanosheet CMOS device integrating atomic layer deposition process and replacement gate structure
US9812321B2 (en) 2015-09-04 2017-11-07 International Business Machines Corporation Method for making nanosheet CMOS device integrating atomic layer deposition process and replacement gate structure
US11942558B2 (en) * 2015-12-09 2024-03-26 Samsung Electronics Co., Ltd. Semiconductor device
US9786739B2 (en) 2015-12-09 2017-10-10 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
US20220140150A1 (en) * 2015-12-09 2022-05-05 Samsung Electronics Co., Ltd. Semiconductor device
US9425291B1 (en) * 2015-12-09 2016-08-23 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
WO2017145906A1 (en) * 2016-02-25 2017-08-31 株式会社ソシオネクスト Semiconductor integrated circuit device
JPWO2017145906A1 (en) * 2016-02-25 2018-12-27 株式会社ソシオネクスト Semiconductor integrated circuit device
US11177178B2 (en) 2016-04-28 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US11164788B2 (en) 2016-04-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10014393B2 (en) 2016-06-08 2018-07-03 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US11158730B2 (en) 2016-08-22 2021-10-26 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US10431683B2 (en) * 2016-12-15 2019-10-01 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for making a semiconductor device with a compressive stressed channel
US11101350B2 (en) 2017-04-01 2021-08-24 Intel Corporation Integrated circuit with germanium-rich channel transistors including one or more dopant diffusion barrier elements
WO2018182749A1 (en) * 2017-04-01 2018-10-04 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US10692973B2 (en) 2017-04-01 2020-06-23 Intel Corporation Germanium-rich channel transistors including one or more dopant diffusion barrier elements
US10103238B1 (en) * 2017-07-18 2018-10-16 Globalfoundries Inc. Nanosheet field-effect transistor with full dielectric isolation
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10559675B2 (en) 2017-12-21 2020-02-11 International Business Machines Corporation Stacked silicon nanotubes
US10741677B2 (en) 2017-12-21 2020-08-11 International Business Machines Corporation Stacked silicon nanotubes
US11296079B2 (en) * 2017-12-28 2022-04-05 Intel Corporation PMOS and NMOS contacts in common trench
US10332809B1 (en) * 2018-06-21 2019-06-25 International Business Machines Corporation Method and structure to introduce strain in stack nanosheet field effect transistor
WO2020005486A1 (en) * 2018-06-26 2020-01-02 Lam Research Corporation Selective atomic layer etching
TWI794519B (en) * 2018-06-26 2023-03-01 美商蘭姆研究公司 Selective atomic layer etching
US10847375B2 (en) 2018-06-26 2020-11-24 Lam Research Corporation Selective atomic layer etching
US11222963B2 (en) 2018-08-16 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510871B1 (en) * 2018-08-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11817492B2 (en) 2018-08-16 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI700830B (en) * 2018-09-28 2020-08-01 大陸商芯恩(青島)積體電路有限公司 Inversion mode gate-all-around nanosheet complementary inverter and method of making the same
US20200119015A1 (en) * 2018-10-12 2020-04-16 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US11043493B2 (en) * 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10665669B1 (en) 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
US11915946B2 (en) 2019-08-30 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US20210193652A1 (en) * 2019-12-18 2021-06-24 Intel Corporation Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
US11908856B2 (en) * 2019-12-18 2024-02-20 Intel Corporation Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
US11881530B2 (en) 2020-03-03 2024-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structures for semiconductor devices
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
DE102020106441A1 (en) 2020-03-03 2021-09-09 Taiwan Semiconductor Manufacturing Co. Ltd. Spacer structures for semiconductor components
US20220352377A1 (en) * 2020-04-21 2022-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors Having Nanostructures
US11417766B2 (en) * 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US20210328059A1 (en) * 2020-04-21 2021-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors Having Nanostructures
US11908942B2 (en) * 2020-04-21 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
EP4015447A1 (en) * 2020-12-15 2022-06-22 INTEL Corporation Integrated circuit structures having boron-doped gesn source or drain structures
EP4195251A1 (en) * 2021-12-13 2023-06-14 Intel Corporation Integrated circuit structures having versatile channel placement

Also Published As

Publication number Publication date
TWI523231B (en) 2016-02-21
WO2014051723A1 (en) 2014-04-03
TW201417294A (en) 2014-05-01
TWI651857B (en) 2019-02-21
EP2901488A1 (en) 2015-08-05
CN104584225B (en) 2017-12-15
TWI590463B (en) 2017-07-01
KR102012114B1 (en) 2019-08-19
KR20170100043A (en) 2017-09-01
EP2901488A4 (en) 2016-06-29
EP2901488B1 (en) 2021-07-21
CN104584225A (en) 2015-04-29
KR101772298B1 (en) 2017-09-12
KR20150038433A (en) 2015-04-08
TW201611292A (en) 2016-03-16
TW201742254A (en) 2017-12-01

Similar Documents

Publication Publication Date Title
US11139400B2 (en) Non-planar semiconductor device having hybrid geometry-based active region
EP2901488B1 (en) Methods for manufacturing non-planar semiconductor devices having germanium-based active regions with a combined release-etch passivation step.
US10847631B2 (en) Gate-all-around (GAA) transistors with nanowires on an isolation pedestal
US9905650B2 (en) Uniaxially strained nanowire structure
US10074573B2 (en) CMOS nanowire structure
US20170229342A1 (en) Transistor fabrication technique including sacrificial protective layer for source/drain at contact location
US8710490B2 (en) Semiconductor device having germanium active layer with underlying parasitic leakage barrier layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KACHIAN, JESSICA S.;RACHMADY, WILLY;TURKOT, ROBERT B., JR.;REEL/FRAME:029797/0592

Effective date: 20130208

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION