US20140189310A1 - Fault detection in instruction translations - Google Patents

Fault detection in instruction translations Download PDF

Info

Publication number
US20140189310A1
US20140189310A1 US13/728,669 US201213728669A US2014189310A1 US 20140189310 A1 US20140189310 A1 US 20140189310A1 US 201213728669 A US201213728669 A US 201213728669A US 2014189310 A1 US2014189310 A1 US 2014189310A1
Authority
US
United States
Prior art keywords
translation
execution
native
executing
fault event
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/728,669
Inventor
Nathan Tuck
David Dunn
Ross Segelken
Madhu Swarna
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nvidia Corp
Original Assignee
Nvidia Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nvidia Corp filed Critical Nvidia Corp
Priority to US13/728,669 priority Critical patent/US20140189310A1/en
Assigned to NVIDIA CORPORATION reassignment NVIDIA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DUNN, DAVID, SWARNA, MADHU, TUCK, NATHAN, SEGELKEN, ROSS
Priority to TW102140647A priority patent/TWI550511B/en
Priority to DE102013224384.7A priority patent/DE102013224384A1/en
Priority to CN201310741902.6A priority patent/CN103902251A/en
Publication of US20140189310A1 publication Critical patent/US20140189310A1/en
Priority to US15/915,975 priority patent/US10324725B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/3017Runtime instruction translation, e.g. macros
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/0703Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation
    • G06F11/0706Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation the processing taking place on a specific hardware platform or in a specific software environment
    • G06F11/0721Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation the processing taking place on a specific hardware platform or in a specific software environment within a central processing unit [CPU]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/0703Error or fault processing not based on redundancy, i.e. by taking additional measures to deal with the error or fault not making use of redundancy in operation, in hardware, or in data representation
    • G06F11/0793Remedial or corrective actions

Definitions

  • Some computing systems implement translation software to translate portions of target instruction set architecture (ISA) instructions into native instructions that may be executed more quickly and efficiently through various optimization techniques such as combining, reorganizing, and eliminating instructions. More particularly, in transactional computing systems that have the capability to speculate and rollback operations, translations may be optimized in ways that potentially violate the semantics of the target ISA. Due to such optimizations, once a translation has been generated, it can be difficult to distinguish whether events (e.g., architectural fault such as a page violation) encountered while executing a translation are architecturally valid or are spuriously created by over-optimization of the translation.
  • events e.g., architectural fault such as a page violation
  • FIG. 1 schematically shows an example computing system in accordance with an embodiment of the present disclosure.
  • FIG. 2 shows an example of a trap mechanism for pausing execution in order to determine whether a fault event is spuriously created by a translation.
  • FIG. 3 shows an example of a counter mechanism for pausing execution in order determine whether a fault event is spuriously created by a translation.
  • FIG. 4 shows an example of a method for identifying and replacing code translations that generate spurious fault events in accordance with an embodiment of the present disclosure.
  • the present disclosure provides a mechanism for optimizing native translations of corresponding non-native code portions, such as target instruction set architecture (ISA) code portions.
  • ISA target instruction set architecture
  • the intelligent generation of translations, and the optimization thereof, may be handled by translation software, which may be included as part of a software layer that provides an interface between an ISA and a processor core.
  • the present disclosure provides a fault narrowing mechanism that identifies and replaces code translations that generate spurious fault events (e.g., architectural faults).
  • spurious fault events e.g., architectural faults.
  • a translation may be aggressively or overly optimized such that the translation generates spurious fault events. Note that “spurious” means that if the corresponding target ISA code or a functional equivalent thereof were executed, then the fault event would not occur.
  • a fault event may be generated by the target ISA code.
  • the mechanism determines whether a fault event encountered in a translation is generated spuriously by the translation, for example due to over-optimization of the translation, and if it is determined that the fault event was spuriously caused by the translation, it generates a different translation.
  • the translation software redirects execution to an instruction pointer (IP) of a native translation in lieu of corresponding target ISA code by the processor core.
  • IP instruction pointer
  • the native translation may be executed without using a hardware decoder located on the processor core. Note that when this disclosure refers to execution “without using the hardware decoder,” that language may still encompass minor or trivial uses of decode logic in hardware while a translation is being executed. Circumventing the hardware decoder (i.e., by executing a translation) in many cases will improve speed of execution, reduce power consumption, and provide various other benefits.
  • a fault may be encountered. At this point, it is unknown whether the fault is an actual architectural event or if it is an artifact of the way that the code has been optimized in the translation.
  • execution is rolled back to a committed state (e.g., through a checkpoint mechanism), and a different version of code corresponding to the translation that does not produce the artifact event is executed.
  • the alternate version of the code corresponding to the translation is target ISA code that is decoded by a hardware decoder into native instructions. If the fault is encountered during execution of the alternate code, then it is concluded that the translation itself was not the cause of the fault. If the fault is not encountered during execution of the alternate code, then it is concluded that the translation generated the artifact, and it is determined whether to allow future execution of the native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations.
  • the translation is reformed in a different way, and the reformed translation is executed subsequently. In one example, the translation is reformed with fewer optimizations so as not to cause the fault during execution.
  • a translation can be aggressively over-optimized, then quickly narrowed if necessary using the hardware decoder to get to a translation that is suitably optimized to be executed without generating fault events.
  • Implementations without this mechanism would find the overhead of narrowing or re-optimizing to be high enough that translations would tend to be overly conservative or under-optimized to avoid the narrowing process.
  • a software interpreter may be adequate to isolate an architectural event or lack thereof, but would be obtrusively slow for narrowing and re-optimizing as the software interpreter can require hundreds of native instructions to emulate a single target ISA instruction.
  • FIG. 1 shows aspects of an example micro-processing and memory system 100 (e.g., a central processing unit or graphics processing unit of a personal computer, game system, smartphone, etc.) including processor core 102 .
  • processor core 102 e.g., a central processing unit or graphics processing unit of a personal computer, game system, smartphone, etc.
  • the illustrated embodiment includes only one processor core, it will be appreciated that the micro-processing system may include additional processor cores in what may be referred to as a multi-core processing system.
  • Microprocessor core/die 102 variously includes and/or may communicate with various memory and storage locations 104 . In some cases, it will be desirable to allocate a portion (sometimes referred to as a “carveout”) of memory as secure and private such that it is invisible to the user and/or instruction set architecture (ISA) code 106 .
  • ISA instruction set architecture
  • Various data and software may run from, and/or be stored in said allocation, such as software layer 108 and related software structures.
  • the software layer may be configured to generate, optimize, and store translations of ISA code 106 , and further to manage and interact with related hardware on core 102 to determine whether translations are suitably optimized (e.g., the translations do not generate faults or other artifacts).
  • Memory and storage locations 104 may include L1 processor cache 110 , L2 processor cache 112 , L3 processor cache 114 , main memory 116 (e.g., one or more DRAM chips), secondary storage 118 (e.g., magnetic and/or optical storage units) and/or tertiary storage 120 (e.g., a tape farm).
  • Processor core 102 may further include processor registers 121 . Some or all of these locations may be memory-mapped, though in some implementations the processor registers may be mapped differently than the other locations, or may be implemented such that they are not memory-mapped. It will be understood that the memory/storage components are listed above in increasing order of access time and capacity, though there are possible exceptions.
  • a memory controller may be used to handle the protocol and provide the signal interface required of main memory 116 , and, typically, to schedule memory accesses.
  • the memory controller may be implemented on the processor die or on a separate die. It is to be understood that the locations set forth above are non-limiting and that other memory/storage locations may be used instead of, or in addition to, those described above without departing from the scope of this disclosure.
  • Microprocessor 102 includes a processing pipeline which typically includes one or more of fetch logic 122 , decode logic 124 (referred to herein as a hardware decoder or hardware decode logic (HWD)), execution logic 126 , mem logic 128 , and writeback logic 130 .
  • fetch logic 122 decode logic 124
  • decode logic 124 referred to herein as a hardware decoder or hardware decode logic (HWD)
  • execution logic 126 referred to herein as a hardware decoder or hardware decode logic (HWD)
  • mem logic 128 referred to herein as a hardware decoder or hardware decode logic (HWD)
  • HWD hardware decoder or hardware decode logic
  • writeback logic 130 writeback logic
  • one or more of the stages in the processing pipeline may be individually pipelined to include a plurality of stages to perform various associated operations. It should be understood that these five stages are somewhat specific to, and included in, a typical RISC implementation.
  • a microprocessor may include fetch, de
  • Fetch logic 122 retrieves instructions from one or more of memory locations 104 (e.g., unified or dedicated L1 caches backed by L2-L3 caches and main memory). In some examples, instructions may be fetched and executed one at a time, possibly requiring multiple clock cycles.
  • memory locations 104 e.g., unified or dedicated L1 caches backed by L2-L3 caches and main memory.
  • instructions may be fetched and executed one at a time, possibly requiring multiple clock cycles.
  • Microprocessor 102 is configured to execute instructions, via execution logic 126 . Such instructions are generally described and defined by an ISA that is native to the processor, which may be generated and/or executed in different modes of operation of the microprocessor.
  • a first mode (referred to herein as the “hardware decoder mode”) of execution includes utilizing the HWD 124 to receive and decode (e.g., by parsing opcodes, operands, and addressing modes, etc.) target ISA or non-native instructions of ISA code 106 into native instructions for execution via the execution logic.
  • the native instructions dispatched by the HWD may be functionally equivalent to the non-native instructions, in that execution of either type of instructions achieves the same final result or outcome.
  • a second mode (referred to herein as the “translation mode”) of execution includes retrieving and executing native instructions without use of the HWD.
  • a native translation may cover and provide substantially equivalent functionality for any number of portions of corresponding target ISA or non-native ISA code 106 .
  • the corresponding native translation is typically optimized to some extent by the translation software relative to the corresponding non-native code that would be dispatched by the HWD. However, it will be understood that a variety of optimizations and levels of optimization may be employed.
  • a third mode (referred to herein as “software interpretation mode”) of execution includes utilizing a software interpreter 134 located in the software layer 108 to execute target ISA code one instruction at a time by translating the target ISA instruction into corresponding native instructions.
  • translation mode provides the fastest and most efficient operation out of the above described execution modes.
  • a translation may be generated for portions of target ISA code that are executed frequently or consume substantial processing time, such as frequently used or “hot” loops or functions in order to control such translation overhead.
  • a translation may be generated for a portion of target ISA code in response to the portion of code being executed a number of times that is greater than a threshold value.
  • Hardware decoder mode may be slower or less efficient than translation mode and faster or more efficient than software interpretation mode.
  • hardware decoder mode may be used to execute portions of target ISA code that do not have corresponding translations.
  • hardware decoder mode may be used to determine whether or not a translation is over-optimized based on encountering a fault during execution of a translation as will be discussed in further detail below.
  • Software interpretation mode may be used in corner cases or other unusual/rare circumstances, such as to isolate a fault or lack of a fault.
  • the software interpretation mode may be used least frequently of the above described modes of operation, because the software interpretation mode may be substantially slower than the other modes of operation.
  • software interpretation mode may require hundreds of native instructions to emulate a single target ISA instruction.
  • the native instructions output by the HWD in hardware decoder mode will in some cases be referred to as non-translated instructions, to distinguish them from the native translations that are executed in the translation mode without use of the HWD.
  • Native translations may be generated in a variety of ways. As discussed above, due to the high overhead of generating translations, in some embodiments, code portions of non-native ISA code may be profiled in order to identify whether and how those code portions should be included in new or reformed translations. When operating in hardware decoder mode, the system may dynamically change and update a code portion profile in response to the use of the HWD to execute a portion of non-native ISA code. For example, profiled code portions may be identified and defined by taken branches. This is but one example, however, and any suitable type of code portion associated definition may be used.
  • the code portion profile is stored in an on-core micro-architectural hardware structure (e.g., on core 102 ), to enable rapid and lightweight profiling of code being processed with the HWD.
  • the system may include a branch count table (BCT) 136 and a branch history table (BHT) 138 each including a plurality of records containing information about code portions of non-native ISA code 106 encountered by the HWD as branch instructions are processed.
  • the BCT tracks the number of times a branch target address is encountered, while the BHT records information about the taken branch when a branch target address is encountered.
  • the BCT is used to trigger profiling for translation upon saturation of a particular code portion.
  • the BCT may be used to determine whether a code portion has been executed a number of times that exceeds a threshold value, which triggers reforming of a corresponding translation.
  • records may be dynamically added to BCT and BHT. For example, as the HWD processes taken branches leading to a branch target address, a record for that branch target address is added to the BCT and an initial value is inserted into a counter associated with the record. Alternatively, if a record already exists for the target address, the counter is incremented or decremented, as appropriate to the implementation.
  • the system may include micro-architectural logic for adding and updating records in the BCT and the BHT. This logic may be a distinct component or distributed within various components of the processing pipeline, though typically this logic will be operatively coupled closely with the HWD since it is the use of the HWD that results in changes to the BCT and the BHT.
  • the records of BCT and/or BHT may be sampled and processed, for example by a summarizer 140 of software layer 108 .
  • the software layer may reside in a secure/private memory allocation of storage locations 104 that is accessible by microprocessor 102 during execution of native ISA instructions. In other words, such an allocation may be inaccessible by ISA code.
  • the summarizer may be implemented as a lightweight event handler that is triggered when a record in the BCT produces an event (e.g., the counter for the record saturates).
  • the BCT produces an event
  • the summarizer handles the event (e.g., by sampling and processing records in the BHT).
  • Each counter maintained in the BCT for a target address is used to control how many times the associated code portion will be encountered before an event is taken for that code portion.
  • the summarizer identifies flow into, out of, and/or between code portions when using the hardware decoder. Furthermore, the summarizer identifies one or more non-translated code portions to be included in a new native translation by producing a summarized representation (e.g., a control flow graph) of code portion control flow involving the HWD. For example, the sampling and processing by the summarizer may be used to generate and update a meta branch history table (MBHT) 142 in and between non-native code portions processed by the HWD.
  • MBHT meta branch history table
  • information about code portions and control flow may be represented in any suitable manner, data structure, etc.
  • the information in the MBHT is subsequently consumed by a region former 144 , which is responsible for forming new translations of non-native ISA code.
  • translations may be stored in one or more locations (e.g., a trace cache 146 of software layer 108 ).
  • the region former may employ various optimization techniques in creating translations, including, but not limited to, reordering instructions, renaming registers, consolidating instructions, removing dead code, unrolling loops, etc. It will be understood that these translations may vary in length and the extent to which they have been optimized. For example, the region former may vary the aggressiveness at which a translation is optimized in order to strike a balance between increasing performance and generating spurious architectural events or artifacts during execution. It will be appreciated that the structures stored in the software layer may be included in or collectively referred to herein as a translation manager or as translation management software.
  • the hardware redirector is a micro-architectural structure that includes address information or mappings sufficient to allow the processing pipeline to retrieve and execute a translation or a portion thereof associated with a non-native portion of ISA code via address mapping. Specifically, when the processing pipe branches to a target address of a non-native portion of ISA code, the target address is looked up in the THASH. Over time, translations that are frequently and/or recently requested are indexed by, and incorporated into, the hardware redirector.
  • Each entry in the hardware redirector is associated with a translation, and provides redirection information that enables the microprocessor, during a fetch operation for a selected code portion, to cause execution to be redirected away from that code portion and to its associated translation.
  • the hardware redirector may be of limited size, and it is therefore desirable that it be populated with entries providing redirection for the most “valuable” translations (e.g., translations that are more frequently and/or recently used).
  • the hardware redirector may include usage information associated with the entries. This usage information varies in response to the hardware structure being used to redirect execution, and thus the entries are maintained in, or evicted from, the hardware redirector based on this usage information.
  • the lookup In the event of a hit in the THASH, the lookup returns the address of an associated translation (e.g., translation stored in trace cache 146 ), which is then executed in translation mode (i.e., without use of HWD 124 ).
  • the portion of code may be executed through a different mode of operation and one or more of the mechanisms described above may be usable to generate a translation.
  • the THASH lookup may therefore be usable to determine whether to add/update records in BCT and BHT.
  • a THASH hit means that there is already a translation for the non-native target code portion, and there is thus no need to profile execution of that portion of target code in hardware decoder mode.
  • the THASH is merely one example of a mechanism for locating and executing translations, and it will be appreciate that the processor hardware and/or software may include other mechanisms for locating and executing translations without departing from the scope of the present description.
  • a state of the microprocessor may be checkpointed or stored to preserve the state of the microprocessor while a non-checkpointed working state version of the microprocessor speculatively executes instructions.
  • the state of the microprocessor may be checkpointed when execution of an instruction (or bundle, code portion of a translation, etc.) is completed without encountering an architectural event, artifact, exception, fault, etc.
  • an architectural event e.g., a fault event
  • the instruction may be rolled back, and state of the microprocessor may be restored to the checkpointed state. Then operation may be adjusted to handle the fault event.
  • the microprocessor may operate in hardware decoder mode and mechanisms for determining whether the encountered event is an artifact of the translation may be employed.
  • the decode logic is configured to manage checkpointing/rollback/restore operations. Although it will be appreciated that in some embodiments a different logical unit may control such operations.
  • checkpointing/rollback/restore schemes may be employed in connection with the memory and storage locations 104 in what may be generally referred to as transactional memory.
  • microprocessor 102 may be a transaction-based system.
  • the execution logic may be configured to detect occurrence of a fault event in the native translation. Since at the time of encountering the fault event, it may not be known whether or not the fault event is an artifact generated due to a particular way in which the native translation was formed, the translation manager causes the code portion to be executed differently. For example, the target ISA instructions or a functionally equivalent version thereof may be executed without executing the native translation to determine whether the fault event was a product of the native translation.
  • the translation manager may note the IP boundaries of the translation before execution of the translation is rolled back.
  • the IP boundaries may include one contiguous portion of target ISA code.
  • the IP boundaries may include multiple non-contiguous portions of target ISA code (e.g., if the translation was formed including a target ISA branch that was assumed to be taken when the translation was generated).
  • the IP boundaries may be used during execution of the target ISA instructions or a functionally equivalent version thereof to determine whether a fault event occurs in the code portion corresponding to the native translation.
  • the system may operate in hardware decoder mode to produce a functional equivalent of the target ISA instructions.
  • the HWD receives target ISA instructions starting at the IP boundary corresponding to the beginning of the native translation, decodes them into native instructions, and dispatches the native instruction to the execution logic for execution.
  • the native instructions may be executed by the execution logic until the fault event is encountered again, or execution leaves the code portion corresponding to the native translation (e.g., the IP is beyond the IP boundary corresponding to the end of the translation).
  • Various mechanisms for determining whether execution has left the code portion corresponding to the native translation may be employed during operation in hardware decoder mode. Several non-limiting examples of such mechanisms are discussed in further detail below with reference to FIGS. 2 and 3 .
  • the event is encountered during execution of the target ISA instruction or their functional equivalent (e.g., in the hardware decoder mode), it can be assumed that the event is an architectural fault that was not created by the translation, and redirection of control flow to the architectural exception vector is performed where control is passed to the translation manager or other architectural event handling logic to correct the architectural event or provide other event handling operations.
  • the translation manager determines that the fault event is not replicated during execution of the target ISA instructions or the functionally equivalent version thereof.
  • the translation manager is configured determine whether to allow future execution of the native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations.
  • a future execution of the native translation may include any execution subsequent to determining that the native translation spuriously caused the fault event.
  • the native translation may be prevented from being executed in order to reduce the likelihood of the fault event from occurring during subsequent executions of the target ISA instructions or the functionally equivalent version thereof.
  • the determination whether to allow future execution of the native translation or to prevent such future execution may include forming and executing the one or more alternate translations upon determining that a performance cost associated with forming the one or more alternate translations is less than a performance cost associated with continuing to execute the first native translation, executing the target ISA instructions or a functionally equivalent version thereof, without executing the first native translation, or a combination thereof.
  • the performance costs may be calculated in any suitable manner without departing from the scope of the present disclosure.
  • the native translation may be prevented from being executed immediately after determining that the native translation spuriously caused the fault event such that the translation is not executed again. For example, when the code portion corresponding to the native translation is encountered subsequent to the determination, the system may operate in hardware decoder mode to execute the code portion instead of executing the native translation. As another example, a different translation may be executed instead of the native translation.
  • the native translation may be executed one or more times subsequent to the determination before the native translation is prevented from being executed. For example, the native translation may be executed subsequently in order to determine if the native translation spuriously causes any different faults. In one particular example, the native translation is not prevented from being executed until a first fault and a second fault are encountered a designated number of times as a result of executing the native translation. In other words, the native translation may be repeatedly executed until it can be assumed with a level of confidence that the native translation is the cause of a number of different faults before execution of the native translation is prevented.
  • the system may operate in software interpreter mode instead of hardware decoder mode in response to encountering a fault event during execution of the native translation (e.g., to handle of corner cases).
  • hardware decoder mode may be preferred over software interpreter mode for fault narrowing operation because the software interpreter mode may be significantly slower to execute the target ISA instructions. For example, the software interpreter may take over one hundred times longer to execute an instruction than the HWD may take to execute the same instruction.
  • the translation manager may be configured to generate an updated or reformed translation of the target ISA instructions that is optimized differently based on encountering an artifact or fault event in the first translation.
  • the reformed translation is optimized differently so as not to generate the architectural event.
  • the updated translation may include fewer optimizations than the previous translation, such as less combinations, reorganizations, and/or eliminations of target ISA instructions.
  • the execution logic may be configured to, upon subsequently encountering the code portion of the target ISA instructions, execute the updated or reformed translation instead of the previous translation that spuriously caused the fault event.
  • the translation manager may be configured to track activity related to the translation subsequent to determining that the fault was an artifact of the translation, and determine if or when it would be suitable to update the translation.
  • the translation manager is configured to increment a counter associated with the native translation subsequent to determining that the fault event is an artifact of the translation.
  • the translation manager may generate the updated translation of the target ISA instructions responsive to the counter saturating or becoming greater than a threshold value.
  • the counter may be employed to track or count a variety of different factors, events, parameters, or execution properties associated with the translation that spuriously caused the fault event.
  • Non-limiting examples of these factors that the counter may track include time, a number of translation executions, a number of translation executions that spuriously cause a fault event, a number of translation execution that spuriously cause a number of different fault events.
  • the counter may include a decision function that includes a combination of these factors.
  • the counter may be used to track any suitable parameter or event associated with the translation in order to determine if or when to reform the translation.
  • the counter is merely one example of a tracking mechanism, and any suitable mechanism may be employed to decide when to reform the translation.
  • the translation manager may be configured to reform the translation (or generate a new translation) of only a subset of the target ISA instructions that were represented by the translation that spuriously created the fault. In some embodiments, the translation manager may be configured to generate a plurality of translations that span the target ISA instructions that were represented by the translation that spuriously created the fault.
  • FIGS. 2 and 3 show examples of various mechanisms that may be employed to pause execution during operation in hardware decoder mode in order to determine whether the code portion corresponding to the translation is executed without encountering the event, which may be used to determine whether an event is spuriously created by the translation.
  • FIG. 2 shows an example of a mechanism 200 that causes execution to be paused responsive to encountering a target of a branch instruction that is dispatched by the HWD.
  • execution is rolled back to the beginning of the IP boundary 210 of the translation.
  • the IP boundary defines the code region of the translation by denoting the IP at the beginning of the translation and the IP at the end of the translation.
  • the translation manager calls the HWD to operate in hardware decoder mode with a particular jump instruction that includes a “sticky bit” 202 that is set based on encountering the event.
  • a particular jump instruction that includes a “sticky bit” 202 that is set based on encountering the event.
  • each branch causes a field 204 to be set that is associated with the branch target.
  • the set bit is recognized upon execution of the branch target causing execution in hardware decoder mode to be paused.
  • the set bit is cleared and control is passed from the HWD to the translation manager.
  • the translation manager determines whether the IP is within the IP boundary of the code portion corresponding to the translation.
  • IP is beyond the IP boundary of the translation, then the event was not encountered in the code portion at issue and it can be assumed that the event was an artifact of the translation, and the translation may need to be reformed in a different manner and the sticky bit is cleared. If the IP is within the IP boundary of the translation, then control is passed back to the HWD and execution in hardware decoder mode continues until another branch target having a set bit is encountered or the event is encountered. If the event is encountered, then it can be assumed that the event is not an artifact of the translation and the translation may not be over-optimized and the sticky bit is cleared.
  • the above described mechanism may be referred to as a “branch callback trap” because each time a branch target is encountered with a set bit, execution in hardware decoder mode is paused and control is passed to the translation manager.
  • the sticky bit is the mechanism by which the translation manager gets passed control from hardware decoder mode. Note that when the HWD is called for operation other than when an event is encountered, the sticky bit in the jump instruction may be cleared to suppress the branch callback trap mechanism.
  • access to the THASH by the HWD is disabled or matches in the THASH are inhibited based on the event being encountered.
  • access to the THASH is disabled when the sticky bit in the jump instruction that calls the HWD is set.
  • FIG. 3 shows an example of a counter mechanism 300 that causes execution to be paused responsive to a counter expiring or elapsing.
  • a counter 302 may be set, for example by setting a bit in a particular jump instruction that calls the HWD.
  • the counter counts down and when the counter expires execution is paused and control is passed to the translation manager.
  • the translation manager determines whether the IP 306 is within the IP boundary 308 of the code portion corresponding to the translation.
  • IP is beyond the IP boundary of the translation, then the event was not encountered in the code portion at issue and it can be assumed that the event was an artifact of the translation, and the translation may need to be reformed in a different manner. If the IP is within the IP boundary of the translation, then control is passed back to the HWD and execution in hardware decoder mode continues until the counter expires again or the event is encountered. If the event is encountered, then it can be assumed that the event is not an artifact of the translation and the translation may not be over-optimized.
  • the counter may be set to any suitable duration or may track any suitable execution property or parameter.
  • the counter may be set to for a designated number of clock cycles.
  • the counter may be set for a designated number of instructions.
  • the counter may expire in response to encountering a branch instruction.
  • the counter may expire in response to encountering a designated number of branch instructions.
  • control is passed from hardware (e.g., execution logic) to software (e.g., translation manager) when execution is paused to determine whether execution has left the IP boundary of the code portion at issue.
  • software e.g., translation manager
  • such mechanisms may allow for execution to be paused occasionally in order to perform an IP boundary check that allows for faster execution relative to an approach that checks after execution of each instruction.
  • FIG. 4 shows an example of a method 400 for optimizing a translation of target ISA instructions in accordance with an embodiment of the present disclosure.
  • the method 400 may be implemented with any suitable software/hardware, including configurations other than those shown in the foregoing examples.
  • the process flows may reference components and processes that have already been described. For purposes of clarity and minimizing repetition, it may be assumed that these components/processes are similar to the previously described examples.
  • the method 400 includes, detecting, while executing a first native translation of target ISA instructions, occurrence of a fault event in the first native translation.
  • the first native translation may be executable to achieve substantially equivalent functionality as obtainable via execution of the target ISA instructions.
  • the first native translation is designed such that execution of the first native translation should produce the same output as the target ISA instructions.
  • the fault event includes one of a page violation, a memory alignment violation, a memory ordering violation, a break point, and execution of an illegal instruction.
  • the method 400 includes decoding the target ISA instructions into functionally equivalent native instructions with a hardware decoder in response to detecting occurrence of the fault event while executing the first native translation;
  • the method 400 includes executing the target ISA instructions or a functionally equivalent version thereof, where such execution is performed without executing the first native translation.
  • the method 400 includes determining whether occurrence of the fault event is replicated while executing the target ISA instructions or the functionally equivalent version thereof.
  • the method 400 includes in response to determining that the fault event is not replicated, determining whether to allow future execution of the first native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations.
  • the method 400 may optionally include in response to determining that the fault event is not replicated, forming one or more alternate native translations of the target ISA instructions.
  • the one or more alternate native translations may be executable to achieve substantially equivalent functionality as obtainable via execution of the target ISA instructions.
  • the one or more alternative native translations are optimized differently than the first native translation so as to avoid occurrence of the fault event that was encountered during execution of the first native translation.
  • the one or more alternative native translations may include fewer optimizations than employed in the first native translation
  • the method 400 may optionally include executing the one or more alternate native translations upon subsequently encountering the target ISA instructions.

Abstract

In one embodiment, a method for identifying and replacing code translations that generate spurious fault events includes detecting, while executing a first native translation of target instruction set architecture (ISA) instructions, occurrence of a fault event, executing the target ISA instructions or a functionally equivalent version thereof, determining whether occurrence of the fault event is replicated while executing the target ISA instructions or the functionally equivalent version thereof, and in response to determining that the fault event is not replicated, determining whether to allow future execution of the first native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations.

Description

    BACKGROUND
  • Some computing systems implement translation software to translate portions of target instruction set architecture (ISA) instructions into native instructions that may be executed more quickly and efficiently through various optimization techniques such as combining, reorganizing, and eliminating instructions. More particularly, in transactional computing systems that have the capability to speculate and rollback operations, translations may be optimized in ways that potentially violate the semantics of the target ISA. Due to such optimizations, once a translation has been generated, it can be difficult to distinguish whether events (e.g., architectural fault such as a page violation) encountered while executing a translation are architecturally valid or are spuriously created by over-optimization of the translation.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 schematically shows an example computing system in accordance with an embodiment of the present disclosure.
  • FIG. 2 shows an example of a trap mechanism for pausing execution in order to determine whether a fault event is spuriously created by a translation.
  • FIG. 3 shows an example of a counter mechanism for pausing execution in order determine whether a fault event is spuriously created by a translation.
  • FIG. 4 shows an example of a method for identifying and replacing code translations that generate spurious fault events in accordance with an embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • The present disclosure provides a mechanism for optimizing native translations of corresponding non-native code portions, such as target instruction set architecture (ISA) code portions. The intelligent generation of translations, and the optimization thereof, may be handled by translation software, which may be included as part of a software layer that provides an interface between an ISA and a processor core. More particularly, the present disclosure provides a fault narrowing mechanism that identifies and replaces code translations that generate spurious fault events (e.g., architectural faults). As discussed above, in some cases, a translation may be aggressively or overly optimized such that the translation generates spurious fault events. Note that “spurious” means that if the corresponding target ISA code or a functional equivalent thereof were executed, then the fault event would not occur. In other cases, a fault event may be generated by the target ISA code. The mechanism determines whether a fault event encountered in a translation is generated spuriously by the translation, for example due to over-optimization of the translation, and if it is determined that the fault event was spuriously caused by the translation, it generates a different translation.
  • In one example, the translation software redirects execution to an instruction pointer (IP) of a native translation in lieu of corresponding target ISA code by the processor core. The native translation may be executed without using a hardware decoder located on the processor core. Note that when this disclosure refers to execution “without using the hardware decoder,” that language may still encompass minor or trivial uses of decode logic in hardware while a translation is being executed. Circumventing the hardware decoder (i.e., by executing a translation) in many cases will improve speed of execution, reduce power consumption, and provide various other benefits. During execution of the native translation, a fault may be encountered. At this point, it is unknown whether the fault is an actual architectural event or if it is an artifact of the way that the code has been optimized in the translation. As such, execution is rolled back to a committed state (e.g., through a checkpoint mechanism), and a different version of code corresponding to the translation that does not produce the artifact event is executed. In one example, the alternate version of the code corresponding to the translation is target ISA code that is decoded by a hardware decoder into native instructions. If the fault is encountered during execution of the alternate code, then it is concluded that the translation itself was not the cause of the fault. If the fault is not encountered during execution of the alternate code, then it is concluded that the translation generated the artifact, and it is determined whether to allow future execution of the native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations. In some embodiments, the translation is reformed in a different way, and the reformed translation is executed subsequently. In one example, the translation is reformed with fewer optimizations so as not to cause the fault during execution.
  • By using this mechanism, a translation can be aggressively over-optimized, then quickly narrowed if necessary using the hardware decoder to get to a translation that is suitably optimized to be executed without generating fault events. Implementations without this mechanism would find the overhead of narrowing or re-optimizing to be high enough that translations would tend to be overly conservative or under-optimized to avoid the narrowing process. For example, a software interpreter may be adequate to isolate an architectural event or lack thereof, but would be obtrusively slow for narrowing and re-optimizing as the software interpreter can require hundreds of native instructions to emulate a single target ISA instruction.
  • FIG. 1 shows aspects of an example micro-processing and memory system 100 (e.g., a central processing unit or graphics processing unit of a personal computer, game system, smartphone, etc.) including processor core 102. Although the illustrated embodiment includes only one processor core, it will be appreciated that the micro-processing system may include additional processor cores in what may be referred to as a multi-core processing system. Microprocessor core/die 102 variously includes and/or may communicate with various memory and storage locations 104. In some cases, it will be desirable to allocate a portion (sometimes referred to as a “carveout”) of memory as secure and private such that it is invisible to the user and/or instruction set architecture (ISA) code 106. Various data and software may run from, and/or be stored in said allocation, such as software layer 108 and related software structures. As will be discussed in greater detail below, the software layer may be configured to generate, optimize, and store translations of ISA code 106, and further to manage and interact with related hardware on core 102 to determine whether translations are suitably optimized (e.g., the translations do not generate faults or other artifacts).
  • Memory and storage locations 104 may include L1 processor cache 110, L2 processor cache 112, L3 processor cache 114, main memory 116 (e.g., one or more DRAM chips), secondary storage 118 (e.g., magnetic and/or optical storage units) and/or tertiary storage 120 (e.g., a tape farm). Processor core 102 may further include processor registers 121. Some or all of these locations may be memory-mapped, though in some implementations the processor registers may be mapped differently than the other locations, or may be implemented such that they are not memory-mapped. It will be understood that the memory/storage components are listed above in increasing order of access time and capacity, though there are possible exceptions. In some embodiments, a memory controller may be used to handle the protocol and provide the signal interface required of main memory 116, and, typically, to schedule memory accesses. The memory controller may be implemented on the processor die or on a separate die. It is to be understood that the locations set forth above are non-limiting and that other memory/storage locations may be used instead of, or in addition to, those described above without departing from the scope of this disclosure.
  • Microprocessor 102 includes a processing pipeline which typically includes one or more of fetch logic 122, decode logic 124 (referred to herein as a hardware decoder or hardware decode logic (HWD)), execution logic 126, mem logic 128, and writeback logic 130. Note that one or more of the stages in the processing pipeline may be individually pipelined to include a plurality of stages to perform various associated operations. It should be understood that these five stages are somewhat specific to, and included in, a typical RISC implementation. More generally, a microprocessor may include fetch, decode, and execution logic, with mem and writeback functionality being carried out by the execution logic. The present disclosure is equally applicable to these and other microprocessor implementations, including hybrid implementations that may use VLIW instructions and/or other logic instructions.
  • Fetch logic 122 retrieves instructions from one or more of memory locations 104 (e.g., unified or dedicated L1 caches backed by L2-L3 caches and main memory). In some examples, instructions may be fetched and executed one at a time, possibly requiring multiple clock cycles.
  • Microprocessor 102 is configured to execute instructions, via execution logic 126. Such instructions are generally described and defined by an ISA that is native to the processor, which may be generated and/or executed in different modes of operation of the microprocessor. A first mode (referred to herein as the “hardware decoder mode”) of execution includes utilizing the HWD 124 to receive and decode (e.g., by parsing opcodes, operands, and addressing modes, etc.) target ISA or non-native instructions of ISA code 106 into native instructions for execution via the execution logic. It will be appreciated that the native instructions dispatched by the HWD may be functionally equivalent to the non-native instructions, in that execution of either type of instructions achieves the same final result or outcome.
  • A second mode (referred to herein as the “translation mode”) of execution includes retrieving and executing native instructions without use of the HWD. A native translation may cover and provide substantially equivalent functionality for any number of portions of corresponding target ISA or non-native ISA code 106. The corresponding native translation is typically optimized to some extent by the translation software relative to the corresponding non-native code that would be dispatched by the HWD. However, it will be understood that a variety of optimizations and levels of optimization may be employed.
  • A third mode (referred to herein as “software interpretation mode”) of execution includes utilizing a software interpreter 134 located in the software layer 108 to execute target ISA code one instruction at a time by translating the target ISA instruction into corresponding native instructions.
  • Typically, translation mode provides the fastest and most efficient operation out of the above described execution modes. However, there may be substantial overhead costs associated with generating an optimized translation of target ISA instructions. Accordingly, a translation may be generated for portions of target ISA code that are executed frequently or consume substantial processing time, such as frequently used or “hot” loops or functions in order to control such translation overhead. In one example, a translation may be generated for a portion of target ISA code in response to the portion of code being executed a number of times that is greater than a threshold value.
  • Hardware decoder mode may be slower or less efficient than translation mode and faster or more efficient than software interpretation mode. For example, hardware decoder mode may be used to execute portions of target ISA code that do not have corresponding translations. As another example, hardware decoder mode may be used to determine whether or not a translation is over-optimized based on encountering a fault during execution of a translation as will be discussed in further detail below.
  • Software interpretation mode may be used in corner cases or other unusual/rare circumstances, such as to isolate a fault or lack of a fault. The software interpretation mode may be used least frequently of the above described modes of operation, because the software interpretation mode may be substantially slower than the other modes of operation. For example, software interpretation mode may require hundreds of native instructions to emulate a single target ISA instruction.
  • For the sake of clarity, the native instructions output by the HWD in hardware decoder mode will in some cases be referred to as non-translated instructions, to distinguish them from the native translations that are executed in the translation mode without use of the HWD.
  • Native translations may be generated in a variety of ways. As discussed above, due to the high overhead of generating translations, in some embodiments, code portions of non-native ISA code may be profiled in order to identify whether and how those code portions should be included in new or reformed translations. When operating in hardware decoder mode, the system may dynamically change and update a code portion profile in response to the use of the HWD to execute a portion of non-native ISA code. For example, profiled code portions may be identified and defined by taken branches. This is but one example, however, and any suitable type of code portion associated definition may be used.
  • In certain embodiments, the code portion profile is stored in an on-core micro-architectural hardware structure (e.g., on core 102), to enable rapid and lightweight profiling of code being processed with the HWD. For example, the system may include a branch count table (BCT) 136 and a branch history table (BHT) 138 each including a plurality of records containing information about code portions of non-native ISA code 106 encountered by the HWD as branch instructions are processed. In general, the BCT tracks the number of times a branch target address is encountered, while the BHT records information about the taken branch when a branch target address is encountered. Furthermore, the BCT is used to trigger profiling for translation upon saturation of a particular code portion. For example, the BCT may be used to determine whether a code portion has been executed a number of times that exceeds a threshold value, which triggers reforming of a corresponding translation.
  • As the code portions of non-native ISA code are processed by HWD, records may be dynamically added to BCT and BHT. For example, as the HWD processes taken branches leading to a branch target address, a record for that branch target address is added to the BCT and an initial value is inserted into a counter associated with the record. Alternatively, if a record already exists for the target address, the counter is incremented or decremented, as appropriate to the implementation. As such, the system may include micro-architectural logic for adding and updating records in the BCT and the BHT. This logic may be a distinct component or distributed within various components of the processing pipeline, though typically this logic will be operatively coupled closely with the HWD since it is the use of the HWD that results in changes to the BCT and the BHT.
  • From time to time, the records of BCT and/or BHT may be sampled and processed, for example by a summarizer 140 of software layer 108. As described above, the software layer may reside in a secure/private memory allocation of storage locations 104 that is accessible by microprocessor 102 during execution of native ISA instructions. In other words, such an allocation may be inaccessible by ISA code.
  • The summarizer may be implemented as a lightweight event handler that is triggered when a record in the BCT produces an event (e.g., the counter for the record saturates). In other words, the BCT produces an event, and the summarizer handles the event (e.g., by sampling and processing records in the BHT). Each counter maintained in the BCT for a target address is used to control how many times the associated code portion will be encountered before an event is taken for that code portion.
  • The summarizer identifies flow into, out of, and/or between code portions when using the hardware decoder. Furthermore, the summarizer identifies one or more non-translated code portions to be included in a new native translation by producing a summarized representation (e.g., a control flow graph) of code portion control flow involving the HWD. For example, the sampling and processing by the summarizer may be used to generate and update a meta branch history table (MBHT) 142 in and between non-native code portions processed by the HWD. It will be appreciated that information about code portions and control flow may be represented in any suitable manner, data structure, etc. The information in the MBHT is subsequently consumed by a region former 144, which is responsible for forming new translations of non-native ISA code. Once formed, translations may be stored in one or more locations (e.g., a trace cache 146 of software layer 108). The region former may employ various optimization techniques in creating translations, including, but not limited to, reordering instructions, renaming registers, consolidating instructions, removing dead code, unrolling loops, etc. It will be understood that these translations may vary in length and the extent to which they have been optimized. For example, the region former may vary the aggressiveness at which a translation is optimized in order to strike a balance between increasing performance and generating spurious architectural events or artifacts during execution. It will be appreciated that the structures stored in the software layer may be included in or collectively referred to herein as a translation manager or as translation management software.
  • During operation, the existence of a translation may be determined using an on-core hardware redirector 132 (a.k.a., a THASH). The hardware redirector is a micro-architectural structure that includes address information or mappings sufficient to allow the processing pipeline to retrieve and execute a translation or a portion thereof associated with a non-native portion of ISA code via address mapping. Specifically, when the processing pipe branches to a target address of a non-native portion of ISA code, the target address is looked up in the THASH. Over time, translations that are frequently and/or recently requested are indexed by, and incorporated into, the hardware redirector. Each entry in the hardware redirector is associated with a translation, and provides redirection information that enables the microprocessor, during a fetch operation for a selected code portion, to cause execution to be redirected away from that code portion and to its associated translation. In order to save on processor die area and to provide rapid lookups, the hardware redirector may be of limited size, and it is therefore desirable that it be populated with entries providing redirection for the most “valuable” translations (e.g., translations that are more frequently and/or recently used). Accordingly, the hardware redirector may include usage information associated with the entries. This usage information varies in response to the hardware structure being used to redirect execution, and thus the entries are maintained in, or evicted from, the hardware redirector based on this usage information.
  • In the event of a hit in the THASH, the lookup returns the address of an associated translation (e.g., translation stored in trace cache 146), which is then executed in translation mode (i.e., without use of HWD 124). Alternatively, in the event of a miss in the THASH, the portion of code may be executed through a different mode of operation and one or more of the mechanisms described above may be usable to generate a translation. The THASH lookup may therefore be usable to determine whether to add/update records in BCT and BHT. In particular, a THASH hit means that there is already a translation for the non-native target code portion, and there is thus no need to profile execution of that portion of target code in hardware decoder mode. Note that the THASH is merely one example of a mechanism for locating and executing translations, and it will be appreciate that the processor hardware and/or software may include other mechanisms for locating and executing translations without departing from the scope of the present description.
  • Throughout operation, a state of the microprocessor (e.g., registers 121 and/or other suitable states) may be checkpointed or stored to preserve the state of the microprocessor while a non-checkpointed working state version of the microprocessor speculatively executes instructions. For example, the state of the microprocessor may be checkpointed when execution of an instruction (or bundle, code portion of a translation, etc.) is completed without encountering an architectural event, artifact, exception, fault, etc. For example, an architectural event (e.g., a fault event) may include a page violation, a memory alignment violation, a memory ordering violation, a break point, execution of an illegal instruction, etc. If a fault event is encountered during execution, then the instruction may be rolled back, and state of the microprocessor may be restored to the checkpointed state. Then operation may be adjusted to handle the fault event. For example, the microprocessor may operate in hardware decoder mode and mechanisms for determining whether the encountered event is an artifact of the translation may be employed. In one example, the decode logic is configured to manage checkpointing/rollback/restore operations. Although it will be appreciated that in some embodiments a different logical unit may control such operations. In some embodiments, checkpointing/rollback/restore schemes may be employed in connection with the memory and storage locations 104 in what may be generally referred to as transactional memory. In other words, microprocessor 102 may be a transaction-based system.
  • Furthermore, during execution of a native translation, the execution logic may be configured to detect occurrence of a fault event in the native translation. Since at the time of encountering the fault event, it may not be known whether or not the fault event is an artifact generated due to a particular way in which the native translation was formed, the translation manager causes the code portion to be executed differently. For example, the target ISA instructions or a functionally equivalent version thereof may be executed without executing the native translation to determine whether the fault event was a product of the native translation.
  • If a fault event is encountered in the translation, then the translation manager may note the IP boundaries of the translation before execution of the translation is rolled back. In some cases, the IP boundaries may include one contiguous portion of target ISA code. In other cases, the IP boundaries may include multiple non-contiguous portions of target ISA code (e.g., if the translation was formed including a target ISA branch that was assumed to be taken when the translation was generated). The IP boundaries may be used during execution of the target ISA instructions or a functionally equivalent version thereof to determine whether a fault event occurs in the code portion corresponding to the native translation.
  • In one example, the system may operate in hardware decoder mode to produce a functional equivalent of the target ISA instructions. In particular, the HWD receives target ISA instructions starting at the IP boundary corresponding to the beginning of the native translation, decodes them into native instructions, and dispatches the native instruction to the execution logic for execution. The native instructions may be executed by the execution logic until the fault event is encountered again, or execution leaves the code portion corresponding to the native translation (e.g., the IP is beyond the IP boundary corresponding to the end of the translation). Various mechanisms for determining whether execution has left the code portion corresponding to the native translation may be employed during operation in hardware decoder mode. Several non-limiting examples of such mechanisms are discussed in further detail below with reference to FIGS. 2 and 3.
  • If the event is encountered during execution of the target ISA instruction or their functional equivalent (e.g., in the hardware decoder mode), it can be assumed that the event is an architectural fault that was not created by the translation, and redirection of control flow to the architectural exception vector is performed where control is passed to the translation manager or other architectural event handling logic to correct the architectural event or provide other event handling operations.
  • If execution leaves the translation without encountering the fault event, then it can be assumed that the native translation spuriously caused the fault event. In other words, the translation manager determines that the fault event is not replicated during execution of the target ISA instructions or the functionally equivalent version thereof. In response to determining that the fault event is not replicated, the translation manager is configured determine whether to allow future execution of the native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations. Note that a future execution of the native translation may include any execution subsequent to determining that the native translation spuriously caused the fault event. The native translation may be prevented from being executed in order to reduce the likelihood of the fault event from occurring during subsequent executions of the target ISA instructions or the functionally equivalent version thereof. In some embodiments, the determination whether to allow future execution of the native translation or to prevent such future execution may include forming and executing the one or more alternate translations upon determining that a performance cost associated with forming the one or more alternate translations is less than a performance cost associated with continuing to execute the first native translation, executing the target ISA instructions or a functionally equivalent version thereof, without executing the first native translation, or a combination thereof. It will be appreciated that the performance costs may be calculated in any suitable manner without departing from the scope of the present disclosure.
  • In some embodiments, the native translation may be prevented from being executed immediately after determining that the native translation spuriously caused the fault event such that the translation is not executed again. For example, when the code portion corresponding to the native translation is encountered subsequent to the determination, the system may operate in hardware decoder mode to execute the code portion instead of executing the native translation. As another example, a different translation may be executed instead of the native translation.
  • In some embodiments, the native translation may be executed one or more times subsequent to the determination before the native translation is prevented from being executed. For example, the native translation may be executed subsequently in order to determine if the native translation spuriously causes any different faults. In one particular example, the native translation is not prevented from being executed until a first fault and a second fault are encountered a designated number of times as a result of executing the native translation. In other words, the native translation may be repeatedly executed until it can be assumed with a level of confidence that the native translation is the cause of a number of different faults before execution of the native translation is prevented.
  • In some cases, the system may operate in software interpreter mode instead of hardware decoder mode in response to encountering a fault event during execution of the native translation (e.g., to handle of corner cases). As discussed above, hardware decoder mode may be preferred over software interpreter mode for fault narrowing operation because the software interpreter mode may be significantly slower to execute the target ISA instructions. For example, the software interpreter may take over one hundred times longer to execute an instruction than the HWD may take to execute the same instruction.
  • In some embodiments, the translation manager may be configured to generate an updated or reformed translation of the target ISA instructions that is optimized differently based on encountering an artifact or fault event in the first translation. In one example, the reformed translation is optimized differently so as not to generate the architectural event. For example, the updated translation may include fewer optimizations than the previous translation, such as less combinations, reorganizations, and/or eliminations of target ISA instructions. Further, the execution logic may be configured to, upon subsequently encountering the code portion of the target ISA instructions, execute the updated or reformed translation instead of the previous translation that spuriously caused the fault event.
  • Since there may be substantial overhead costs associated with generating an optimized translation of target ISA instructions, in some embodiments, the translation manager may be configured to track activity related to the translation subsequent to determining that the fault was an artifact of the translation, and determine if or when it would be suitable to update the translation. In one example, the translation manager is configured to increment a counter associated with the native translation subsequent to determining that the fault event is an artifact of the translation. Further, the translation manager may generate the updated translation of the target ISA instructions responsive to the counter saturating or becoming greater than a threshold value. The counter may be employed to track or count a variety of different factors, events, parameters, or execution properties associated with the translation that spuriously caused the fault event. Non-limiting examples of these factors that the counter may track include time, a number of translation executions, a number of translation executions that spuriously cause a fault event, a number of translation execution that spuriously cause a number of different fault events. In some embodiments the counter may include a decision function that includes a combination of these factors.
  • It will be appreciated that the counter may be used to track any suitable parameter or event associated with the translation in order to determine if or when to reform the translation. Moreover, it will be appreciated that the counter is merely one example of a tracking mechanism, and any suitable mechanism may be employed to decide when to reform the translation.
  • In some embodiments, the translation manager may be configured to reform the translation (or generate a new translation) of only a subset of the target ISA instructions that were represented by the translation that spuriously created the fault. In some embodiments, the translation manager may be configured to generate a plurality of translations that span the target ISA instructions that were represented by the translation that spuriously created the fault.
  • FIGS. 2 and 3 show examples of various mechanisms that may be employed to pause execution during operation in hardware decoder mode in order to determine whether the code portion corresponding to the translation is executed without encountering the event, which may be used to determine whether an event is spuriously created by the translation. FIG. 2 shows an example of a mechanism 200 that causes execution to be paused responsive to encountering a target of a branch instruction that is dispatched by the HWD. In particular, when an event is encountered in translation mode, execution is rolled back to the beginning of the IP boundary 210 of the translation. The IP boundary defines the code region of the translation by denoting the IP at the beginning of the translation and the IP at the end of the translation. The translation manager calls the HWD to operate in hardware decoder mode with a particular jump instruction that includes a “sticky bit” 202 that is set based on encountering the event. By setting the sticky bit in the jump instruction that invokes the HWD, each branch causes a field 204 to be set that is associated with the branch target. The set bit is recognized upon execution of the branch target causing execution in hardware decoder mode to be paused. The set bit is cleared and control is passed from the HWD to the translation manager. The translation manager determines whether the IP is within the IP boundary of the code portion corresponding to the translation. If the IP is beyond the IP boundary of the translation, then the event was not encountered in the code portion at issue and it can be assumed that the event was an artifact of the translation, and the translation may need to be reformed in a different manner and the sticky bit is cleared. If the IP is within the IP boundary of the translation, then control is passed back to the HWD and execution in hardware decoder mode continues until another branch target having a set bit is encountered or the event is encountered. If the event is encountered, then it can be assumed that the event is not an artifact of the translation and the translation may not be over-optimized and the sticky bit is cleared.
  • The above described mechanism may be referred to as a “branch callback trap” because each time a branch target is encountered with a set bit, execution in hardware decoder mode is paused and control is passed to the translation manager. In other words, the sticky bit is the mechanism by which the translation manager gets passed control from hardware decoder mode. Note that when the HWD is called for operation other than when an event is encountered, the sticky bit in the jump instruction may be cleared to suppress the branch callback trap mechanism.
  • In some microprocessor implementations that include a hardware redirector or THASH that is accessed by the HWD to check for a translation, access to the THASH by the HWD is disabled or matches in the THASH are inhibited based on the event being encountered. In one example, access to the THASH is disabled when the sticky bit in the jump instruction that calls the HWD is set. By suppressing the lookup of the THASH, execution is not redirected to the translation so that execution in hardware decoder mode may be performed to determine whether the event is generated by the translation. In other words, access to the THASH is disabled when executing the target ISA instructions without executing the native translation.
  • FIG. 3 shows an example of a counter mechanism 300 that causes execution to be paused responsive to a counter expiring or elapsing. Similar to the above described example, when the HWD is called based on encountering an event during operation in translation mode, a counter 302 may be set, for example by setting a bit in a particular jump instruction that calls the HWD. During execution in hardware decoder mode, the counter counts down and when the counter expires execution is paused and control is passed to the translation manager. The translation manager determines whether the IP 306 is within the IP boundary 308 of the code portion corresponding to the translation. If the IP is beyond the IP boundary of the translation, then the event was not encountered in the code portion at issue and it can be assumed that the event was an artifact of the translation, and the translation may need to be reformed in a different manner. If the IP is within the IP boundary of the translation, then control is passed back to the HWD and execution in hardware decoder mode continues until the counter expires again or the event is encountered. If the event is encountered, then it can be assumed that the event is not an artifact of the translation and the translation may not be over-optimized.
  • It will be appreciated that the counter may be set to any suitable duration or may track any suitable execution property or parameter. In one example, the counter may be set to for a designated number of clock cycles. In another example, the counter may be set for a designated number of instructions. In yet another example, the counter may expire in response to encountering a branch instruction. In still yet another example, the counter may expire in response to encountering a designated number of branch instructions.
  • It will be appreciated that the above described mechanisms may be particularly applicable to operation in hardware decoder mode, because control is passed from hardware (e.g., execution logic) to software (e.g., translation manager) when execution is paused to determine whether execution has left the IP boundary of the code portion at issue. Moreover, such mechanisms may allow for execution to be paused occasionally in order to perform an IP boundary check that allows for faster execution relative to an approach that checks after execution of each instruction.
  • FIG. 4 shows an example of a method 400 for optimizing a translation of target ISA instructions in accordance with an embodiment of the present disclosure. The method 400 may be implemented with any suitable software/hardware, including configurations other than those shown in the foregoing examples. In some cases, however, the process flows may reference components and processes that have already been described. For purposes of clarity and minimizing repetition, it may be assumed that these components/processes are similar to the previously described examples.
  • At 402, the method 400 includes, detecting, while executing a first native translation of target ISA instructions, occurrence of a fault event in the first native translation. The first native translation may be executable to achieve substantially equivalent functionality as obtainable via execution of the target ISA instructions. In other words, the first native translation is designed such that execution of the first native translation should produce the same output as the target ISA instructions. In one example, the fault event includes one of a page violation, a memory alignment violation, a memory ordering violation, a break point, and execution of an illegal instruction.
  • At 404, the method 400 includes decoding the target ISA instructions into functionally equivalent native instructions with a hardware decoder in response to detecting occurrence of the fault event while executing the first native translation;
  • At 406, the method 400 includes executing the target ISA instructions or a functionally equivalent version thereof, where such execution is performed without executing the first native translation.
  • At 408, the method 400 includes determining whether occurrence of the fault event is replicated while executing the target ISA instructions or the functionally equivalent version thereof.
  • At 410, the method 400 includes in response to determining that the fault event is not replicated, determining whether to allow future execution of the first native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations.
  • At 412, the method 400 may optionally include in response to determining that the fault event is not replicated, forming one or more alternate native translations of the target ISA instructions. The one or more alternate native translations may be executable to achieve substantially equivalent functionality as obtainable via execution of the target ISA instructions. In some cases, the one or more alternative native translations are optimized differently than the first native translation so as to avoid occurrence of the fault event that was encountered during execution of the first native translation. In some cases, the one or more alternative native translations may include fewer optimizations than employed in the first native translation
  • At 414, the method 400 may optionally include executing the one or more alternate native translations upon subsequently encountering the target ISA instructions.
  • While the depicted method may be performed in connection with any suitable hardware configuration, it will be appreciated that modifications, additions, omissions, and refinements may be made to these steps in accordance with method descriptions included above and described with references to the mechanisms, hardware, and systems shown in FIG. 1-3.
  • This written description uses examples to disclose the invention, including the best mode, and also to enable a person of ordinary skill in the relevant art to practice the invention, including making and using any devices or systems and performing any incorporated methods. The patentable scope of the invention is defined by the claims, and may include other examples as understood by those of ordinary skill in the art. Such other examples are intended to be within the scope of the claims.

Claims (20)

1. A method for identifying and replacing code translations that generate spurious fault events, comprising:
detecting, while executing a first native translation of target instruction set architecture (ISA) instructions, occurrence of a fault event, the first native translation being executable to achieve substantially equivalent functionality as obtainable via execution of the target ISA instructions;
decoding the target ISA instructions into functionally equivalent native instructions with a hardware decoder in response to detecting occurrence of the fault event while executing the first native translation;
executing the target ISA instructions or a functionally equivalent version thereof, where such execution is performed without executing the first native translation;
determining whether occurrence of the fault event is replicated while executing the target ISA instructions or the functionally equivalent version thereof; and
in response to determining that the fault event is not replicated, determining whether to allow future execution of the first native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations.
2. The method of claim 1, where determining whether to allow or prevent future execution of the first native translation includes forming and executing the one or more alternate translations upon determining that a performance cost associated with forming the one or more alternate translations is less than a performance cost associated with continuing to execute the first native translation.
3. The method of claim 1, further comprising incrementing a counter associated with the first native translation, and where determining whether to allow or prevent future execution of the first native translation includes preventing such execution and forming and executing the one or more alternate translations in response to saturating the counter.
4. The method of claim 3, where the counter is incremented in response to determining that a fault event occurring during execution of the first native translation is not replicated when executing target ISA instructions or a functionally equivalent version thereof.
5. The method of claim 1, further comprising forming one or more alternate native translations to be executed instead of the first native translation, where the one or more alternative native translations are optimized differently than the first native translation so as to avoid occurrence of the fault event that was encountered during execution of the first native translation.
6. The method of claim 5, where the one or more alternative native translations include fewer optimizations than employed in the first native translation.
7. The method of claim 1, further comprising:
pausing execution of the target ISA instructions or the functionally equivalent version thereof responsive to encountering a target of a branch instruction;
determining whether an instruction pointer is within an instruction pointer boundary corresponding to the first native translation when execution is paused; and
if the instruction pointer is beyond the instruction pointer boundary when execution is paused, determining that occurrence of the fault event is not replicated while executing the target ISA instructions or the functionally equivalent version thereof.
8. The method of claim 7, where the target of the branch instruction includes a field having a bit that is set responsive to detecting occurrence of the fault event while executing the first native translation, and execution is paused responsive to encountering the set bit.
9. The method of claim 8, where the microprocessor includes a hardware redirector that is accessed by a hardware decoder to check for a native translation corresponding to a portion of target ISA instructions, and where access to the hardware redirector by the hardware decoder is disabled when executing the target ISA instructions or the functionally equivalent version thereof without executing the first native translation
10. The method of claim 1, further comprising:
setting a counter for execution of the target ISA instructions or the functionally equivalent version thereof based on the fault event,
pausing execution of the target ISA instructions or the functionally equivalent version thereof responsive to the counter expiring;
determining whether an instruction pointer is within an instruction pointer boundary corresponding to the first native translation when execution is paused; and
if the instruction pointer is beyond the instruction pointer boundary when execution is paused, determining that occurrence of the fault event is not replicated while executing the target ISA instructions or the functionally equivalent version thereof.
11. A micro-processing and memory system comprising:
memory configured to store target ISA instructions and a first native translation executable to achieve substantially equivalent functionality as obtainable via execution of the target ISA instructions;
a microprocessor including, execution logic configured to (1) detect, during execution of the first native translation, occurrence of a fault event, (2) roll back execution of the first native translation in response to detecting occurrence of the fault event while executing the first native translation;
a hardware decoder configured to decode the target ISA instructions into functionally equivalent native instructions in response to detecting occurrence of the fault event while executing the first native translation, where the execution logic is configured to execute the target ISA instructions or a functionally equivalent version thereof, where such execution is performed without executing the first native translation; and
a translation manager configured to (1) determine whether occurrence of the fault event is replicated while executing the target ISA instructions or the functionally equivalent version thereof, and (2) in response to determining that the fault event is not replicated, determine whether to allow future execution of the first native translation or to prevent such future execution in favor of forming and executing one or more alternate native translations.
12. The system of claim 11, where determining whether to allow or prevent future execution of the first native translation includes forming and executing the one or more alternate translations upon determining that a performance cost associated with forming the one or more alternate translations is less than a performance cost associated with continuing to execute the first native translation.
13. The system of claim 11, where the execution logic is configured to increment a counter associated with the first native translation, and where determining whether to allow or prevent future execution of the first native translation includes preventing such execution and forming and executing the one or more alternate translations in response to saturating the counter.
14. The system of claim 12, where the translation manager is configured to form one or more alternate native translations to be executed instead of the first native translation, where the one or more alternative native translations are optimized differently than the first native translation so as to avoid occurrence of the fault event that was encountered during execution of the first native translation.
15. The system of claim 11, where the execution logic is configured to pause execution of the target ISA instructions or the functionally equivalent version thereof responsive to encountering a target of a branch instruction, and where the translation manager is configured to (1) determine whether an instruction pointer is within an instruction pointer boundary corresponding to the first native translation when execution is paused, and (2) if the instruction pointer is beyond the instruction pointer boundary when execution is paused, determine that occurrence of the fault event is not replicated while executing the target ISA instructions or the functionally equivalent version thereof.
16. The system of claim 15, where the target of the branch instruction includes a field having a bit that is set responsive to detecting occurrence of the fault event while executing the first native translation, and execution is paused responsive to encountering the set bit
17. The system of claim 11, where the translation manager is configured to set a counter for execution of the target ISA instructions or the functionally equivalent version thereof based on detection of the fault event, where the execution logic is configured to pause execution of the target ISA instructions or the functionally equivalent version thereof responsive to the counter expiring, where the translation manager is configured to determine whether an instruction pointer is within an instruction pointer boundary corresponding to the first native translation when execution is paused, and if the instruction pointer is beyond the instruction pointer boundary when execution is paused, determine that occurrence of the fault event is not replicated while executing the target ISA instructions or the functionally equivalent version thereof.
18. A method for identifying and replacing code translations that generate spurious fault events, comprising:
detecting, while executing a first native translation of target instruction set architecture (ISA) instructions, occurrence of a fault event, the first native translation being executable to achieve substantially equivalent functionality as obtainable via execution of the target ISA instructions;
rolling back execution of the first native translation in response to detecting the fault event;
decoding the target ISA instructions into functionally equivalent native instructions with a hardware decoder in response to detecting occurrence of the fault event while executing the first native translation, where targets of branch instructions decoded by the hardware decoder include a field having a bit that is set responsive to encountering the fault event;
executing the native instructions dispatched by the hardware decoder;
pausing execution of the native instructions responsive to encountering a set bit in the field of a target of a branch instruction;
determining whether an instruction pointer is within an instruction pointer boundary corresponding to the first native translation when execution is paused;
if the instruction pointer is beyond the instruction pointer boundary when execution is paused, determining that occurrence of the fault event is not replicated while executing the target ISA instructions or the functionally equivalent version thereof; and
in response to determining that the fault event is not replicated, forming and executing one or more alternate translations upon determining that a performance cost associated with forming the one or more alternate translations is less than a performance cost associated with continuing to execute the first native translation.
19. The method of claim 18, where the one or more alternative native translations are optimized differently than the first native translation so as to avoid occurrence of the fault event that was encountered during execution of the first native translation.
20. The method of claim 19, where the one or more alternative native translations include fewer optimizations than employed in the first native translation.
US13/728,669 2012-12-27 2012-12-27 Fault detection in instruction translations Abandoned US20140189310A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US13/728,669 US20140189310A1 (en) 2012-12-27 2012-12-27 Fault detection in instruction translations
TW102140647A TWI550511B (en) 2012-12-27 2013-11-08 Method for fault detection in instruction translations
DE102013224384.7A DE102013224384A1 (en) 2012-12-27 2013-11-28 Error detection in instruction translations
CN201310741902.6A CN103902251A (en) 2012-12-27 2013-12-27 Fault detection in instruction translations
US15/915,975 US10324725B2 (en) 2012-12-27 2018-03-08 Fault detection in instruction translations

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/728,669 US20140189310A1 (en) 2012-12-27 2012-12-27 Fault detection in instruction translations

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/915,975 Continuation US10324725B2 (en) 2012-12-27 2018-03-08 Fault detection in instruction translations

Publications (1)

Publication Number Publication Date
US20140189310A1 true US20140189310A1 (en) 2014-07-03

Family

ID=50928677

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/728,669 Abandoned US20140189310A1 (en) 2012-12-27 2012-12-27 Fault detection in instruction translations
US15/915,975 Active US10324725B2 (en) 2012-12-27 2018-03-08 Fault detection in instruction translations

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/915,975 Active US10324725B2 (en) 2012-12-27 2018-03-08 Fault detection in instruction translations

Country Status (4)

Country Link
US (2) US20140189310A1 (en)
CN (1) CN103902251A (en)
DE (1) DE102013224384A1 (en)
TW (1) TWI550511B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9880846B2 (en) 2012-04-11 2018-01-30 Nvidia Corporation Improving hit rate of code translation redirection table with replacement strategy based on usage history table of evicted entries
US10108424B2 (en) 2013-03-14 2018-10-23 Nvidia Corporation Profiling code portions to generate translations
US10146545B2 (en) 2012-03-13 2018-12-04 Nvidia Corporation Translation address cache for a microprocessor
US10241810B2 (en) 2012-05-18 2019-03-26 Nvidia Corporation Instruction-optimizing processor with branch-count table in hardware
US10324725B2 (en) 2012-12-27 2019-06-18 Nvidia Corporation Fault detection in instruction translations
US10997027B2 (en) * 2017-12-21 2021-05-04 Arizona Board Of Regents On Behalf Of Arizona State University Lightweight checkpoint technique for resilience against soft errors
US11080122B2 (en) * 2019-09-19 2021-08-03 International Business Machines Corporation Software-invisible interrupt for a microprocessor
US11449380B2 (en) 2018-06-06 2022-09-20 Arizona Board Of Regents On Behalf Of Arizona State University Method for detecting and recovery from soft errors in a computing device

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3125109B1 (en) * 2015-07-31 2019-02-20 ARM Limited Vector length querying instruction
GB2544315B (en) * 2015-11-12 2018-02-14 Advanced Risc Mach Ltd An apparatus and method for controlling use of bounded pointers
EP3891613B1 (en) 2019-01-10 2023-01-11 Siemens Industry Software Inc. Software checkpoint-restoration between distinctly compiled executables
CN113342437B (en) * 2021-06-10 2023-03-24 网易(杭州)网络有限公司 Software translation method and device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6118724A (en) * 1997-04-30 2000-09-12 Canon Kabushiki Kaisha Memory controller architecture
US20030172220A1 (en) * 2002-03-06 2003-09-11 Broadcom Corporation Adaptive flow control method and apparatus
US6714904B1 (en) * 1999-10-13 2004-03-30 Transmeta Corporation System for using rate of exception event generation during execution of translated instructions to control optimization of the translated instructions
US20050154867A1 (en) * 2004-01-14 2005-07-14 International Business Machines Corporation Autonomic method and apparatus for counting branch instructions to improve branch predictions
EP1557754A2 (en) * 2004-01-21 2005-07-27 IP-First LLC Mechanism in a microprocessor for executing native instructions directly from memory
US20070240141A1 (en) * 2006-03-30 2007-10-11 Feng Qin Performing dynamic information flow tracking

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3815101A (en) 1972-11-08 1974-06-04 Sperry Rand Corp Processor state and storage limits register auto-switch
US3950729A (en) 1973-08-31 1976-04-13 Nasa Shared memory for a fault-tolerant computer
US4654790A (en) 1983-11-28 1987-03-31 Amdahl Corporation Translation of virtual and real addresses to system addresses
US4812981A (en) 1985-10-24 1989-03-14 Prime Computer, Inc. Memory management system improving the efficiency of fork operations
US4797814A (en) 1986-05-01 1989-01-10 International Business Machines Corporation Variable address mode cache
JP2589713B2 (en) 1987-11-20 1997-03-12 株式会社日立製作所 Data processor and data processing system
US5179669A (en) 1988-08-22 1993-01-12 At&T Bell Laboratories Multiprocessor interconnection and access arbitration arrangement
JPH02288927A (en) 1989-01-18 1990-11-28 Nec Corp Shared memory management system
CA2011807C (en) 1989-03-20 1999-02-23 Katsumi Hayashi Data base processing system using multiprocessor system
JPH0354660A (en) 1989-07-21 1991-03-08 Nec Corp Shared memory management system for multiprocessor system
US5123094A (en) 1990-01-26 1992-06-16 Apple Computer, Inc. Interprocessor communications includes second CPU designating memory locations assigned to first CPU and writing their addresses into registers
JPH04182858A (en) 1990-11-19 1992-06-30 Mitsubishi Electric Corp Shared memory management system
US5245702A (en) 1991-07-05 1993-09-14 Sun Microsystems, Inc. Method and apparatus for providing shared off-screen memory
US5696925A (en) 1992-02-25 1997-12-09 Hyundai Electronics Industries, Co., Ltd. Memory management unit with address translation function
US5414824A (en) 1993-06-30 1995-05-09 Intel Corporation Apparatus and method for accessing a split line in a high speed cache
US5446854A (en) 1993-10-20 1995-08-29 Sun Microsystems, Inc. Virtual memory computer apparatus and address translation mechanism employing hashing scheme and page frame descriptor that support multiple page sizes
GB2284494B (en) 1993-11-26 1998-09-09 Hitachi Ltd Distributed shared memory management system
US5526504A (en) 1993-12-15 1996-06-11 Silicon Graphics, Inc. Variable page size translation lookaside buffer
US5956753A (en) 1993-12-30 1999-09-21 Intel Corporation Method and apparatus for handling speculative memory access operations
GB2287111B (en) 1994-03-01 1998-08-05 Intel Corp Method for pipeline processing of instructions by controlling access to a reorder buffer using a register file outside the reorder buffer
US5487146A (en) 1994-03-08 1996-01-23 Texas Instruments Incorporated Plural memory access address generation employing guide table entries forming linked list
JPH0877347A (en) 1994-03-08 1996-03-22 Texas Instr Inc <Ti> Data processor for image/graphics processing and its operating method
US5963984A (en) 1994-11-08 1999-10-05 National Semiconductor Corporation Address translation unit employing programmable page size
US6813699B1 (en) 1995-06-02 2004-11-02 Transmeta Corporation Speculative address translation for processor using segmentation and optional paging
US5999189A (en) 1995-08-04 1999-12-07 Microsoft Corporation Image compression to reduce pixel and texture memory requirements in a real-time image generator
US5949785A (en) 1995-11-01 1999-09-07 Whittaker Corporation Network access communications system and methodology
US6298390B1 (en) 1995-11-22 2001-10-02 Sun Microsystems, Inc. Method and apparatus for extending traditional operating systems file systems
US6091897A (en) 1996-01-29 2000-07-18 Digital Equipment Corporation Fast translation and execution of a computer program on a non-native architecture by use of background translator
US6711667B1 (en) 1996-06-28 2004-03-23 Legerity, Inc. Microprocessor configured to translate instructions from one instruction set to another, and to store the translated instructions
US6031992A (en) 1996-07-05 2000-02-29 Transmeta Corporation Combining hardware and software to provide an improved microprocessor
US5870582A (en) 1997-03-31 1999-02-09 International Business Machines Corporation Method and apparatus for completion of non-interruptible instructions before the instruction is dispatched
US6012132A (en) 1997-03-31 2000-01-04 Intel Corporation Method and apparatus for implementing a page table walker that uses a sliding field in the virtual addresses to identify entries in a page table
GB9724031D0 (en) 1997-11-13 1998-01-14 Advanced Telecommunications Mo Cache memory operation
US6091987A (en) 1998-04-29 2000-07-18 Medtronic, Inc. Power consumption reduction in medical devices by employing different supply voltages
US6591355B2 (en) 1998-09-28 2003-07-08 Technion Research And Development Foundation Ltd. Distributed shared memory system with variable granularity
US7516453B1 (en) * 1998-10-26 2009-04-07 Vmware, Inc. Binary translator with precise exception synchronization mechanism
US6862635B1 (en) 1998-11-13 2005-03-01 Cray Inc. Synchronization techniques in a multithreaded environment
US7007075B1 (en) 1998-12-09 2006-02-28 E-Lysium Transaction Systems Inc. Flexible computer resource manager
US6297832B1 (en) 1999-01-04 2001-10-02 Ati International Srl Method and apparatus for memory access scheduling in a video graphics system
US6362826B1 (en) 1999-01-15 2002-03-26 Intel Corporation Method and apparatus for implementing dynamic display memory
US8065504B2 (en) 1999-01-28 2011-11-22 Ati International Srl Using on-chip and off-chip look-up tables indexed by instruction address to control instruction execution in a processor
US7941647B2 (en) 1999-01-28 2011-05-10 Ati Technologies Ulc Computer for executing two instruction sets and adds a macroinstruction end marker for performing iterations after loop termination
US7275246B1 (en) 1999-01-28 2007-09-25 Ati International Srl Executing programs for a first computer architecture on a computer of a second architecture
US6519694B2 (en) 1999-02-04 2003-02-11 Sun Microsystems, Inc. System for handling load errors having symbolic entity generator to generate symbolic entity and ALU to propagate the symbolic entity
US6535905B1 (en) 1999-04-29 2003-03-18 Intel Corporation Method and apparatus for thread switching within a multithreaded processor
US6574749B1 (en) 1999-10-29 2003-06-03 Nortel Networks Limited Reliable distributed shared memory
US6499090B1 (en) 1999-12-28 2002-12-24 Intel Corporation Prioritized bus request scheduling mechanism for processing devices
US6625715B1 (en) 1999-12-30 2003-09-23 Intel Corporation System and method for translation buffer accommodating multiple page sizes
US20010049818A1 (en) 2000-02-09 2001-12-06 Sanjeev Banerjia Partitioned code cache organization to exploit program locallity
US6457115B1 (en) 2000-06-15 2002-09-24 Advanced Micro Devices, Inc. Apparatus and method for generating 64 bit addresses using a 32 bit adder
ATE259081T1 (en) 2000-07-06 2004-02-15 Texas Instruments Inc MULTI-PROCESSOR SYSTEM TEST CIRCUIT
US6636223B1 (en) 2000-08-02 2003-10-21 Ati International. Srl Graphics processing system with logic enhanced memory and method therefore
EP1213650A3 (en) 2000-08-21 2006-08-30 Texas Instruments France Priority arbitration based on current task and MMU
US6742104B2 (en) 2000-08-21 2004-05-25 Texas Instruments Incorporated Master/slave processing system with shared translation lookaside buffer
EP1182571B1 (en) 2000-08-21 2011-01-26 Texas Instruments Incorporated TLB operations based on shared bit
US6883079B1 (en) 2000-09-01 2005-04-19 Maxtor Corporation Method and apparatus for using data compression as a means of increasing buffer bandwidth
US6859208B1 (en) 2000-09-29 2005-02-22 Intel Corporation Shared translation address caching
US20020069402A1 (en) 2000-10-05 2002-06-06 Nevill Edward Colles Scheduling control within a system having mixed hardware and software based instruction execution
JP2002169696A (en) 2000-12-04 2002-06-14 Mitsubishi Electric Corp Data processing apparatus
US6925547B2 (en) 2000-12-14 2005-08-02 Silicon Graphics, Inc. Remote address translation in a multiprocessor system
US7356026B2 (en) 2000-12-14 2008-04-08 Silicon Graphics, Inc. Node translation and protection in a clustered multiprocessor system
US6560690B2 (en) 2000-12-29 2003-05-06 Intel Corporation System and method for employing a global bit for page sharing in a linear-addressed cache
US6549997B2 (en) 2001-03-16 2003-04-15 Fujitsu Limited Dynamic variable page size translation of addresses
US7073044B2 (en) 2001-03-30 2006-07-04 Intel Corporation Method and apparatus for sharing TLB entries
US6658538B2 (en) 2001-06-21 2003-12-02 International Business Machines Corporation Non-uniform memory access (NUMA) data processing system having a page table including node-specific data storage and coherency control
US6523104B2 (en) 2001-07-13 2003-02-18 Mips Technologies, Inc. Mechanism for programmable modification of memory mapping granularity
US6901505B2 (en) 2001-08-09 2005-05-31 Advanced Micro Devices, Inc. Instruction causing swap of base address from segment register with address from another register
US6757784B2 (en) 2001-09-28 2004-06-29 Intel Corporation Hiding refresh of memory and refresh-hidden memory
US6823433B1 (en) 2001-11-13 2004-11-23 Advanced Micro Devices, Inc. Memory management system and method for providing physical address based memory access security
US6877077B2 (en) 2001-12-07 2005-04-05 Sun Microsystems, Inc. Memory controller and method using read and write queues and an ordering queue for dispatching read and write memory requests out of order to reduce memory latency
EP1331539B1 (en) 2002-01-16 2016-09-28 Texas Instruments France Secure mode for processors supporting MMU and interrupts
KR100921779B1 (en) 2002-04-18 2009-10-15 어드밴스드 마이크로 디바이시즈, 인코포레이티드 A computer system including a secure execution mode-capable cpu and a security services processor connected via a secure communication path
EP1514963B1 (en) 2002-05-30 2011-07-20 Shima Seiki Manufacturing, Ltd. Weft knitting machine with movable sinker device
US8285743B2 (en) 2002-06-24 2012-10-09 International Business Machines Corporation Scheduling viewing of web pages in a data processing system
US7124327B2 (en) * 2002-06-29 2006-10-17 Intel Corporation Control over faults occurring during the operation of guest software in the virtual-machine architecture
JP3982353B2 (en) 2002-07-12 2007-09-26 日本電気株式会社 Fault tolerant computer apparatus, resynchronization method and resynchronization program
EP1391820A3 (en) 2002-07-31 2007-12-19 Texas Instruments Incorporated Concurrent task execution in a multi-processor, single operating system environment
US6950925B1 (en) 2002-08-28 2005-09-27 Advanced Micro Devices, Inc. Scheduler for use in a microprocessor that supports data-speculative execution
GB2392998B (en) 2002-09-16 2005-07-27 Advanced Risc Mach Ltd Handling interrupts during multiple access program instructions
GB2393274B (en) 2002-09-20 2006-03-15 Advanced Risc Mach Ltd Data processing system having an external instruction set and an internal instruction set
US7398525B2 (en) 2002-10-21 2008-07-08 International Business Machines Corporation Resource scheduling in workflow management systems
US6981083B2 (en) 2002-12-05 2005-12-27 International Business Machines Corporation Processor virtualization mechanism via an enhanced restoration of hard architected states
US20040122800A1 (en) 2002-12-23 2004-06-24 Nair Sreekumar R. Method and apparatus for hardware assisted control redirection of original computer code to transformed code
US7191349B2 (en) 2002-12-26 2007-03-13 Intel Corporation Mechanism for processor power state aware distribution of lowest priority interrupt
US7203932B1 (en) 2002-12-30 2007-04-10 Transmeta Corporation Method and system for using idiom recognition during a software translation process
US20040128448A1 (en) 2002-12-31 2004-07-01 Intel Corporation Apparatus for memory communication during runahead execution
US7139876B2 (en) 2003-01-16 2006-11-21 Ip-First, Llc Microprocessor and apparatus for performing fast speculative pop operation from a stack memory cache
US7168077B2 (en) 2003-01-31 2007-01-23 Handysoft Corporation System and method of executing and controlling workflow processes
EP1447742A1 (en) 2003-02-11 2004-08-18 STMicroelectronics S.r.l. Method and apparatus for translating instructions of an ARM-type processor into instructions for a LX-type processor
US6965983B2 (en) 2003-02-16 2005-11-15 Faraday Technology Corp. Simultaneously setting prefetch address and fetch address pipelined stages upon branch
US6963963B2 (en) 2003-03-25 2005-11-08 Freescale Semiconductor, Inc. Multiprocessor system having a shared main memory accessible by all processor units
JP2006521618A (en) * 2003-03-27 2006-09-21 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Activity monitoring by branch
US7003647B2 (en) 2003-04-24 2006-02-21 International Business Machines Corporation Method, apparatus and computer program product for dynamically minimizing translation lookaside buffer entries across contiguous memory
US7107441B2 (en) 2003-05-21 2006-09-12 Intel Corporation Pre-boot interpreted namespace parsing for flexible heterogeneous configuration and code consolidation
US7082508B2 (en) 2003-06-24 2006-07-25 Intel Corporation Dynamic TLB locking based on page usage metric
US7124255B2 (en) 2003-06-30 2006-10-17 Microsoft Corporation Message based inter-process for high volume data
GB0316532D0 (en) 2003-07-15 2003-08-20 Transitive Ltd Method and apparatus for partitioning code in program code conversion
US7451300B1 (en) * 2003-07-15 2008-11-11 Transmeta Corporation Explicit control of speculation
US7225299B1 (en) 2003-07-16 2007-05-29 Transmeta Corporation Supporting speculative modification in a data cache
US7062631B1 (en) 2003-07-17 2006-06-13 Transmeta Corporation Method and system for enforcing consistent per-physical page cacheability attributes
US7418585B2 (en) 2003-08-28 2008-08-26 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US20050050013A1 (en) 2003-08-28 2005-03-03 Sharp Laboratories Of America, Inc. System and method for policy-driven device queries
US7010648B2 (en) 2003-09-08 2006-03-07 Sun Microsystems, Inc. Method and apparatus for avoiding cache pollution due to speculative memory load operations in a microprocessor
US7921300B2 (en) 2003-10-10 2011-04-05 Via Technologies, Inc. Apparatus and method for secure hash algorithm
US7321958B2 (en) 2003-10-30 2008-01-22 International Business Machines Corporation System and method for sharing memory by heterogeneous processors
US7159095B2 (en) 2003-12-09 2007-01-02 International Business Machines Corporation Method of efficiently handling multiple page sizes in an effective to real address translation (ERAT) table
US7730489B1 (en) 2003-12-10 2010-06-01 Oracle America, Inc. Horizontally scalable and reliable distributed transaction management in a clustered application server environment
US7107411B2 (en) 2003-12-16 2006-09-12 International Business Machines Corporation Apparatus method and system for fault tolerant virtual memory management
US7496732B2 (en) 2003-12-17 2009-02-24 Intel Corporation Method and apparatus for results speculation under run-ahead execution
US7310722B2 (en) 2003-12-18 2007-12-18 Nvidia Corporation Across-thread out of order instruction dispatch in a multithreaded graphics processor
US7340565B2 (en) 2004-01-13 2008-03-04 Hewlett-Packard Development Company, L.P. Source request arbitration
US7082075B2 (en) 2004-03-18 2006-07-25 Micron Technology, Inc. Memory device and method having banks of different sizes
US7234038B1 (en) 2004-05-28 2007-06-19 Sun Microsystems, Inc. Page mapping cookies
US7383414B2 (en) 2004-05-28 2008-06-03 Oracle International Corporation Method and apparatus for memory-mapped input/output
US20060004984A1 (en) 2004-06-30 2006-01-05 Morris Tonia G Virtual memory management system
US8190863B2 (en) 2004-07-02 2012-05-29 Intel Corporation Apparatus and method for heterogeneous chip multiprocessors via resource allocation and restriction
US7257699B2 (en) 2004-07-08 2007-08-14 Sun Microsystems, Inc. Selective execution of deferred instructions in a processor that supports speculative execution
US7194604B2 (en) 2004-08-26 2007-03-20 International Business Machines Corporation Address generation interlock resolution under runahead execution
US7890735B2 (en) 2004-08-30 2011-02-15 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US8001294B2 (en) 2004-09-28 2011-08-16 Sony Computer Entertainment Inc. Methods and apparatus for providing a compressed network in a multi-processing system
US7340582B2 (en) 2004-09-30 2008-03-04 Intel Corporation Fault processing for direct memory access address translation
US8843727B2 (en) 2004-09-30 2014-09-23 Intel Corporation Performance enhancement of address translation using translation tables covering large address spaces
US20060149931A1 (en) 2004-12-28 2006-07-06 Akkary Haitham Runahead execution in a central processing unit
CN100573443C (en) 2004-12-30 2009-12-23 英特尔公司 Select to the form that the multi-format the binary code conversion of simple target instruction set architecture instructs from mixing the source instruction set framework
US7437517B2 (en) 2005-01-11 2008-10-14 International Business Machines Corporation Methods and arrangements to manage on-chip memory to reduce memory latency
US20060174228A1 (en) 2005-01-28 2006-08-03 Dell Products L.P. Adaptive pre-fetch policy
US7752627B2 (en) 2005-02-04 2010-07-06 Mips Technologies, Inc. Leaky-bucket thread scheduler in a multithreading microprocessor
US7948896B2 (en) 2005-02-18 2011-05-24 Broadcom Corporation Weighted-fair-queuing relative bandwidth sharing
TWI309378B (en) 2005-02-23 2009-05-01 Altek Corp Central processing unit having a micro-code engine
US7209405B2 (en) 2005-02-23 2007-04-24 Micron Technology, Inc. Memory device and method having multiple internal data buses and memory bank interleaving
US7447869B2 (en) 2005-04-07 2008-11-04 Ati Technologies, Inc. Method and apparatus for fragment processing in a virtual memory system
US20100161901A9 (en) 2005-04-14 2010-06-24 Arm Limited Correction of incorrect cache accesses
DE102005021749A1 (en) 2005-05-11 2006-11-16 Fachhochschule Dortmund Program-controlled information processing method, involves initiating information processing operations in selected resources, and disconnecting connections that are no longer needed between selected resources
US7299337B2 (en) 2005-05-12 2007-11-20 Traut Eric P Enhanced shadow page table algorithms
US7739668B2 (en) 2005-05-16 2010-06-15 Texas Instruments Incorporated Method and system of profiling applications that use virtual memory
US20060277398A1 (en) 2005-06-03 2006-12-07 Intel Corporation Method and apparatus for instruction latency tolerant execution in an out-of-order pipeline
US7814292B2 (en) 2005-06-14 2010-10-12 Intel Corporation Memory attribute speculation
US20070067505A1 (en) 2005-09-22 2007-03-22 Kaniyur Narayanan G Method and an apparatus to prevent over subscription and thrashing of translation lookaside buffer (TLB) entries in I/O virtualization hardware
JP2007109116A (en) 2005-10-17 2007-04-26 Fukuoka Pref Gov Sangyo Kagaku Gijutsu Shinko Zaidan Estimation apparatus, apparatus and method for table management, selection apparatus, program which makes computer attain the table management method, and storage medium storing the program
US7739476B2 (en) 2005-11-04 2010-06-15 Apple Inc. R and C bit update handling
US7616218B1 (en) 2005-12-05 2009-11-10 Nvidia Corporation Apparatus, system, and method for clipping graphics primitives
US7519781B1 (en) 2005-12-19 2009-04-14 Nvidia Corporation Physically-based page characterization data
US7512767B2 (en) 2006-01-04 2009-03-31 Sony Ericsson Mobile Communications Ab Data compression method for supporting virtual memory management in a demand paging system
US7653803B2 (en) 2006-01-17 2010-01-26 Globalfoundries Inc. Address translation for input/output (I/O) devices and interrupt remapping for I/O devices in an I/O memory management unit (IOMMU)
JP4890033B2 (en) 2006-01-19 2012-03-07 株式会社日立製作所 Storage device system and storage control method
US7545382B1 (en) 2006-03-29 2009-06-09 Nvidia Corporation Apparatus, system, and method for using page table entries in a graphics system to provide storage format information for address translation
JP5010164B2 (en) 2006-03-31 2012-08-29 株式会社日立製作所 Server apparatus and virtual machine control program
US8621120B2 (en) 2006-04-17 2013-12-31 International Business Machines Corporation Stalling of DMA operations in order to do memory migration using a migration in progress bit in the translation control entry mechanism
US7702843B1 (en) 2006-04-27 2010-04-20 Vmware, Inc. Determining memory conditions in a virtual machine
US8035648B1 (en) 2006-05-19 2011-10-11 Nvidia Corporation Runahead execution for graphics processing units
US8707011B1 (en) 2006-10-24 2014-04-22 Nvidia Corporation Memory access techniques utilizing a set-associative translation lookaside buffer
US8706975B1 (en) 2006-11-01 2014-04-22 Nvidia Corporation Memory access management block bind system and method
CN100485689C (en) 2007-01-30 2009-05-06 浪潮通信信息系统有限公司 Data speedup query method based on file system caching
WO2008097710A2 (en) * 2007-02-02 2008-08-14 Tarari, Inc. Systems and methods for processing access control lists (acls) in network switches using regular expression matching logic
CN101042670A (en) 2007-04-24 2007-09-26 上海华龙信息技术开发中心 Instruction exception processing method
US7895421B2 (en) 2007-07-12 2011-02-22 Globalfoundries Inc. Mechanism for using performance counters to identify reasons and delay times for instructions that are stalled during retirement
US7712092B2 (en) 2007-10-01 2010-05-04 The Board Of Trustees Of The Leland Stanford Junior University Binary translation using peephole translation rules
US7925923B1 (en) * 2008-01-31 2011-04-12 Hewlett-Packard Development Company, L.P. Migrating a virtual machine in response to failure of an instruction to execute
US20090327661A1 (en) 2008-06-30 2009-12-31 Zeev Sperber Mechanisms to handle free physical register identifiers for smt out-of-order processors
US8131984B2 (en) 2009-02-12 2012-03-06 Via Technologies, Inc. Pipelined microprocessor with fast conditional branch instructions based on static serializing instruction state
US8533437B2 (en) 2009-06-01 2013-09-10 Via Technologies, Inc. Guaranteed prefetch instruction
US8364902B2 (en) 2009-08-07 2013-01-29 Via Technologies, Inc. Microprocessor with repeat prefetch indirect instruction
US20110078425A1 (en) 2009-09-25 2011-03-31 Shah Manish K Branch prediction mechanism for predicting indirect branch targets
US8775153B2 (en) 2009-12-23 2014-07-08 Intel Corporation Transitioning from source instruction set architecture (ISA) code to translated code in a partial emulation environment
CN102169429B (en) 2010-03-29 2016-06-29 威盛电子股份有限公司 Pre-fetch unit, data prefetching method and microprocessor
US8479176B2 (en) 2010-06-14 2013-07-02 Intel Corporation Register mapping techniques for efficient dynamic binary translation
US8719625B2 (en) 2010-07-22 2014-05-06 International Business Machines Corporation Method, apparatus and computer program for processing invalid data
US8549504B2 (en) * 2010-09-25 2013-10-01 Intel Corporation Apparatus, method, and system for providing a decision mechanism for conditional commits in an atomic region
US8627044B2 (en) 2010-10-06 2014-01-07 Oracle International Corporation Issuing instructions with unresolved data dependencies
CN108874693B (en) 2011-01-27 2022-09-23 英特尔公司 Guest instruction to native instruction range based mapping using a translation lookaside buffer of a processor
WO2013100996A1 (en) 2011-12-28 2013-07-04 Intel Corporation Binary translation in asymmetric multiprocessor system
US8898642B2 (en) 2012-02-16 2014-11-25 Unisys Corporation Profiling and sequencing operators executable in an emulated computing system
US10146545B2 (en) 2012-03-13 2018-12-04 Nvidia Corporation Translation address cache for a microprocessor
US9880846B2 (en) 2012-04-11 2018-01-30 Nvidia Corporation Improving hit rate of code translation redirection table with replacement strategy based on usage history table of evicted entries
US10241810B2 (en) 2012-05-18 2019-03-26 Nvidia Corporation Instruction-optimizing processor with branch-count table in hardware
US9384001B2 (en) 2012-08-15 2016-07-05 Nvidia Corporation Custom chaining stubs for instruction code translation
US9645929B2 (en) 2012-09-14 2017-05-09 Nvidia Corporation Speculative permission acquisition for shared memory
US9740553B2 (en) 2012-11-14 2017-08-22 Nvidia Corporation Managing potentially invalid results during runahead
US20140189310A1 (en) 2012-12-27 2014-07-03 Nvidia Corporation Fault detection in instruction translations
US10108424B2 (en) 2013-03-14 2018-10-23 Nvidia Corporation Profiling code portions to generate translations
US9547602B2 (en) 2013-03-14 2017-01-17 Nvidia Corporation Translation lookaside buffer entry systems and methods
US9582280B2 (en) 2013-07-18 2017-02-28 Nvidia Corporation Branching to alternate code based on runahead determination

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6118724A (en) * 1997-04-30 2000-09-12 Canon Kabushiki Kaisha Memory controller architecture
US6714904B1 (en) * 1999-10-13 2004-03-30 Transmeta Corporation System for using rate of exception event generation during execution of translated instructions to control optimization of the translated instructions
US20030172220A1 (en) * 2002-03-06 2003-09-11 Broadcom Corporation Adaptive flow control method and apparatus
US20050154867A1 (en) * 2004-01-14 2005-07-14 International Business Machines Corporation Autonomic method and apparatus for counting branch instructions to improve branch predictions
EP1557754A2 (en) * 2004-01-21 2005-07-27 IP-First LLC Mechanism in a microprocessor for executing native instructions directly from memory
US20070240141A1 (en) * 2006-03-30 2007-10-11 Feng Qin Performing dynamic information flow tracking

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10146545B2 (en) 2012-03-13 2018-12-04 Nvidia Corporation Translation address cache for a microprocessor
US9880846B2 (en) 2012-04-11 2018-01-30 Nvidia Corporation Improving hit rate of code translation redirection table with replacement strategy based on usage history table of evicted entries
US10241810B2 (en) 2012-05-18 2019-03-26 Nvidia Corporation Instruction-optimizing processor with branch-count table in hardware
US10324725B2 (en) 2012-12-27 2019-06-18 Nvidia Corporation Fault detection in instruction translations
US10108424B2 (en) 2013-03-14 2018-10-23 Nvidia Corporation Profiling code portions to generate translations
US10997027B2 (en) * 2017-12-21 2021-05-04 Arizona Board Of Regents On Behalf Of Arizona State University Lightweight checkpoint technique for resilience against soft errors
US11449380B2 (en) 2018-06-06 2022-09-20 Arizona Board Of Regents On Behalf Of Arizona State University Method for detecting and recovery from soft errors in a computing device
US11080122B2 (en) * 2019-09-19 2021-08-03 International Business Machines Corporation Software-invisible interrupt for a microprocessor

Also Published As

Publication number Publication date
TW201439902A (en) 2014-10-16
CN103902251A (en) 2014-07-02
US20180260222A1 (en) 2018-09-13
DE102013224384A1 (en) 2014-07-03
TWI550511B (en) 2016-09-21
US10324725B2 (en) 2019-06-18

Similar Documents

Publication Publication Date Title
US10324725B2 (en) Fault detection in instruction translations
US9804854B2 (en) Branching to alternate code based on runahead determination
US9009449B2 (en) Reducing power consumption and resource utilization during miss lookahead
US8688963B2 (en) Checkpoint allocation in a speculative processor
US7257699B2 (en) Selective execution of deferred instructions in a processor that supports speculative execution
US9740553B2 (en) Managing potentially invalid results during runahead
EP3058457A1 (en) Computer processor with deferred operations
DE102012216592A1 (en) Prefix computer instruction to extend the statement functionality
US9891972B2 (en) Lazy runahead operation for a microprocessor
US9880846B2 (en) Improving hit rate of code translation redirection table with replacement strategy based on usage history table of evicted entries
US10628160B2 (en) Selective poisoning of data during runahead
EP2776919B1 (en) Reducing hardware costs for supporting miss lookahead
US7395418B1 (en) Using a transactional execution mechanism to free up processor resources used by a busy-waiting thread
US7634639B2 (en) Avoiding live-lock in a processor that supports speculative execution
US20140164738A1 (en) Instruction categorization for runahead operation
US20110179254A1 (en) Limiting speculative instruction fetching in a processor
US7634641B2 (en) Method and apparatus for using multiple threads to spectulatively execute instructions
US7418581B2 (en) Method and apparatus for sampling instructions on a processor that supports speculative execution
US11526356B2 (en) Prefetch mechanism for a cache structure
US7664942B1 (en) Recovering a subordinate strand from a branch misprediction using state information from a primary strand
US20020166042A1 (en) Speculative branch target allocation

Legal Events

Date Code Title Description
AS Assignment

Owner name: NVIDIA CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TUCK, NATHAN;DUNN, DAVID;SEGELKEN, ROSS;AND OTHERS;SIGNING DATES FROM 20121213 TO 20121227;REEL/FRAME:029535/0539

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION