US20140203290A1 - Wire-Last Integration Method and Structure for III-V Nanowire Devices - Google Patents

Wire-Last Integration Method and Structure for III-V Nanowire Devices Download PDF

Info

Publication number
US20140203290A1
US20140203290A1 US13/967,953 US201313967953A US2014203290A1 US 20140203290 A1 US20140203290 A1 US 20140203290A1 US 201313967953 A US201313967953 A US 201313967953A US 2014203290 A1 US2014203290 A1 US 2014203290A1
Authority
US
United States
Prior art keywords
iii
gate
fins
nanowire
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/967,953
Inventor
Josephine B. Chang
Isaac Lauer
Jeffrey W. Sleight
Amlan Majumdar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/967,953 priority Critical patent/US20140203290A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LAUER, ISAAC, SLEIGHT, JEFFREY W., CHANG, JOSEPHINE B., MAJUMDAR, AMLAN
Publication of US20140203290A1 publication Critical patent/US20140203290A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/762Nanowire or quantum wire, i.e. axially elongated structure having two dimensions of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Definitions

  • the present invention relates to III-V material-based nanowire field-effect transistor (FET) devices and more particularly, to wire-last integration techniques for producing III-V material-based nanowire FET devices.
  • FET field-effect transistor
  • Gate-all-around field effect transistors offer the ultimate in scaling potential by virtue of offering the best electrostatics of any currently known device geometry.
  • FETs Gate-all-around field effect transistors
  • a drawback to employing a gate-all-around configuration is the difficulty of fabricating deeply scaled devices starting with nanowires due to the fragility of the nanowires. Thus, most process steps performed after the nanowire has been formed must be carefully tuned to preserve the nanowire.
  • gate-all-around FETs are typically formed using either a gate-first or a gate-last process.
  • the gate material In any gate-first process flow, the gate material must be removed from beneath the source/drain region of the device by some undercut method which, using conventional techniques, also results in critical dimension loss of the gate line itself, hurting process and device scalability.
  • the nanowire In wire-before-gate, gate-first, or replacement gate processes, the nanowire must be suspended using a landing pad region, which hurts layout efficiency.
  • the present invention relates to wire-last integration techniques for producing III-V material-based nanowire field-effect transistor (FET) devices.
  • a method of fabricating a nanowire FET device includes the following steps.
  • a semiconductor-on-insulator (SOI) wafer is provided having an SOI layer over a buried oxide (BOX).
  • a layer of III-V semiconductor material is formed on the SOI layer. Fins are etched into the III-V semiconductor material and the SOI layer.
  • a dummy gate dielectric is formed on the fins.
  • One or more dummy gates are formed over a portion of the fins that serves as a channel region of the device, wherein the dummy gates are separated from the fins by the dummy gate dielectric.
  • Spacers are formed on opposite sides of the dummy gates.
  • a gap filler material is deposited onto the wafer filling spaces between the fins and the dummy gates.
  • the dummy gates and the dummy gate dielectric are removed selective to the gap filler material, forming trenches in the gap filler material.
  • the SOI layer is removed from portions of the fins within the trenches thereby forming suspended nanowire channels in the channel regions of the device, wherein portions of the fins outside of the trenches will serve as source and drain regions of the device.
  • the trenches are filled with at least one gate material to form one or more replacement gates surrounding the nanowire channels in a gate-all-around configuration.
  • a nanowire FET device in another aspect of the invention, includes at least one fin formed on a wafer, wherein the fin comprises (i) portions having a layer of a III-V semiconductor material on an SOI layer which serve as source and drain regions of the device, and (ii) portions of the III-V semiconductor material released from the fin which serve as a nanowire channel of the device; a gap filler material surrounding the fin; and at least one gate, formed within a trench in the gap filler material, that surrounds the nanowire channel in a gate all around configuration.
  • FIG. 1 is a cross-sectional diagram illustrating a starting platform for the fabrication of a gate-all-around nanowire field-effect transistor (FET), i.e., a semiconductor-on-insulator (SOI) wafer having an SOI layer separated from a substrate by a buried oxide (BOX), according to an embodiment of the present invention
  • FET gate-all-around nanowire field-effect transistor
  • SOI semiconductor-on-insulator
  • BOX buried oxide
  • FIG. 2 is a cross-sectional diagram illustrating a layer of III-V material having been formed on the wafer according to an embodiment of the present invention
  • FIG. 3 is a cross-sectional diagram illustrating fins having been etched into the III-V material and the SOI layer according to an embodiment of the present invention
  • FIG. 4 is a cross-sectional diagram illustrating the etched fins from another perspective, i.e., as a cross-sectional cut through the gate direction of the device according to an embodiment of the present invention
  • FIG. 5 is a cross-sectional diagram illustrating a dummy gate material having been deposited onto the wafer covering the fins and a dummy gate hardmask having been formed on the dummy gate material according to an embodiment of the present invention
  • FIG. 6 is a cross-sectional diagram illustrating the dummy gate hardmasks having been used to pattern the dummy gate material to form one or more dummy gates according to an embodiment of the present invention
  • FIG. 7 is a cross-sectional diagram illustrating patterning of the dummy gates from another perspective, i.e., as a cross-sectional cut along the fin direction of the device, through one of the fins according to an embodiment of the present invention
  • FIG. 8 is a cross-sectional diagram illustrating patterning of the dummy gates from yet another perspective, i.e., as a cross-sectional cut along the fin direction of the device, between two of the fins according to an embodiment of the present invention
  • FIG. 9 is a cross-sectional diagram illustrating spacers having been formed on opposite sides of the dummy gates according to an embodiment of the present invention.
  • FIG. 10 is a cross-sectional diagram illustrating a gap filler material having been deposited onto the wafer filling the spaces between the fins and the dummy gates according to an embodiment of the present invention
  • FIG. 11 is a cross-sectional diagram illustrating the dummy gates having been removed selective to the gap filler material resulting in trenches having been formed in the gap filler material and nanowires formed from III-V material having been released/suspended in the channel region according to an embodiment of the present invention.
  • FIG. 12 is a cross-sectional diagram illustrating replacement gates having been formed in the trenches surrounding the nanowire channels according to an embodiment of the present invention.
  • III-V material-based nanowire field-effect transistor (FET) devices and techniques for the fabrication thereof.
  • FET nanowire field-effect transistor
  • the use of III-V materials as the channel material in a FET is advantageous since III-V channels can have significantly higher electron mobility than silicon (Si) channels.
  • Si silicon
  • III-V channels however has several notable challenges. For example, depositing a III-V material on an Si or a Si-containing layer often results in a lattice mismatch between the materials. Further, III-V materials are brittle, and thus nanowires formed from III-V materials are subject to breakage when suspended over long distances.
  • the present techniques address these challenges by employing a replacement gate Fin field-effect transistor (FinFET) process with an additional release layer added at the beginning of the process. As will be described in detail below, release of the nanowire removes the material interface at the channels, eliminating the lattice mismatch problem, and the present replacement gate process results in only short lengths of the nanowires being suspended, thus eliminating the chance of breakage.
  • FinFET Fin field-effect transistor
  • a further advantage of the present process is that only after the replacement gate is removed is the nanowire released, such that the nanowire (once formed) only needs to see the wire release and gate deposition steps.
  • the nanowire exists as a part of a fin, or is fully encapsulated in the gate. Thus, the integrity of the nanowire is protected throughout the majority of the process.
  • FIG. 1 is a cross-sectional diagram illustrating a starting platform for the fabrication of a gate-all-around nanowire FET.
  • the process begins with a semiconductor-on-insulator (SOI) wafer.
  • a SOI wafer includes a semiconductor layer (e.g., SOI layer 102 ) separated from a substrate (e.g., substrate 106 ) by a buried oxide or box (e.g., BOX 104 ).
  • the SOI layer 102 is a silicon germanium (SiGe) or a germanium (Ge)-containing layer.
  • a Ge concentration of the SOI layer 102 is greater than or equal to about 75%, e.g., from about 85% to about 100% (in the case where a GeSOI wafer is employed).
  • the concentration of Ge in the SOI layer 102 can be increased using Ge condensation. See, for example, T.
  • Tezuka et al. “Fabrication of strained Si on an ultrathin SiGe-on-insulator virtual substrate with a high-Ge fraction,” Applied Physics Letters, vol. 79, no. 12, Sep. 17, 2001 (hereinafter “Tezuka”), the contents of which are incorporated by reference herein.
  • Tezuka an oxidation process can be employed during which Ge atoms are rejected from a SiGe layer and condense in a remaining SGOI layer on the wafer. The specific conditions of this condensation process are provided in Tezuka.
  • FIG. 1 Each of the figures illustrating the fabrication process will show a cross-sectional cut through a portion of the device structure.
  • a legend is provided at the top left corner of each figure illustrating the various orientations of the cuts shown.
  • FIG. 1 there are two orientations of cuts that will be illustrated throughout the figures.
  • One is a cut along the fin direction.
  • fins will be formed which will serve as the channel, source and drain regions of the device.
  • the other is a cut along the gate direction.
  • gate stacks will be formed surrounding nanowire channels of the device (gate all around configuration). Since FIG. 1 is showing the starting wafer (or substrate), the cross-sectional cut depicted is the same in either the fin direction or the gate direction.
  • III-V semiconductor material 202 is formed on the wafer, i.e., on the SOI layer 102 .
  • III-V semiconductor material or simply III-V material, as used herein and throughout the following description, refers to a material that includes at least one group III element and at least one group V element.
  • III-V materials include, but are not limited to, one or more of aluminum gallium arsenide, aluminum gallium nitride, aluminum indium arsenide, aluminum nitride, gallium antimonide, gallium arsenide, gallium nitride, indium antimonide, indium arsenide, indium gallium arsenide, indium gallium nitride, indium phosphide and combinations including at least one of the foregoing materials.
  • the III-V material 202 is indium gallium arsenide (InGaAs).
  • the III-V material 202 constitutes a channel material for the gate-all-around nanowire FET device.
  • the III-V material 202 is epitaxially grown on the wafer using, for example, molecular beam epitaxy (MBE) or metalorganic chemical vapor deposition (MOCVD).
  • MBE molecular beam epitaxy
  • MOCVD metalorganic chemical vapor deposition
  • the resulting layer(s) will be near lattice-matched to the underlying SOI layer 102 .
  • the deposition of III-V materials on an Si layer can be challenging due to lattice mismatch between the materials—the result often being islanding and/or misfit dislocation issues.
  • the amount of misfit dislocation is minimized.
  • the present techniques provide an effective solution for implementing III-V channel materials in a FET fabrication process flow.
  • the deposition of III-V materials on a Si or Si-containing layer results in lattice mismatch between the materials.
  • the resulting lattice mismatch can affect device viability and performance.
  • any of the misfit dislocation at the interface between the III-V material 202 and the SOI layer 102 in the channel regions of the device will be removed when the SOI layer 102 is etched (removed) in the channel regions, see FIG. 11 —described below. Once the wire is released there is no material boundary and thus no misfit defect.
  • another advantage of the present techniques in the context of III-V channel FETs is that it accommodates the brittle nature of the III-V materials.
  • a wire-first scheme there is a long length of suspended wires.
  • the wires are formed from a semiconductor such as Si, then wires in these wire-first configurations tend to sag.
  • the III-V materials are brittle, it is likely that the wires would break rather than sag if a wire-first scheme were implemented with III-V channel materials.
  • there is a short length of suspension (shorter than conventional wire-first approaches), thus preventing the (III-V) wires from breaking.
  • FIG. 2 shows the orientation of the cross-sectional cut depicted in FIG. 2 .
  • the cross-sectional cut depicted is the same in either the fin direction or the gate direction.
  • fins are etched into the III-V material 202 and SOI layer 102 .
  • the fins will be used to form the source, drain and channel regions of the device.
  • the fins are formed by first patterning a fin hardmask (not shown) on the III-V material 202 which is commensurate with the footprint and location of the fins.
  • Suitable fin hardmask materials include, but are not limited to a nitride material, such as silicon nitride.
  • the given hardmask material is deposited onto the wafer and then is patterned using a lithography and etching process wherein a patterned resist film is used to pattern the fin hardmasks.
  • the fin hardmasks may be patterned using reactive ion etching (RIE).
  • the resist film would be formed from a resist material such as hydrogen silsesquioxane (HSQ) patterned using electron beam (e-beam) lithography and transferred to a carbon-based resist.
  • RIE reactive ion etching
  • any remaining fin hardmask material can be removed—e.g., using a wet or dry etch.
  • the fins are etched in the III-V material 202 and SOI layer 102 using a RIE process wherein the BOX 104 acts as an etch stop.
  • the III-V material 202 and SOI layer 102 following the fin etch are referred to as patterned III-V material 202 a and patterned SOI layer 102 a.
  • Reference to the legend at the top left corner of FIG. 3 shows the orientation of the cross-sectional cut depicted in FIG. 3 .
  • the orientation of the cross-sectional cut shown in FIG. 3 is along the fin direction, through one of the fins.
  • FIG. 4 depicts the etched fins from another perspective, i.e., as a cross-sectional cut through the gate direction of the device (see the legend at the top left corner of FIG. 4 which shows that the orientation of the cross-sectional cut depicted in FIG. 4 is along the gate direction).
  • the patterned SOI layer 102 a is thinner than the patterned III-V material 202 a . This is the result of the patterned SOI layer 102 a being etched laterally during the fin etch.
  • the present techniques employ a gate-last process wherein a dummy gate which is formed early in the fabrication process is later removed and replaced with a replacement gate.
  • the formation of the dummy gate is now described. As shown in FIG. 5 , the formation of the dummy gate begins with the deposition of a dummy gate material 502 onto the wafer covering the fins.
  • Suitable dummy gate materials include, but are not limited to, poly-silicon (poly-Si)—deposited onto the wafer using, e.g., low pressure chemical vapor deposition (LPCVD).
  • a dummy gate dielectric 506 may be formed on the fins. See FIG. 5 .
  • the dummy gate dielectric may be formed from an oxide material, such as silicon oxide, which is deposited onto the fins using a conformal deposition process, such as chemical vapor deposition (CVD).
  • the dummy gate dielectric is formed to provide an etch stop layer for the dummy gate removal process. For instance, when poly-silicon is used as the dummy gate material, a layer is needed to protect the channel material during the poly-silicon removal step.
  • the deposited dummy gate material 502 may be planarized using, for example, chemical mechanical planarization (CMP), and dummy gate hardmasks 504 can be formed on the dummy gate material 502 .
  • CMP chemical mechanical planarization
  • the dummy gate hardmasks 504 and the positioning thereof are commensurate with the foot print and location of the dummy gates (see FIGS. 6-8 , described below).
  • the dummy gate hardmask is formed from silicon nitride (SiN).
  • Reference to the legend at the top left corner of FIG. 5 shows the orientation of the cross-sectional cut depicted in FIG. 5 .
  • the orientation of the cross-sectional cut shown in FIG. 5 is along the gate direction, through one of the dummy gate hardmasks and the dummy gate material.
  • the dummy gate hardmasks 504 are then used to pattern the dummy gate material to form one or more dummy gates 602 over the fins and separated from the fins by the dummy gate dielectric. See FIG. 6 .
  • Dummy gates 602 can be patterned using a poly-silicon selective RIE around the dummy gate hardmasks 504 .
  • Reference to the legend at the top left corner of FIG. 6 shows the orientation of the cross-sectional cut depicted in FIG. 6 .
  • the orientation of the cross-sectional cut shown in FIG. 6 is along the gate direction, through one of the dummy gates 602 .
  • FIG. 7 is a cross-sectional diagram illustrating patterning of the dummy gates 602 from another perspective, i.e., as a cross-sectional cut along the fin direction of the device, through one of the fins.
  • FIG. 8 is a cross-sectional diagram illustrating patterning of the dummy gates 602 from yet another perspective, i.e., as a cross-sectional cut along the fin direction of the device, between two of the fins.
  • Spacers 902 are then formed on opposite sides of the dummy gates 602 . See FIG. 9 . Spacers 902 serve to offset the gate a certain distance from the source/drain regions. According to an exemplary embodiment, spacers 902 are formed by first depositing a nitride layer onto the wafer, covering the fins. It is notable that III-V materials can be damaged by high processing temperatures (e.g., processing temperatures greater than about 400° C.). With conventional techniques, processes such as low pressure chemical vapor deposition (LPCVD) or rapid thermal chemical vapor deposition (RTCVD) are used to deposit the spacer material. These processes, however, employ high temperatures which can damage the III-V channel material.
  • LPCVD low pressure chemical vapor deposition
  • RTCVD rapid thermal chemical vapor deposition
  • a process is preferably employed that permits deposition of the spacer material at lower temperatures.
  • Suitable low-temperature deposition processes for the spacer material include, but are not limited to plasma-enhanced chemical vapor deposition (PECVD). With PECVD deposition can be conducted at temperatures of from about 250° C. to about 400° C.
  • a resist film (not shown) is then deposited on the nitride layer, masked and patterned with a location and footprint of the spacers.
  • a nitride-selective RIE is then used to define/pattern spacers 902 in the nitride layer.
  • FIG. 9 Reference to the legend at the top left corner of FIG. 9 shows the orientation of the cross-sectional cut depicted in FIG. 9 . Specifically, the orientation of the cross-sectional cut shown in FIG. 9 is along the fin direction, between two of the fins.
  • a gap filler material 1002 is deposited onto the wafer filling the spaces between the fins and the dummy gates.
  • Suitable gap filler materials include, but are not limited to a dielectric material, such as silicon oxide.
  • the gap filler material 1002 is deposited onto the wafer using a high-density plasma (HDP) and then planarized down to the dummy gates (see FIG. 10 ) using CMP. This planarizing step will serve to remove any remaining dummy gate hardmask material from the wafer.
  • HDP high-density plasma
  • FIG. 10 Reference to the legend at the top left corner of FIG. 10 shows the orientation of the cross-sectional cut depicted in FIG. 10 . Specifically, the orientation of the cross-sectional cut shown in FIG. 10 is along the fin direction, between two of the fins.
  • the dummy gates 602 are then removed selective to the gap filler material 1002 .
  • dummy gates 602 are removed using a chemical etching process, such as chemical down stream or potassium hydroxide (KOH) etching, or RIE.
  • KOH potassium hydroxide
  • the dummy gate dielectric is removed in a separate step after the dummy gates are gone.
  • oxide dielectric this could be done in wet etches like dilute hydrofluoric (HF) acid or buffered oxide etch (BOE), or possibly in a RIE process.
  • the removal of dummy gates 602 results in trenches 1102 being formed in gap filler material 1002 .
  • trenches 1102 distinguish a (nanowire) channel region of the device from source and drain regions of the device.
  • the III-V material 202 will be used to form nanowire channels of the device.
  • the nanowire channels In order to be able to form a gate (a replacement gate, see below) that surrounds the nanowire channels in a gate-all-around configuration, the nanowire channels have to be released from the fin. Namely, the patterned SOI layer 102 a is removed from the fin, releasing the nanowires, resulting in suspended nanowires in the channel region formed from III-V material 202 . See FIG. 11 .
  • the nanowire channels will form channel regions of the device, while portions of the fins outside of the trenches will form source and drain regions of the device.
  • a replacement gate will be formed surrounding (in a gate-all-around configuration) the channel regions (see below).
  • any misfit dislocation in the channel region is now removed with removal of the patterned SOI layer 102 a from the channel regions—namely once the wire is released there is no material boundary and thus no misfit defect.
  • III-V material nanowires are brittle and subject to breakage if suspended over relatively longer distances (as would be the case if a wire-first configuration were employed).
  • the nanowire (channels) are suspended over only short distances.
  • the nanowire channels are suspended only within the trenches 1102 .
  • the trenches may have a width w of only from about 10 nanometers (nm) to about 50 nm, e.g., 45 nm.
  • the width of each of the trenches is equivalent to the length of the dummy gates removed to form the trenches.
  • the length of the nanowire channels suspended in the trenches is preferably from about 10 nm to about 50 nm, e.g., about 45 nm, in order to avoid breakage of the wire.
  • the nanowire can be suspended over distances greater than 100 nm.
  • the patterned SOI layer 102 a may be removed from the fin stack as follows.
  • a chemical etchant can be employed that exploits the lower oxidation potential of the SOI layer 102 a as compared to the III-V material.
  • etchants include, but are not limited to a 1:2:3 mixture of HF:hydrogen peroxide (H 2 O 2 ):acetic acid (CH 3 COOH), or a mixture of sulfuric acid (H 2 SO 4 ) and H 2 O 2 .
  • the patterned SOI layer 102 a can be selectively removed using a dry etching process such as oxygen (O 2 ) plasma etching or plasma chemistries typically used for etching.
  • FIG. 11 Reference to the legend at the top left corner of FIG. 11 shows the orientation of the cross-sectional cut depicted in FIG. 11 . Specifically, the orientation of the cross-sectional cut shown in FIG. 11 is along the fin direction, through one of the fins.
  • Replacement gates 1202 are then formed in the trenches 1102 surrounding the nanowire channels. See FIG. 12 .
  • the replacement gates 1202 are formed by first filling the trenches 1102 with a gate material(s). Once the gate material is filled into trenches 1102 , CMP is used to planarize the gate material.
  • Suitable gate materials include, but are not limited to, one or more of polysilicon, a deposited metal(s) and a hybrid stack of multiple materials such as metal polysilicon.
  • a gate dielectric material 1204 is preferably first deposited surrounding the nanowire channels.
  • the gate dielectric material 1204 employed is a high-k material, such as hafnium oxide, that is deposited using a conformal deposition process such as atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • High-k dielectrics are particularly well suited for this gate all around process flow due to the need for a small equivalent oxide thickness for performance and electrostatic control reasons and also the need for a relatively large physical thickness to fill gaps underneath the spacers. See below.
  • Reference to the legend at the top left corner of FIG. 12 shows the orientation of the cross-sectional cut depicted in FIG. 12 .
  • the orientation of the cross-sectional cut shown in FIG. 12 is along the fin direction, through one of the fins.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)

Abstract

In one aspect, a method of fabricating a nanowire FET device includes the following steps. A layer of III-V semiconductor material is formed on an SOI layer of an SOI wafer. Fins are etched into the III-V material and SOI layer. One or more dummy gates are formed over a portion of the fins that serves as a channel region of the device. A gap filler material is deposited onto the wafer. The dummy gates are removed selective to the gap filler material, forming trenches in the gap filler material. The SOI layer is removed from portions of the fins within the trenches thereby forming suspended nanowire channels in the channel regions of the device. The trenches are filled with at least one gate material to form one or more replacement gates surrounding the nanowire channels in a gate-all-around configuration.

Description

    CROSS-REFERENCE TO RELATED APPLICATION(S)
  • This application is a continuation of U.S. application Ser. No. 13/745,770 filed on Jan. 19, 2013, the disclosure of which is incorporated by reference herein.
  • FIELD OF THE INVENTION
  • The present invention relates to III-V material-based nanowire field-effect transistor (FET) devices and more particularly, to wire-last integration techniques for producing III-V material-based nanowire FET devices.
  • BACKGROUND OF THE INVENTION
  • Gate-all-around field effect transistors (FETs) offer the ultimate in scaling potential by virtue of offering the best electrostatics of any currently known device geometry. However, a drawback to employing a gate-all-around configuration is the difficulty of fabricating deeply scaled devices starting with nanowires due to the fragility of the nanowires. Thus, most process steps performed after the nanowire has been formed must be carefully tuned to preserve the nanowire.
  • Additionally, gate-all-around FETs are typically formed using either a gate-first or a gate-last process. In any gate-first process flow, the gate material must be removed from beneath the source/drain region of the device by some undercut method which, using conventional techniques, also results in critical dimension loss of the gate line itself, hurting process and device scalability. In wire-before-gate, gate-first, or replacement gate processes, the nanowire must be suspended using a landing pad region, which hurts layout efficiency.
  • Thus, techniques that solve the above-described problems associated with gate-all-around FET device fabrication would be desirable.
  • SUMMARY OF THE INVENTION
  • The present invention relates to wire-last integration techniques for producing III-V material-based nanowire field-effect transistor (FET) devices. In one aspect of the invention, a method of fabricating a nanowire FET device is provided. The method includes the following steps. A semiconductor-on-insulator (SOI) wafer is provided having an SOI layer over a buried oxide (BOX). A layer of III-V semiconductor material is formed on the SOI layer. Fins are etched into the III-V semiconductor material and the SOI layer. A dummy gate dielectric is formed on the fins. One or more dummy gates are formed over a portion of the fins that serves as a channel region of the device, wherein the dummy gates are separated from the fins by the dummy gate dielectric. Spacers are formed on opposite sides of the dummy gates. A gap filler material is deposited onto the wafer filling spaces between the fins and the dummy gates. The dummy gates and the dummy gate dielectric are removed selective to the gap filler material, forming trenches in the gap filler material. The SOI layer is removed from portions of the fins within the trenches thereby forming suspended nanowire channels in the channel regions of the device, wherein portions of the fins outside of the trenches will serve as source and drain regions of the device. The trenches are filled with at least one gate material to form one or more replacement gates surrounding the nanowire channels in a gate-all-around configuration.
  • In another aspect of the invention, a nanowire FET device is provided. The nanowire FET device includes at least one fin formed on a wafer, wherein the fin comprises (i) portions having a layer of a III-V semiconductor material on an SOI layer which serve as source and drain regions of the device, and (ii) portions of the III-V semiconductor material released from the fin which serve as a nanowire channel of the device; a gap filler material surrounding the fin; and at least one gate, formed within a trench in the gap filler material, that surrounds the nanowire channel in a gate all around configuration.
  • A more complete understanding of the present invention, as well as further features and advantages of the present invention, will be obtained by reference to the following detailed description and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional diagram illustrating a starting platform for the fabrication of a gate-all-around nanowire field-effect transistor (FET), i.e., a semiconductor-on-insulator (SOI) wafer having an SOI layer separated from a substrate by a buried oxide (BOX), according to an embodiment of the present invention;
  • FIG. 2 is a cross-sectional diagram illustrating a layer of III-V material having been formed on the wafer according to an embodiment of the present invention;
  • FIG. 3 is a cross-sectional diagram illustrating fins having been etched into the III-V material and the SOI layer according to an embodiment of the present invention;
  • FIG. 4 is a cross-sectional diagram illustrating the etched fins from another perspective, i.e., as a cross-sectional cut through the gate direction of the device according to an embodiment of the present invention;
  • FIG. 5 is a cross-sectional diagram illustrating a dummy gate material having been deposited onto the wafer covering the fins and a dummy gate hardmask having been formed on the dummy gate material according to an embodiment of the present invention;
  • FIG. 6 is a cross-sectional diagram illustrating the dummy gate hardmasks having been used to pattern the dummy gate material to form one or more dummy gates according to an embodiment of the present invention;
  • FIG. 7 is a cross-sectional diagram illustrating patterning of the dummy gates from another perspective, i.e., as a cross-sectional cut along the fin direction of the device, through one of the fins according to an embodiment of the present invention;
  • FIG. 8 is a cross-sectional diagram illustrating patterning of the dummy gates from yet another perspective, i.e., as a cross-sectional cut along the fin direction of the device, between two of the fins according to an embodiment of the present invention;
  • FIG. 9 is a cross-sectional diagram illustrating spacers having been formed on opposite sides of the dummy gates according to an embodiment of the present invention;
  • FIG. 10 is a cross-sectional diagram illustrating a gap filler material having been deposited onto the wafer filling the spaces between the fins and the dummy gates according to an embodiment of the present invention;
  • FIG. 11 is a cross-sectional diagram illustrating the dummy gates having been removed selective to the gap filler material resulting in trenches having been formed in the gap filler material and nanowires formed from III-V material having been released/suspended in the channel region according to an embodiment of the present invention; and
  • FIG. 12 is a cross-sectional diagram illustrating replacement gates having been formed in the trenches surrounding the nanowire channels according to an embodiment of the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Provided herein are III-V material-based nanowire field-effect transistor (FET) devices and techniques for the fabrication thereof. The use of III-V materials as the channel material in a FET is advantageous since III-V channels can have significantly higher electron mobility than silicon (Si) channels. Thus it is believed that III-V channels can offer higher FET performance than Si channels ever could.
  • The implementation of III-V channels however has several notable challenges. For example, depositing a III-V material on an Si or a Si-containing layer often results in a lattice mismatch between the materials. Further, III-V materials are brittle, and thus nanowires formed from III-V materials are subject to breakage when suspended over long distances. Advantageously, the present techniques address these challenges by employing a replacement gate Fin field-effect transistor (FinFET) process with an additional release layer added at the beginning of the process. As will be described in detail below, release of the nanowire removes the material interface at the channels, eliminating the lattice mismatch problem, and the present replacement gate process results in only short lengths of the nanowires being suspended, thus eliminating the chance of breakage. A further advantage of the present process is that only after the replacement gate is removed is the nanowire released, such that the nanowire (once formed) only needs to see the wire release and gate deposition steps. For the rest of the process the nanowire exists as a part of a fin, or is fully encapsulated in the gate. Thus, the integrity of the nanowire is protected throughout the majority of the process.
  • The present techniques are now described in detail by way of reference to FIGS. 1-12. FIG. 1 is a cross-sectional diagram illustrating a starting platform for the fabrication of a gate-all-around nanowire FET. Specifically, as shown in FIG. 1, the process begins with a semiconductor-on-insulator (SOI) wafer. A SOI wafer includes a semiconductor layer (e.g., SOI layer 102) separated from a substrate (e.g., substrate 106) by a buried oxide or box (e.g., BOX 104). According to an exemplary embodiment, the SOI layer 102 is a silicon germanium (SiGe) or a germanium (Ge)-containing layer. An SOI wafer having a SiGe SOI layer may also be referred to herein as a SiGe-on-insulator (SGOI) wafer, whereas an SOI wafer having a Ge SOI layer may also be referred to herein as a Ge-on-insulator (GeOI) wafer. According to an exemplary embodiment, a Ge concentration of the SOI layer 102 is greater than or equal to about 75%, e.g., from about 85% to about 100% (in the case where a GeSOI wafer is employed). The concentration of Ge in the SOI layer 102 can be increased using Ge condensation. See, for example, T. Tezuka et al., “Fabrication of strained Si on an ultrathin SiGe-on-insulator virtual substrate with a high-Ge fraction,” Applied Physics Letters, vol. 79, no. 12, Sep. 17, 2001 (hereinafter “Tezuka”), the contents of which are incorporated by reference herein. As described in Tezuka, an oxidation process can be employed during which Ge atoms are rejected from a SiGe layer and condense in a remaining SGOI layer on the wafer. The specific conditions of this condensation process are provided in Tezuka.
  • Each of the figures illustrating the fabrication process will show a cross-sectional cut through a portion of the device structure. Thus a legend is provided at the top left corner of each figure illustrating the various orientations of the cuts shown. Specifically, by way of reference to the legend in FIG. 1, there are two orientations of cuts that will be illustrated throughout the figures. One is a cut along the fin direction. As will be described in detail below fins will be formed which will serve as the channel, source and drain regions of the device. The other is a cut along the gate direction. As will be described in detail below gate stacks will be formed surrounding nanowire channels of the device (gate all around configuration). Since FIG. 1 is showing the starting wafer (or substrate), the cross-sectional cut depicted is the same in either the fin direction or the gate direction.
  • Next, as shown in FIG. 2, a layer of III-V semiconductor material 202 is formed on the wafer, i.e., on the SOI layer 102. The term III-V semiconductor material (or simply III-V material), as used herein and throughout the following description, refers to a material that includes at least one group III element and at least one group V element. By way of example only, suitable III-V materials include, but are not limited to, one or more of aluminum gallium arsenide, aluminum gallium nitride, aluminum indium arsenide, aluminum nitride, gallium antimonide, gallium arsenide, gallium nitride, indium antimonide, indium arsenide, indium gallium arsenide, indium gallium nitride, indium nitride, indium phosphide and combinations including at least one of the foregoing materials. According to an exemplary embodiment, the III-V material 202 is indium gallium arsenide (InGaAs). As will be described in detail below, the III-V material 202 constitutes a channel material for the gate-all-around nanowire FET device. In one exemplary embodiment, the III-V material 202 is epitaxially grown on the wafer using, for example, molecular beam epitaxy (MBE) or metalorganic chemical vapor deposition (MOCVD).
  • By epitaxially growing the III-V material 202 on the wafer, the resulting layer(s) will be near lattice-matched to the underlying SOI layer 102. Namely, the deposition of III-V materials on an Si layer (e.g., SOI layer 102) can be challenging due to lattice mismatch between the materials—the result often being islanding and/or misfit dislocation issues. However, by using an epitaxial growth process, the amount of misfit dislocation is minimized.
  • Further, the present techniques provide an effective solution for implementing III-V channel materials in a FET fabrication process flow. As described above, the deposition of III-V materials on a Si or Si-containing layer (e.g., SiGe) results in lattice mismatch between the materials. Thus, with fin FET or planar device architectures, the resulting lattice mismatch can affect device viability and performance. However, with the present techniques, as will be described in detail below, any of the misfit dislocation at the interface between the III-V material 202 and the SOI layer 102 in the channel regions of the device will be removed when the SOI layer 102 is etched (removed) in the channel regions, see FIG. 11—described below. Once the wire is released there is no material boundary and thus no misfit defect.
  • Further, as will be described in detail below, another advantage of the present techniques in the context of III-V channel FETs is that it accommodates the brittle nature of the III-V materials. Specifically, in a wire-first scheme there is a long length of suspended wires. When the wires are formed from a semiconductor such as Si, then wires in these wire-first configurations tend to sag. However, because the III-V materials are brittle, it is likely that the wires would break rather than sag if a wire-first scheme were implemented with III-V channel materials. Advantageously, with the present techniques, there is a short length of suspension (shorter than conventional wire-first approaches), thus preventing the (III-V) wires from breaking.
  • Reference to the legend at the top left corner of FIG. 2 shows the orientation of the cross-sectional cut depicted in FIG. 2. As with FIG. 1, since FIG. 2 is showing the starting wafer (or substrate), the cross-sectional cut depicted is the same in either the fin direction or the gate direction.
  • Next, as shown in FIG. 3, fins are etched into the III-V material 202 and SOI layer 102. The fins will be used to form the source, drain and channel regions of the device. According to an exemplary embodiment, the fins are formed by first patterning a fin hardmask (not shown) on the III-V material 202 which is commensurate with the footprint and location of the fins. Suitable fin hardmask materials include, but are not limited to a nitride material, such as silicon nitride. Specifically, the given hardmask material is deposited onto the wafer and then is patterned using a lithography and etching process wherein a patterned resist film is used to pattern the fin hardmasks. By way of example only, the fin hardmasks may be patterned using reactive ion etching (RIE). In that case, the resist film would be formed from a resist material such as hydrogen silsesquioxane (HSQ) patterned using electron beam (e-beam) lithography and transferred to a carbon-based resist.
  • The fin hardmasks are then used to pattern the fins and as shown in FIG. 3, following the fin etch, any remaining fin hardmask material can be removed—e.g., using a wet or dry etch. According to an exemplary embodiment, the fins are etched in the III-V material 202 and SOI layer 102 using a RIE process wherein the BOX 104 acts as an etch stop. For clarity, the III-V material 202 and SOI layer 102 following the fin etch are referred to as patterned III-V material 202 a and patterned SOI layer 102 a.
  • Reference to the legend at the top left corner of FIG. 3 shows the orientation of the cross-sectional cut depicted in FIG. 3. Specifically, the orientation of the cross-sectional cut shown in FIG. 3 is along the fin direction, through one of the fins.
  • FIG. 4 depicts the etched fins from another perspective, i.e., as a cross-sectional cut through the gate direction of the device (see the legend at the top left corner of FIG. 4 which shows that the orientation of the cross-sectional cut depicted in FIG. 4 is along the gate direction). As can be seen from FIGS. 3 and 4, the patterned SOI layer 102 a is thinner than the patterned III-V material 202 a. This is the result of the patterned SOI layer 102 a being etched laterally during the fin etch.
  • The present techniques employ a gate-last process wherein a dummy gate which is formed early in the fabrication process is later removed and replaced with a replacement gate. The formation of the dummy gate is now described. As shown in FIG. 5, the formation of the dummy gate begins with the deposition of a dummy gate material 502 onto the wafer covering the fins. Suitable dummy gate materials include, but are not limited to, poly-silicon (poly-Si)—deposited onto the wafer using, e.g., low pressure chemical vapor deposition (LPCVD).
  • Prior to depositing the dummy gate material, a dummy gate dielectric 506 may be formed on the fins. See FIG. 5. By way of example only, the dummy gate dielectric may be formed from an oxide material, such as silicon oxide, which is deposited onto the fins using a conformal deposition process, such as chemical vapor deposition (CVD). The dummy gate dielectric is formed to provide an etch stop layer for the dummy gate removal process. For instance, when poly-silicon is used as the dummy gate material, a layer is needed to protect the channel material during the poly-silicon removal step.
  • The deposited dummy gate material 502 may be planarized using, for example, chemical mechanical planarization (CMP), and dummy gate hardmasks 504 can be formed on the dummy gate material 502. The dummy gate hardmasks 504 and the positioning thereof are commensurate with the foot print and location of the dummy gates (see FIGS. 6-8, described below). As will be apparent from the following description, the dummy gate—after patterning—will be located over what will be the channel region of the device. The process for forming (by lithography and etching) a hardmask, e.g., a nitride hardmask, was described above. That process and related materials are applicable here for forming the dummy gate hardmask. In the particular non-limiting example shown in FIG. 5, the dummy gate hardmask is formed from silicon nitride (SiN).
  • Reference to the legend at the top left corner of FIG. 5 shows the orientation of the cross-sectional cut depicted in FIG. 5. Specifically, the orientation of the cross-sectional cut shown in FIG. 5 is along the gate direction, through one of the dummy gate hardmasks and the dummy gate material.
  • The dummy gate hardmasks 504 are then used to pattern the dummy gate material to form one or more dummy gates 602 over the fins and separated from the fins by the dummy gate dielectric. See FIG. 6. Dummy gates 602 can be patterned using a poly-silicon selective RIE around the dummy gate hardmasks 504.
  • Reference to the legend at the top left corner of FIG. 6 shows the orientation of the cross-sectional cut depicted in FIG. 6. Specifically, the orientation of the cross-sectional cut shown in FIG. 6 is along the gate direction, through one of the dummy gates 602.
  • FIG. 7 is a cross-sectional diagram illustrating patterning of the dummy gates 602 from another perspective, i.e., as a cross-sectional cut along the fin direction of the device, through one of the fins. FIG. 8 is a cross-sectional diagram illustrating patterning of the dummy gates 602 from yet another perspective, i.e., as a cross-sectional cut along the fin direction of the device, between two of the fins.
  • Spacers 902 are then formed on opposite sides of the dummy gates 602. See FIG. 9. Spacers 902 serve to offset the gate a certain distance from the source/drain regions. According to an exemplary embodiment, spacers 902 are formed by first depositing a nitride layer onto the wafer, covering the fins. It is notable that III-V materials can be damaged by high processing temperatures (e.g., processing temperatures greater than about 400° C.). With conventional techniques, processes such as low pressure chemical vapor deposition (LPCVD) or rapid thermal chemical vapor deposition (RTCVD) are used to deposit the spacer material. These processes, however, employ high temperatures which can damage the III-V channel material. Thus according to the present techniques, a process is preferably employed that permits deposition of the spacer material at lower temperatures. Suitable low-temperature deposition processes for the spacer material include, but are not limited to plasma-enhanced chemical vapor deposition (PECVD). With PECVD deposition can be conducted at temperatures of from about 250° C. to about 400° C.
  • A resist film (not shown) is then deposited on the nitride layer, masked and patterned with a location and footprint of the spacers. A nitride-selective RIE is then used to define/pattern spacers 902 in the nitride layer.
  • Reference to the legend at the top left corner of FIG. 9 shows the orientation of the cross-sectional cut depicted in FIG. 9. Specifically, the orientation of the cross-sectional cut shown in FIG. 9 is along the fin direction, between two of the fins.
  • Next, as shown in FIG. 10, a gap filler material 1002 is deposited onto the wafer filling the spaces between the fins and the dummy gates. Suitable gap filler materials include, but are not limited to a dielectric material, such as silicon oxide. According to an exemplary embodiment, the gap filler material 1002 is deposited onto the wafer using a high-density plasma (HDP) and then planarized down to the dummy gates (see FIG. 10) using CMP. This planarizing step will serve to remove any remaining dummy gate hardmask material from the wafer.
  • Reference to the legend at the top left corner of FIG. 10 shows the orientation of the cross-sectional cut depicted in FIG. 10. Specifically, the orientation of the cross-sectional cut shown in FIG. 10 is along the fin direction, between two of the fins.
  • As shown in FIG. 11, the dummy gates 602 are then removed selective to the gap filler material 1002. According to an exemplary embodiment, dummy gates 602 are removed using a chemical etching process, such as chemical down stream or potassium hydroxide (KOH) etching, or RIE. The dummy gate dielectric is removed in a separate step after the dummy gates are gone. By way of example only, for an oxide dielectric this could be done in wet etches like dilute hydrofluoric (HF) acid or buffered oxide etch (BOE), or possibly in a RIE process. The removal of dummy gates 602 results in trenches 1102 being formed in gap filler material 1002. According to an exemplary embodiment, trenches 1102 distinguish a (nanowire) channel region of the device from source and drain regions of the device.
  • As provided above, the III-V material 202 will be used to form nanowire channels of the device. In order to be able to form a gate (a replacement gate, see below) that surrounds the nanowire channels in a gate-all-around configuration, the nanowire channels have to be released from the fin. Namely, the patterned SOI layer 102 a is removed from the fin, releasing the nanowires, resulting in suspended nanowires in the channel region formed from III-V material 202. See FIG. 11. The nanowire channels will form channel regions of the device, while portions of the fins outside of the trenches will form source and drain regions of the device. A replacement gate will be formed surrounding (in a gate-all-around configuration) the channel regions (see below).
  • As provided above, the deposition of a III-V material on an Si or Si-containing layer (such as SOI layer 102), due to the lattice mismatch in the materials causes misfit dislocation at the interface of the materials. Advantageously, with the present techniques, any misfit dislocation in the channel region is now removed with removal of the patterned SOI layer 102 a from the channel regions—namely once the wire is released there is no material boundary and thus no misfit defect. Further, as provided above, III-V material nanowires are brittle and subject to breakage if suspended over relatively longer distances (as would be the case if a wire-first configuration were employed). Advantageously, with the present techniques, as shown in FIG. 11, the nanowire (channels) are suspended over only short distances. Specifically, according to the present techniques the nanowire channels are suspended only within the trenches 1102. By way of example only, the trenches may have a width w of only from about 10 nanometers (nm) to about 50 nm, e.g., 45 nm. The width of each of the trenches is equivalent to the length of the dummy gates removed to form the trenches. Thus, the length of the nanowire channels suspended in the trenches is preferably from about 10 nm to about 50 nm, e.g., about 45 nm, in order to avoid breakage of the wire. By comparison with a wire-first process, the nanowire can be suspended over distances greater than 100 nm.
  • The patterned SOI layer 102 a may be removed from the fin stack as follows. A chemical etchant can be employed that exploits the lower oxidation potential of the SOI layer 102 a as compared to the III-V material. Examples of such etchants include, but are not limited to a 1:2:3 mixture of HF:hydrogen peroxide (H2O2):acetic acid (CH3COOH), or a mixture of sulfuric acid (H2SO4) and H2O2. Alternatively, the patterned SOI layer 102 a can be selectively removed using a dry etching process such as oxygen (O2) plasma etching or plasma chemistries typically used for etching.
  • Reference to the legend at the top left corner of FIG. 11 shows the orientation of the cross-sectional cut depicted in FIG. 11. Specifically, the orientation of the cross-sectional cut shown in FIG. 11 is along the fin direction, through one of the fins.
  • Replacement gates 1202 are then formed in the trenches 1102 surrounding the nanowire channels. See FIG. 12. The replacement gates 1202 are formed by first filling the trenches 1102 with a gate material(s). Once the gate material is filled into trenches 1102, CMP is used to planarize the gate material. Suitable gate materials include, but are not limited to, one or more of polysilicon, a deposited metal(s) and a hybrid stack of multiple materials such as metal polysilicon.
  • Prior to the formation of the replacements gates 1202, a gate dielectric material 1204 is preferably first deposited surrounding the nanowire channels. According to an exemplary embodiment, the gate dielectric material 1204 employed is a high-k material, such as hafnium oxide, that is deposited using a conformal deposition process such as atomic layer deposition (ALD). High-k dielectrics are particularly well suited for this gate all around process flow due to the need for a small equivalent oxide thickness for performance and electrostatic control reasons and also the need for a relatively large physical thickness to fill gaps underneath the spacers. See below.
  • Reference to the legend at the top left corner of FIG. 12 shows the orientation of the cross-sectional cut depicted in FIG. 12. Specifically, the orientation of the cross-sectional cut shown in FIG. 12 is along the fin direction, through one of the fins.
  • Although illustrative embodiments of the present invention have been described herein, it is to be understood that the invention is not limited to those precise embodiments, and that various other changes and modifications may be made by one skilled in the art without departing from the scope of the invention.

Claims (7)

What is claimed is:
1. A nanowire FET device, comprising:
at least one fin formed on a wafer, wherein the fin comprises (i) portions having a layer of a III-V semiconductor material on an SOI layer which serve as source and drain regions of the device, and (ii) portions of the III-V semiconductor material released from the fin which serve as a nanowire channel of the device;
a gap filler material surrounding the fin; and
at least one gate, formed within a trench in the gap filler material, that surrounds the nanowire channel in a gate all around configuration.
2. The device of claim 1, wherein the SOI layer comprises silicon germanium.
3. The device of claim 1, wherein the III-V semiconductor material comprises at least one group III element and at least one group V element.
4. The device of claim 1, wherein the III-V semiconductor material is selected from the group consisting of: aluminum gallium arsenide, aluminum gallium nitride, aluminum indium arsenide, aluminum nitride, gallium antimonide, gallium arsenide, gallium nitride, indium antimonide, indium arsenide, indium gallium arsenide, indium gallium nitride, indium nitride, indium phosphide and combinations comprising at least one of the foregoing materials.
5. The device of claim 1, wherein the III-V semiconductor material comprises an epitaxial III-V semiconductor material.
6. The device of claim 1, wherein the gap filler material comprises a dielectric material.
7. The device of claim 1, wherein the nanowire channel has a length of from about 10 nm to about 50 nm.
US13/967,953 2013-01-19 2013-08-15 Wire-Last Integration Method and Structure for III-V Nanowire Devices Abandoned US20140203290A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/967,953 US20140203290A1 (en) 2013-01-19 2013-08-15 Wire-Last Integration Method and Structure for III-V Nanowire Devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/745,770 US8969145B2 (en) 2013-01-19 2013-01-19 Wire-last integration method and structure for III-V nanowire devices
US13/967,953 US20140203290A1 (en) 2013-01-19 2013-08-15 Wire-Last Integration Method and Structure for III-V Nanowire Devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/745,770 Continuation US8969145B2 (en) 2013-01-19 2013-01-19 Wire-last integration method and structure for III-V nanowire devices

Publications (1)

Publication Number Publication Date
US20140203290A1 true US20140203290A1 (en) 2014-07-24

Family

ID=51207026

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/745,770 Active 2033-04-19 US8969145B2 (en) 2013-01-19 2013-01-19 Wire-last integration method and structure for III-V nanowire devices
US13/967,953 Abandoned US20140203290A1 (en) 2013-01-19 2013-08-15 Wire-Last Integration Method and Structure for III-V Nanowire Devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/745,770 Active 2033-04-19 US8969145B2 (en) 2013-01-19 2013-01-19 Wire-last integration method and structure for III-V nanowire devices

Country Status (1)

Country Link
US (2) US8969145B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390980B1 (en) 2015-03-24 2016-07-12 International Business Machines Corporation III-V compound and germanium compound nanowire suspension with germanium-containing release layer
US9553166B1 (en) 2015-08-31 2017-01-24 International Business Machines Corporation Asymmetric III-V MOSFET on silicon substrate
US9620590B1 (en) 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9349868B1 (en) 2015-06-26 2016-05-24 International Business Machines Corporation Gate all-around FinFET device and a method of manufacturing same
FR3043837B1 (en) * 2015-11-17 2017-12-15 Commissariat Energie Atomique METHOD FOR PRODUCING A SEMICONDUCTOR NANOFIL TRANSISTOR COMPRISING A SELF-ALIGNED GRID AND SPACERS
CN105679662B (en) * 2016-01-19 2018-11-27 中国科学院微电子研究所 A kind of stack encloses gate nano line device vacation gate electrode preparation method
US10141232B2 (en) 2016-06-30 2018-11-27 International Business Machines Corporation Vertical CMOS devices with common gate stacks
US9859420B1 (en) 2016-08-18 2018-01-02 International Business Machines Corporation Tapered vertical FET having III-V channel
US10608114B2 (en) 2018-06-15 2020-03-31 International Business Machines Corporation Vertical nano-wire complimentary metal-oxide-semiconductor transistor with cylindrical III-V compound and germanium channel
CN113013099B (en) * 2019-12-20 2023-12-22 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
WO2022193020A1 (en) * 2021-03-17 2022-09-22 The Royal Institution For The Advancement Of Learning/Mcgill University Substrate for semiconductor device, semiconductor component and method of manufacturing same

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5886380A (en) * 1996-03-19 1999-03-23 Fujitsu Limited Semiconductor memory elements having quantum box floating gates
US20050023619A1 (en) * 2003-07-31 2005-02-03 Orlowski Marius K. Method of forming a transistor having multiple channels and structure thereof
US20070029586A1 (en) * 2005-08-08 2007-02-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
US20070181959A1 (en) * 2006-02-07 2007-08-09 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around structure and method of fabricating the same
US20090057762A1 (en) * 2007-09-05 2009-03-05 International Business Machines Corporation Nanowire Field-Effect Transistors
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US20100207208A1 (en) * 2009-02-17 2010-08-19 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US20100230821A1 (en) * 2006-08-16 2010-09-16 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US20110012090A1 (en) * 2007-12-07 2011-01-20 Agency For Science, Technology And Research Silicon-germanium nanowire structure and a method of forming the same
US20110031473A1 (en) * 2009-08-06 2011-02-10 International Business Machines Corporation Nanomesh SRAM Cell
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
WO2013101230A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Variable gate width for gate all-around transistors
US20140021538A1 (en) * 2012-07-17 2014-01-23 International Business Machines Corporation Replacement Gate Fin First Wire Last Gate All Around Devices
US20140054724A1 (en) * 2012-08-24 2014-02-27 Taiwan Semiconductor Manufacturing Company Limited Aligned gate-all-around structure
US20140084343A1 (en) * 2012-09-27 2014-03-27 Gilbert Dewey Non-planar semiconductor device having group iii-v material active region with multi-dielectric gate stack

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5714413A (en) * 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
US7230286B2 (en) 2005-05-23 2007-06-12 International Business Machines Corporation Vertical FET with nanowire channels and a silicided bottom contact
US7534675B2 (en) 2007-09-05 2009-05-19 International Business Machiens Corporation Techniques for fabricating nanowire field-effect transistors
US8399314B2 (en) 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
EP2378557B1 (en) 2010-04-19 2015-12-23 Imec Method of manufacturing a vertical TFET
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5886380A (en) * 1996-03-19 1999-03-23 Fujitsu Limited Semiconductor memory elements having quantum box floating gates
US20050023619A1 (en) * 2003-07-31 2005-02-03 Orlowski Marius K. Method of forming a transistor having multiple channels and structure thereof
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US20070029586A1 (en) * 2005-08-08 2007-02-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
US20070181959A1 (en) * 2006-02-07 2007-08-09 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around structure and method of fabricating the same
US20100230821A1 (en) * 2006-08-16 2010-09-16 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US20090057762A1 (en) * 2007-09-05 2009-03-05 International Business Machines Corporation Nanowire Field-Effect Transistors
US20110012090A1 (en) * 2007-12-07 2011-01-20 Agency For Science, Technology And Research Silicon-germanium nanowire structure and a method of forming the same
US20100207208A1 (en) * 2009-02-17 2010-08-19 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US20110031473A1 (en) * 2009-08-06 2011-02-10 International Business Machines Corporation Nanomesh SRAM Cell
US20130161756A1 (en) * 2011-12-23 2013-06-27 Glenn A. Glass Nanowire transistor devices and forming techniques
WO2013101230A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Variable gate width for gate all-around transistors
US20140021538A1 (en) * 2012-07-17 2014-01-23 International Business Machines Corporation Replacement Gate Fin First Wire Last Gate All Around Devices
US20140054724A1 (en) * 2012-08-24 2014-02-27 Taiwan Semiconductor Manufacturing Company Limited Aligned gate-all-around structure
US20140084343A1 (en) * 2012-09-27 2014-03-27 Gilbert Dewey Non-planar semiconductor device having group iii-v material active region with multi-dielectric gate stack

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390980B1 (en) 2015-03-24 2016-07-12 International Business Machines Corporation III-V compound and germanium compound nanowire suspension with germanium-containing release layer
US9570563B2 (en) 2015-03-24 2017-02-14 International Business Machines Corporation III-V compound and Germanium compound nanowire suspension with Germanium-containing release layer
US9553166B1 (en) 2015-08-31 2017-01-24 International Business Machines Corporation Asymmetric III-V MOSFET on silicon substrate
US9773903B2 (en) 2015-08-31 2017-09-26 International Business Machines Corporation Asymmetric III-V MOSFET on silicon substrate
US9620590B1 (en) 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
US10615269B2 (en) 2016-09-20 2020-04-07 Terresa, Inc. Nanosheet channel-to-source and drain isolation
US11043581B2 (en) 2016-09-20 2021-06-22 Tessera, Inc. Nanosheet channel-to-source and drain isolation
US11652161B2 (en) 2016-09-20 2023-05-16 Tessera Llc Nanosheet channel-to-source and drain isolation

Also Published As

Publication number Publication date
US8969145B2 (en) 2015-03-03
US20140203238A1 (en) 2014-07-24

Similar Documents

Publication Publication Date Title
US8969145B2 (en) Wire-last integration method and structure for III-V nanowire devices
JP6549208B2 (en) Nonplanar germanium quantum well devices
US10170609B2 (en) Internal spacer formation from selective oxidation for Fin-first wire-last replacement gate-all-around nanowire FET
US8809131B2 (en) Replacement gate fin first wire last gate all around devices
US9484405B1 (en) Stacked nanowire devices formed using lateral aspect ratio trapping
US9887264B2 (en) Nanowire field effect transistor (FET) and method for fabricating the same
TWI656638B (en) Method and structure for iii-v nanowire tunnel fets
US8674444B2 (en) Structure and method of forming a transistor with asymmetric channel and source/drain regions
CN105336786B (en) Semiconductor devices and its manufacturing method
US20220406920A1 (en) Semiconductor devices and methods of manufacturing thereof
CN105405881B (en) Semiconductor device and method for manufacturing the same
US11652159B2 (en) Semiconductor devices and methods of manufacturing thereof
US20220344460A1 (en) Semiconductor devices and methods of manufacturing thereof
TWI427785B (en) Non-planar germanium quantum well devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, JOSEPHINE B.;LAUER, ISAAC;SLEIGHT, JEFFREY W.;AND OTHERS;SIGNING DATES FROM 20130117 TO 20130118;REEL/FRAME:031446/0636

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117