US20140299056A1 - Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation - Google Patents

Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation Download PDF

Info

Publication number
US20140299056A1
US20140299056A1 US14/308,846 US201414308846A US2014299056A1 US 20140299056 A1 US20140299056 A1 US 20140299056A1 US 201414308846 A US201414308846 A US 201414308846A US 2014299056 A1 US2014299056 A1 US 2014299056A1
Authority
US
United States
Prior art keywords
substrate
deposition chamber
processing region
combinations
regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/308,846
Inventor
Philip Kraus
Boris BORISOV
Dipankar Pramanik
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Priority to US14/308,846 priority Critical patent/US20140299056A1/en
Publication of US20140299056A1 publication Critical patent/US20140299056A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • the present invention relates generally to methods and apparatus for forming epitaxial Si, Ge, or Si—Ge alloy films at low temperatures.
  • Semiconductor devices with high carrier mobility are required for high speed communications in frequency ranges from about 1 giga-hertz (GHz) to about 100 GHz.
  • Expensive compound semiconductor materials systems such as InP, GaAs, and GaN are often used for such devices.
  • these material systems are not compatible with high volume, Si-based CMOS manufacturing processes and cannot benefit from the economies of scale that have been achieved therein. Therefore, the manufacturing cost and systems cost for communication networks using such devices remains high and limits the adoption and deployment of such networks.
  • Semiconductor devices with semiconductor layers based on strained silicon and/or Si—Ge alloys exhibit properties that are suitable for use in communication networks at frequencies between about 1 GHz to about 75 GHz. Furthermore, these materials are generally compatible with high volume, Si-based CMOS manufacturing processes. Therefore, these material systems are attractive alternatives to the compound semiconductor materials systems listed above.
  • the strained silicon and/or Si—Ge alloy materials must meet a number of requirements. Ideally, the materials should be deposited epitaxially (i.e. as a single crystal) with a low density of defects such as grain boundaries, dislocations, point defects, etc. Defects serve as scattering sites and lower the mobility of the carriers within the material. High device speeds can be obtained by increasing the concentration of Ge in a Si—Ge alloy. However, at high Ge concentrations and higher temperatures typically used for the thermally activated growth of Si—Ge alloys, the films relax at a critical thickness resulting in a highly defective film. Additionally, Ge tends to segregate or cluster within the film, forming a non-uniform and highly defective film.
  • PECVD plasma enhanced chemical vapor deposition
  • substrates are alternately exposed to precursor dosing regions, inert gas plasma regions, hydrogen-containing plasma regions, chlorine-containing plasma and metrology regions, or regions where an atomic hydrogen source is located.
  • laser irradiation techniques may be substituted for the plasma energy in some of the processing regions.
  • the various processing regions are isolated and no significant interactions between regions are observed and unwanted gas phase reactions are minimized.
  • the methods may be implemented at substrate temperatures between about 25 C and about 600 C, preferably between about 400 C and about 550 C.
  • the deposition rate of the film, surface diffusion of species on the surface, and surface reaction of species on the growth surface can be influenced by controlling combinations of the gas composition, gas flow rate, pressure and the exposure time of the substrate to each of the regions listed above.
  • apparatus that provide multiple independent regions for processing a substrate.
  • the substrate may be exposed to multiple regions in a sequential manner to influence the growth of a film on the substrate.
  • Each region may comprise a plasma system to supply plasma energy, or laser irradiation to excite the gases within the region, or to excite species adsorbed on the surface, or to cause the surface of the film to melt or to experience a near-melt condition.
  • FIG. 1 illustrates a schematic diagram illustrating four processing regions and a substrate according to some embodiments.
  • the decomposition of Si H and the removal of H 2 from the surface is the rate determining step at temperatures below about 700 C and especially at temperatures in the range of less than about 550 C (Eqn. 4). At higher temperatures, the adsorption of the SiH 4 species becomes the rate determining step (Eqn. 1).
  • the decomposition of GeH 4 follows a similar mechanistic pathway. Therefore, any method that can influence the rate of Si H decomposition and H 2 desorption at temperatures below about 700 C will be beneficial.
  • the presence of hydrogen on the surface also influences the incorporation of Si and Ge in the film during the deposition.
  • the presence of Ge on the surface is energetically favorable over the presence of Si on the surface by an amount equal to about 0.21 eV (see Rudkevich et al., Physcial Review Letters, v81, n16, pgs 3467-3470, 1998 which is incorporated herein by reference).
  • the presence of Si on the surface is energetically favorable over the presence of Ge on the surface by an amount equal to about 0.03 eV. Therefore, by controlling the presence or absence of hydrogen at the surface, the incorporation and diffusion of Ge across the surface can be influenced.
  • an energy source must be supplied to aid in the decomposition of the Si H species and the desorption of the H 2 .
  • the desorption of H 2 from Si has an activation energy of about 2.5 eV (see Hofer et al., Physical Review B, v45, n16, pgs 9485-9488, 1992 which is incorporated herein by reference).
  • the energy source of such desorption is supplied by the use of a plasma which is used to excite an inert gas.
  • suitable inert gases comprise one or more of He, Ne, Ar, Kr, Xe, or combinations thereof.
  • the plasma will generate excited neutral species with internal energies above about 4 eV.
  • This energy may be used to facilitate the decomposition of the Si H species and the desorption of the H 2 .
  • the use of excited neutral species can reduce or eliminate any potential reaction or ionization effects, for example, by associating with non-inert gas or with charged species.
  • a “plasma” is understood to be any one of a direct plasma or a remote plasma.
  • the plasma may be generated using a capacitive coupling configuration or an inductive coupling configuration.
  • laser irradiation is used to excite an inert gas.
  • suitable inert gases comprise one or more of He, Ne, Ar, Kr, Xe, or combinations thereof.
  • the laser irradiation will generate excited neutral species with internal energies above about 4 eV. This energy may be used to facilitate the decomposition of the SiH species and the desorption of the H 2 .
  • FIG. 1 illustrates a schematic diagram illustrating a processing apparatus, 100 , comprising four processing regions and illustrating a substrate according to some embodiments.
  • a similar apparatus has been described in U.S. application Ser. No. 13/025,046 filed on Feb. 10, 2011, entitled “METHODS AND SYSTEMS FOR FORMING THIN FILMS” and having internal docket number (IM0374_US) and is incorporated herein by reference.
  • a deposition chamber (not shown) comprises a reaction zone wherein there are four independent processing regions. The independent processing regions are fluidically isolated from one another. Although four independent processing regions are illustrated, those skilled in the art will appreciate that any number of independent processing regions can be envisioned, limited only by the number of desired processing steps in the sequence and practical physical limitations.
  • Zone-D can be omitted, and the process sequence comprises Zone-A, Zone-B and Zone-C.
  • both Zone-C and Zone-D are omitted, and the process sequence comprises Zone-A and Zone-B.
  • the processing order e.g., Zone-A to Zone-B to Zone-C to Zone-D, are shown for illustrative purposes, and those skilled in the art will appreciate that the zones can be arranged in any order.
  • Zone-C can be positioned before Zone-B to form a process sequence comprises Zone-A, Zone-C, Zone-B, and Zone-D.
  • a circular configuration is illustrated, those skilled in the art will appreciate that any suitable and practical configuration may be used. Examples might include linear configurations, parallel configurations, oval configurations, square or rectangular configurations, etc.
  • substrate, 102 is held on a substrate holder, 114 .
  • substrate holder, 114 will generally accommodate multiple substrates resulting in improved processing efficiency.
  • the use of processing apparatus, 100 will be described by using the deposition of a Si—Ge alloy at temperatures below about 600 C as an example.
  • the features of processing apparatus, 100 can be applied to many different types of processing sequences, including, but not limited to, the epitaxial growth of Si and/or the epitaxial growth of Ge.
  • the features of processing apparatus, 100 can be applied to the deposition of both the amorphous and polycrystalline versions of films such as Si, Ge, and Si—Ge alloys, all at low temperatures.
  • Zone-A 104 , the substrate is exposed to the deposition precursor gases.
  • the gases might include precursors such as SiH 4 , GeH 4 , Si 2 H 6 , Ge 2 H 6 , other suitable Si and Ge containing precursors, or combinations thereof.
  • Zone-A generally does not include the use of plasma energy. The absence of plasma energy in Zone-A reduces the frequency of undesirable gas phase reactions that lead to the formation of particulate matter that is deposited on the substrate rather than forming the desired film through well controlled surface reactions.
  • the precursor gases will adsorb onto the surface, diffuse across the surface, and interact with reactive surface sites as indicated in Eqns. 1-3 listed above. In the example illustrated in FIG.
  • processing apparatus, 100 has a generally circular shape and substrate holder, 114 , and substrate, 102 , can be rotated about a central axis, 112 , to repeatedly expose the substrate to the sequence of processing regions.
  • the exposure dosage of the gases or reactive species to the substrate in the various processing zones can be influenced through combinations of the gas concentration, gas flow rate, pressure, and rotation speed. In this manner, effective growth rates from less than 1 monolayer per cycle to several monolayers per cycle can be achieved.
  • the substrate is exposed to an inert gas excited by a plasma source.
  • laser irradiation may be used to excite the inert gas.
  • suitable inert gases comprise one or more of He, Ne, Ar, Kr, Xe, or combinations thereof.
  • the plasma will generate excited neutral species with internal energies above about 4 eV. This energy may be used to facilitate the decomposition of the Si H species and the desorption of the H 2 as required in Eqn. 4 above.
  • the plasma conditions are chosen such that the ion density at the substrate surface is negligible and ion bombardment of the surface is minimized to prevent damage. As the excited inert gas neutral species arrive at the surface, a portion of the excess internal energy is used to facilitate the decomposition of the Si H species and the desorption of the H 2 .
  • the substrate may be exposed to a hydrogen plasma.
  • the hydrogen plasma can be used to control the amount of hydrogen on the surface and therefore influence the incorporation and diffusion of Ge across the surface as discussed previously.
  • the hydrogen plasma exposure in this zone will aid to minimize the tendency for Ge to aggregate at higher Ge concentrations by assisting the incorporation of the Ge into the film growth front. Recall that in the presence of hydrogen, the presence of Si on the surface is energetically favorable over the presence of Ge on the surface.
  • in-situ metrology techniques may be employed to monitor and control the growth of the epitaxial Si, epitaxial Ge, and/or the epitaxial Si—Ge alloy as the substrate is repeatedly cycled through the processing regions Zone-A, Zone-B, Zone-C, etc.
  • suitable metrology techniques comprise ellipsometers for measuring thickness, Fourier Transform Infra-red (FTIR) spectrometers for measuring Si—H and Ge—H surface concentrations, optical methods for measuring surface roughness, pyrometers for measuring substrate temperature, etc. Data collected and analyzed from the metrology systems may be used to control the progress of the film growth.
  • FTIR Fourier Transform Infra-red
  • the metrology systems discussed above may be substituted for an additional plasma treatment processing region.
  • HCl or Cl 2 could be introduced into a hydrogen plasma to form an active Cl species that may be used for etching the film.
  • This is especially useful for processes wherein the desire is to grow the Si, Ge, and/or Si—Ge alloy selectively on portions of the semiconductor device.
  • semiconductor devices wherein a strained Si or Si—Ge alloy is used as the channel material typically have regions of the device where single crystal Si is exposed. The remainder of the device is typically covered by SiO 2 , Si x N y , or some other material.
  • the goal is to grow the strained Si and/or Si—Ge alloy epitaxially on the exposed single crystal Si while minimizing or eliminating the growth on the surrounding materials.
  • the growth of the strained Si and/or Si—Ge alloy is much faster on the single crystal Si than on the surrounding materials.
  • One method to enhance the selective epitaxial growth process is to expose the substrate to an etchant such as reactive Cl species.
  • the reactive Cl species will react with the growing film to form volatile SiCl 4 and GeCl 4 species that etch the film.
  • the etch rate of the film that is deposited on the surrounding materials is much faster than the etch rate of the film that is growing epitaxially on the exposed single crystal Si.
  • HCl, Cl 2 or combinations thereof are introduced into a hydrogen plasma in one of the processing regions (i.e. Zone-D) to form an active Cl species that may be used for etching the film during the sequential growth processes.
  • Zone-D the processing regions
  • this etching process is not compatible with traditional lower temperature thermal growth processes because the concentration of the reactive Cl species is very low.
  • the creation of the reactive Cl species is caused by the plasma energy and is therefore decoupled from the substrate temperature. Therefore, the concentration of the reactive Cl species may be independently controlled.
  • laser irradiation may be substituted for the plasma energy to excite the species.
  • laser irradiation may be used in Zone-B to excite the inert gas molecules to a high internal energy state. This energy may be used to facilitate the decomposition of the Si H species and the desorption of the H 2 as required in Eqn. 4 above.
  • the laser irradiation is chosen such that the ion density at the substrate surface is negligible and ion bombardment of the surface is minimized to prevent damage.
  • the excited inert gas neutral species arrive at the surface, a portion of the excess internal energy is used to facilitate the decomposition of the Si H species and the desorption of the H 2 .
  • the substrate is exposed to surfactant species that influence the surface diffusion of the precursor atoms and hence influence the growth of the film.
  • surfactant species that influence the surface diffusion of the precursor atoms and hence influence the growth of the film.
  • the addition of Ga, In, Sn, or Pb is known to increase the diffusion of Si on Si surfaces and promotes island formation of Ge on Si surfaces.
  • the addition of As, Sb, Te, or Bi is known to decrease the diffusion of Si on Si surfaces and inhibits island formation of Ge on Si surfaces.
  • the surfactant species are introduced in the same processing region as the precursor gases (i.e. in Zone-A of the example used above). In some embodiments of the present invention, the surfactant species are introduced in a separate processing region from the precursor gases (i.e. not in Zone-A of the example used above).
  • apparatus for the epitaxial growth of Si—Ge alloys.
  • the apparatus comprise two or more processing regions or zones.
  • the apparatus typically operates at pressures ranging from about 1 mTorr to about 760 Torr (i.e. 1 atmosphere) and preferably between about 100 mTorr and about 500 mTorr.
  • Each processing region is fluidically separated from the others such that gaseous species within one processing region do not substantially interact with gaseous species in other processing zones.
  • the apparatus further comprise a substrate holder that accommodates one or more substrates and conveys the substrate(s) through the various processing regions in a predetermined sequence.
  • the substrate holder may be heated using known heating technologies to maintain the substrate(s) at a predetermined temperature during the growth process.
  • heating technologies comprise resistive heating, induction heating, lamp heating, etc.
  • the surface of the substrate or a surface of a deposited film can be heated by exposing a substrate to laser irradiation.
  • the laser irradiation can excite species adsorbed on the surface, or to cause the surface of the film to melt or to experience a near-melt condition.
  • the apparatus typically operates at temperatures between about 25 C and about 600 C and preferably between about 400 C and about 550 C.
  • the apparatus may be configured in many different configurations including linear configurations, parallel configurations, oval configurations, square or rectangular configurations, etc.
  • the substrate holder is circular and rotates about a central axis to sequentially expose the substrate to different processing regions.
  • the substrate holder may rotate at speeds between about 1 revolution per minute (rpm) to about 1000 rpm and preferably between about 30 rpm and about 300 rpm.
  • Each of the processing regions is configured to deliver one or more gases or precursors to the processing region.
  • Each of the processing regions may further comprise an energy source to couple to the gases or precursors to form ions, radicals, excited species, etc. or to promote gas phase reactions.
  • energy sources comprise plasma energy, thermal energy, laser energy, etc.
  • Some of the processing regions may contain in-situ metrology techniques may be employed to monitor and control the growth of the Si, Ge, and/or Si—Ge alloy as the substrate is repeatedly cycled through the other processing regions. Examples of suitable metrology techniques comprise ellipsometers for measuring thickness, FTIR spectrometers for measuring surface species concentrations, optical methods for measuring surface roughness, pyrometers for measuring substrate temperature, etc.

Abstract

Epitaxial films are grown by alternately exposed to precursor dosing regions, inert gas plasma regions, hydrogen-containing plasma regions, chlorine-containing plasma and metrology regions, or regions where an atomic hydrogen source is located. Alternately, laser irradiation techniques may be substituted for the plasma energy in some of the processing regions. The film growth process can be implemented at substrate temperatures between about 25 C and about 600 C, together with optional exposures to laser irradiation to cause the surface of the film to melt or to experience a near-melt condition.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This is a Continuation Application of U.S. patent application Ser. No. 13/212,519, filed on Aug. 18, 2011, which is herein incorporated by reference for all purposes.
  • FIELD OF THE INVENTION
  • The present invention relates generally to methods and apparatus for forming epitaxial Si, Ge, or Si—Ge alloy films at low temperatures.
  • BACKGROUND OF THE INVENTION
  • Semiconductor devices with high carrier mobility are required for high speed communications in frequency ranges from about 1 giga-hertz (GHz) to about 100 GHz. Expensive compound semiconductor materials systems such as InP, GaAs, and GaN are often used for such devices. Typically, these material systems are not compatible with high volume, Si-based CMOS manufacturing processes and cannot benefit from the economies of scale that have been achieved therein. Therefore, the manufacturing cost and systems cost for communication networks using such devices remains high and limits the adoption and deployment of such networks.
  • Semiconductor devices with semiconductor layers based on strained silicon and/or Si—Ge alloys exhibit properties that are suitable for use in communication networks at frequencies between about 1 GHz to about 75 GHz. Furthermore, these materials are generally compatible with high volume, Si-based CMOS manufacturing processes. Therefore, these material systems are attractive alternatives to the compound semiconductor materials systems listed above.
  • The strained silicon and/or Si—Ge alloy materials must meet a number of requirements. Ideally, the materials should be deposited epitaxially (i.e. as a single crystal) with a low density of defects such as grain boundaries, dislocations, point defects, etc. Defects serve as scattering sites and lower the mobility of the carriers within the material. High device speeds can be obtained by increasing the concentration of Ge in a Si—Ge alloy. However, at high Ge concentrations and higher temperatures typically used for the thermally activated growth of Si—Ge alloys, the films relax at a critical thickness resulting in a highly defective film. Additionally, Ge tends to segregate or cluster within the film, forming a non-uniform and highly defective film. Other disadvantages of high temperature growth include dopant redistribution, dopant surface segregation, autodoping, and incompatibility with low temperature substrates such as thin film solar cells, thin film transistors, polymers, etc. These disadvantages reduce the mobility of the carriers within the device channel and lower the device speed. Simply lowering the growth temperature to about 550 C results in issues such as a slow deposition rate, and high defect density.
  • Attempts have been made to address the issues discussed above by depositing epitaxial Si, Ge, and/or Si—Ge alloys using plasma enhanced chemical vapor deposition (PECVD) techniques. Typically, PECVD techniques can be applied at lower temperatures and use plasma energy to drive the chemical reactions. Typically, the various precursor and reactant species are exposed to the plasma region of the reactor. This is generally true for both direct plasma and remote plasma configurations. The plasma energy interacts with the various gaseous species to form ions, electrons, radicals, and energetic neutral species. These various species can interact in the gas phase through unwanted reactions and can form particulate matter that is deposited on the substrate rather than forming the desired film through well controlled surface reactions. Therefore, PECVD has many challenges when applied to the epitaxial growth of Si, Ge, and/or Si—Ge alloys.
  • Therefore, there is a need to develop methods and apparatus that allow the low temperature growth of Si, Ge, and/or Si—Ge alloys with high Ge concentrations and low defect densities.
  • SUMMARY OF THE DISCLOSURE
  • In some embodiments of the present invention, methods are provided wherein substrates are alternately exposed to precursor dosing regions, inert gas plasma regions, hydrogen-containing plasma regions, chlorine-containing plasma and metrology regions, or regions where an atomic hydrogen source is located. Alternately, laser irradiation techniques may be substituted for the plasma energy in some of the processing regions. The various processing regions are isolated and no significant interactions between regions are observed and unwanted gas phase reactions are minimized. The methods may be implemented at substrate temperatures between about 25 C and about 600 C, preferably between about 400 C and about 550 C. The deposition rate of the film, surface diffusion of species on the surface, and surface reaction of species on the growth surface can be influenced by controlling combinations of the gas composition, gas flow rate, pressure and the exposure time of the substrate to each of the regions listed above.
  • In some embodiments of the present invention, apparatus are described that provide multiple independent regions for processing a substrate. The substrate may be exposed to multiple regions in a sequential manner to influence the growth of a film on the substrate. Each region may comprise a plasma system to supply plasma energy, or laser irradiation to excite the gases within the region, or to excite species adsorbed on the surface, or to cause the surface of the film to melt or to experience a near-melt condition.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The drawings are not to scale and the relative dimensions of various elements in the drawings are depicted schematically and not necessarily to scale.
  • The techniques of the present invention can readily be understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a schematic diagram illustrating four processing regions and a substrate according to some embodiments.
  • DETAILED DESCRIPTION
  • A detailed description of one or more embodiments is provided below along with accompanying FIGURES. The detailed description is provided in connection with such embodiments, but is not limited to any particular example. The scope is limited only by the claims and numerous alternatives, modifications, and equivalents are encompassed. Numerous specific details are set forth in the following description in order to provide a thorough understanding. These details are provided for the purpose of example and the described techniques may be practiced according to the claims without some or all of these specific details. For the purpose of clarity, technical material that is known in the technical fields related to the embodiments has not been described in detail to avoid unnecessarily obscuring the description.
  • The epitaxial growth of Si from silane has been well characterized. At low temperatures, the deposition proceeds through a number of surface reactions as illustrated in equations 1-5 below where the symbol “_” denotes a surface site. These equations were taken from “Thin Film Processes II”, edited by John L. Vossen and Werner Kern, published by Academic Press, copyright 1991, page 291 which is herein incorporated by reference.

  • 2SiH4(g)+4→2H+2SiH3  Eqn. 1

  • 2SiH3+2→2H+2SiH2  Eqn. 2

  • 2SiH2→H2(g)+2SiH  Eqn. 3

  • 2SiH→H2(g)+2_+FILM  Eqn. 4

  • 4H→2H2(g)+4  Eqn. 5
  • The decomposition of SiH and the removal of H2 from the surface is the rate determining step at temperatures below about 700 C and especially at temperatures in the range of less than about 550 C (Eqn. 4). At higher temperatures, the adsorption of the SiH4 species becomes the rate determining step (Eqn. 1). The decomposition of GeH4 follows a similar mechanistic pathway. Therefore, any method that can influence the rate of SiH decomposition and H2 desorption at temperatures below about 700 C will be beneficial.
  • In addition to influencing the deposition kinetics as discussed above, the presence of hydrogen on the surface also influences the incorporation of Si and Ge in the film during the deposition. In the absence of hydrogen, the presence of Ge on the surface is energetically favorable over the presence of Si on the surface by an amount equal to about 0.21 eV (see Rudkevich et al., Physcial Review Letters, v81, n16, pgs 3467-3470, 1998 which is incorporated herein by reference). In the presence of hydrogen, the presence of Si on the surface is energetically favorable over the presence of Ge on the surface by an amount equal to about 0.03 eV. Therefore, by controlling the presence or absence of hydrogen at the surface, the incorporation and diffusion of Ge across the surface can be influenced.
  • To influence the rate of Eqn. 4 above at low temperatures, an energy source must be supplied to aid in the decomposition of the SiH species and the desorption of the H2. The desorption of H2 from Si has an activation energy of about 2.5 eV (see Hofer et al., Physical Review B, v45, n16, pgs 9485-9488, 1992 which is incorporated herein by reference). In some embodiments of the present invention, the energy source of such desorption is supplied by the use of a plasma which is used to excite an inert gas. Examples of suitable inert gases comprise one or more of He, Ne, Ar, Kr, Xe, or combinations thereof. The plasma will generate excited neutral species with internal energies above about 4 eV. This energy may be used to facilitate the decomposition of the SiH species and the desorption of the H2. The use of excited neutral species can reduce or eliminate any potential reaction or ionization effects, for example, by associating with non-inert gas or with charged species. As used herein, a “plasma” is understood to be any one of a direct plasma or a remote plasma. Furthermore, the plasma may be generated using a capacitive coupling configuration or an inductive coupling configuration. In some embodiments of the present invention, laser irradiation is used to excite an inert gas. Examples of suitable inert gases comprise one or more of He, Ne, Ar, Kr, Xe, or combinations thereof. The laser irradiation will generate excited neutral species with internal energies above about 4 eV. This energy may be used to facilitate the decomposition of the SiH species and the desorption of the H2.
  • FIG. 1 illustrates a schematic diagram illustrating a processing apparatus, 100, comprising four processing regions and illustrating a substrate according to some embodiments. A similar apparatus has been described in U.S. application Ser. No. 13/025,046 filed on Feb. 10, 2011, entitled “METHODS AND SYSTEMS FOR FORMING THIN FILMS” and having internal docket number (IM0374_US) and is incorporated herein by reference. A deposition chamber (not shown) comprises a reaction zone wherein there are four independent processing regions. The independent processing regions are fluidically isolated from one another. Although four independent processing regions are illustrated, those skilled in the art will appreciate that any number of independent processing regions can be envisioned, limited only by the number of desired processing steps in the sequence and practical physical limitations. For example, Zone-D can be omitted, and the process sequence comprises Zone-A, Zone-B and Zone-C. Alternatively, both Zone-C and Zone-D are omitted, and the process sequence comprises Zone-A and Zone-B. Further, the processing order, e.g., Zone-A to Zone-B to Zone-C to Zone-D, are shown for illustrative purposes, and those skilled in the art will appreciate that the zones can be arranged in any order. For example, Zone-C can be positioned before Zone-B to form a process sequence comprises Zone-A, Zone-C, Zone-B, and Zone-D. Although a circular configuration is illustrated, those skilled in the art will appreciate that any suitable and practical configuration may be used. Examples might include linear configurations, parallel configurations, oval configurations, square or rectangular configurations, etc.
  • In FIG. 1, substrate, 102, is held on a substrate holder, 114. Although one substrate is illustrated in FIG. 1, those skilled in the art will appreciate that substrate holder, 114, will generally accommodate multiple substrates resulting in improved processing efficiency. The use of processing apparatus, 100, will be described by using the deposition of a Si—Ge alloy at temperatures below about 600 C as an example. Those skilled in the art will appreciate that the features of processing apparatus, 100, can be applied to many different types of processing sequences, including, but not limited to, the epitaxial growth of Si and/or the epitaxial growth of Ge. Those skilled in the art will also appreciate that the features of processing apparatus, 100, can be applied to the deposition of both the amorphous and polycrystalline versions of films such as Si, Ge, and Si—Ge alloys, all at low temperatures.
  • In Zone-A, 104, the substrate is exposed to the deposition precursor gases. For this example, the gases might include precursors such as SiH4, GeH4, Si2H6, Ge2H6, other suitable Si and Ge containing precursors, or combinations thereof. Zone-A generally does not include the use of plasma energy. The absence of plasma energy in Zone-A reduces the frequency of undesirable gas phase reactions that lead to the formation of particulate matter that is deposited on the substrate rather than forming the desired film through well controlled surface reactions. The precursor gases will adsorb onto the surface, diffuse across the surface, and interact with reactive surface sites as indicated in Eqns. 1-3 listed above. In the example illustrated in FIG. 1, processing apparatus, 100, has a generally circular shape and substrate holder, 114, and substrate, 102, can be rotated about a central axis, 112, to repeatedly expose the substrate to the sequence of processing regions. The exposure dosage of the gases or reactive species to the substrate in the various processing zones can be influenced through combinations of the gas concentration, gas flow rate, pressure, and rotation speed. In this manner, effective growth rates from less than 1 monolayer per cycle to several monolayers per cycle can be achieved.
  • In Zone-B, 106, the substrate is exposed to an inert gas excited by a plasma source. Alternately, laser irradiation may be used to excite the inert gas. Examples of suitable inert gases comprise one or more of He, Ne, Ar, Kr, Xe, or combinations thereof. The plasma will generate excited neutral species with internal energies above about 4 eV. This energy may be used to facilitate the decomposition of the SiH species and the desorption of the H2 as required in Eqn. 4 above. The plasma conditions are chosen such that the ion density at the substrate surface is negligible and ion bombardment of the surface is minimized to prevent damage. As the excited inert gas neutral species arrive at the surface, a portion of the excess internal energy is used to facilitate the decomposition of the SiH species and the desorption of the H2.
  • In Zone-C, 108, the substrate may be exposed to a hydrogen plasma. The hydrogen plasma can be used to control the amount of hydrogen on the surface and therefore influence the incorporation and diffusion of Ge across the surface as discussed previously. The hydrogen plasma exposure in this zone will aid to minimize the tendency for Ge to aggregate at higher Ge concentrations by assisting the incorporation of the Ge into the film growth front. Recall that in the presence of hydrogen, the presence of Si on the surface is energetically favorable over the presence of Ge on the surface.
  • In Zone-D, 110, in-situ metrology techniques may be employed to monitor and control the growth of the epitaxial Si, epitaxial Ge, and/or the epitaxial Si—Ge alloy as the substrate is repeatedly cycled through the processing regions Zone-A, Zone-B, Zone-C, etc. Examples of suitable metrology techniques comprise ellipsometers for measuring thickness, Fourier Transform Infra-red (FTIR) spectrometers for measuring Si—H and Ge—H surface concentrations, optical methods for measuring surface roughness, pyrometers for measuring substrate temperature, etc. Data collected and analyzed from the metrology systems may be used to control the progress of the film growth.
  • In alternate embodiments of the present invention, the metrology systems discussed above may be substituted for an additional plasma treatment processing region. In one example, HCl or Cl2 could be introduced into a hydrogen plasma to form an active Cl species that may be used for etching the film. This is especially useful for processes wherein the desire is to grow the Si, Ge, and/or Si—Ge alloy selectively on portions of the semiconductor device. Briefly, semiconductor devices wherein a strained Si or Si—Ge alloy is used as the channel material typically have regions of the device where single crystal Si is exposed. The remainder of the device is typically covered by SiO2, SixNy, or some other material. In the selective epitaxial growth process, the goal is to grow the strained Si and/or Si—Ge alloy epitaxially on the exposed single crystal Si while minimizing or eliminating the growth on the surrounding materials. In practice, the growth of the strained Si and/or Si—Ge alloy is much faster on the single crystal Si than on the surrounding materials. One method to enhance the selective epitaxial growth process is to expose the substrate to an etchant such as reactive Cl species. The reactive Cl species will react with the growing film to form volatile SiCl4 and GeCl4 species that etch the film. In practice, the etch rate of the film that is deposited on the surrounding materials is much faster than the etch rate of the film that is growing epitaxially on the exposed single crystal Si. These two mechanisms combine to yield a desired epitaxial film on the exposed single crystal Si and little or no film on the surrounding materials. In some embodiments, HCl, Cl2 or combinations thereof are introduced into a hydrogen plasma in one of the processing regions (i.e. Zone-D) to form an active Cl species that may be used for etching the film during the sequential growth processes. This results in the selective growth of the strained Si, Si—Ge alloy, or Ge on the desired regions of the device. Generally, this etching process is not compatible with traditional lower temperature thermal growth processes because the concentration of the reactive Cl species is very low. In this implementation, the creation of the reactive Cl species is caused by the plasma energy and is therefore decoupled from the substrate temperature. Therefore, the concentration of the reactive Cl species may be independently controlled.
  • In alternate embodiments of the present invention, in the process regions wherein plasma energy is used to excite the various species, laser irradiation may be substituted for the plasma energy to excite the species. As an example, laser irradiation may be used in Zone-B to excite the inert gas molecules to a high internal energy state. This energy may be used to facilitate the decomposition of the SiH species and the desorption of the H2 as required in Eqn. 4 above. The laser irradiation is chosen such that the ion density at the substrate surface is negligible and ion bombardment of the surface is minimized to prevent damage. As the excited inert gas neutral species arrive at the surface, a portion of the excess internal energy is used to facilitate the decomposition of the SiH species and the desorption of the H2.
  • In some embodiments of the present invention, the substrate is exposed to surfactant species that influence the surface diffusion of the precursor atoms and hence influence the growth of the film. As an example, for the growth of Si—Ge alloys, the addition of Ga, In, Sn, or Pb is known to increase the diffusion of Si on Si surfaces and promotes island formation of Ge on Si surfaces. Alternatively, the addition of As, Sb, Te, or Bi is known to decrease the diffusion of Si on Si surfaces and inhibits island formation of Ge on Si surfaces. A discussion of this effect may be found in the dissertation submitted to Northwestern University in partial fulfillment of the requirements for the degree of Doctor of Philosophy by Bradley Tinkham in December, 2002. In some embodiments of the present invention, the surfactant species are introduced in the same processing region as the precursor gases (i.e. in Zone-A of the example used above). In some embodiments of the present invention, the surfactant species are introduced in a separate processing region from the precursor gases (i.e. not in Zone-A of the example used above).
  • In some embodiments of the present invention, apparatus are described for the epitaxial growth of Si—Ge alloys. The apparatus comprise two or more processing regions or zones. The apparatus typically operates at pressures ranging from about 1 mTorr to about 760 Torr (i.e. 1 atmosphere) and preferably between about 100 mTorr and about 500 mTorr. Each processing region is fluidically separated from the others such that gaseous species within one processing region do not substantially interact with gaseous species in other processing zones. The apparatus further comprise a substrate holder that accommodates one or more substrates and conveys the substrate(s) through the various processing regions in a predetermined sequence. The substrate holder may be heated using known heating technologies to maintain the substrate(s) at a predetermined temperature during the growth process. Examples of heating technologies comprise resistive heating, induction heating, lamp heating, etc. Alternatively or additionally, the surface of the substrate or a surface of a deposited film can be heated by exposing a substrate to laser irradiation. The laser irradiation can excite species adsorbed on the surface, or to cause the surface of the film to melt or to experience a near-melt condition. The apparatus typically operates at temperatures between about 25 C and about 600 C and preferably between about 400 C and about 550 C. As discussed above, the apparatus may be configured in many different configurations including linear configurations, parallel configurations, oval configurations, square or rectangular configurations, etc. In some embodiments, the substrate holder is circular and rotates about a central axis to sequentially expose the substrate to different processing regions. The substrate holder may rotate at speeds between about 1 revolution per minute (rpm) to about 1000 rpm and preferably between about 30 rpm and about 300 rpm.
  • Each of the processing regions is configured to deliver one or more gases or precursors to the processing region. Each of the processing regions may further comprise an energy source to couple to the gases or precursors to form ions, radicals, excited species, etc. or to promote gas phase reactions. Examples of energy sources comprise plasma energy, thermal energy, laser energy, etc. Some of the processing regions may contain in-situ metrology techniques may be employed to monitor and control the growth of the Si, Ge, and/or Si—Ge alloy as the substrate is repeatedly cycled through the other processing regions. Examples of suitable metrology techniques comprise ellipsometers for measuring thickness, FTIR spectrometers for measuring surface species concentrations, optical methods for measuring surface roughness, pyrometers for measuring substrate temperature, etc.
  • Although the foregoing examples have been described in some detail for purposes of clarity of understanding, the invention is not limited to the details provided. There are many alternative ways of implementing the invention. The disclosed examples are illustrative and not restrictive.

Claims (20)

What is claimed:
1. A deposition chamber comprising:
a substrate support configured to support at least one substrate;
a first processing region configured to expose the at least one substrate to one or more precursor gases;
a second processing region configured to expose the at least one substrate to an excited inert gas neutral species; and
a third processing region configured to perform at least one metrology technique on a film formed on the at least one substrate,
wherein the first, second, and third processing regions are fluidically isolated from one another.
2. The deposition chamber of claim 1, wherein the one or more precursor gases comprises one or more of Si-containing gases, Ge-containing gases, or combinations thereof.
3. The deposition chamber of claim 2, wherein the Si-containing gases comprise one of SiH4, or Si2H6 or combinations thereof.
4. The deposition chamber of claim 2, wherein the Ge-containing gases comprise one of GeH4, or Ge2H6 or combinations thereof.
5. The deposition chamber of claim 1, wherein the at least one metrology technique comprises one or more of ellipsometry, Fourier Transform Infra-red (FTIR) spectroscopy, optical methods for measuring surface roughness, pyrometry, or combination thereof.
6. The deposition chamber of claim 1, further comprising a fourth processing region configured to expose the at least one substrate to hydrogen plasma.
7. The deposition chamber of claim 1, wherein the substrate support is further configured to rotate about a central axis thereof.
8. The deposition chamber of claim 1, wherein the excited inert gas neutral species is generated using plasma energy or laser irradiation.
9. The deposition chamber of claim 1, wherein the inert gas comprises one or more of He, Ne, Ar, Kr, Xe, or combinations thereof.
10. The deposition chamber of claim 1, wherein the deposition chamber is configured such that the formation of the film occurs at between about 25 C and about 600 C.
11. A deposition chamber comprising:
a substrate support configured to support at least one substrate;
a first processing region configured to expose the at least one substrate to one or more precursor gases, wherein the one or more precursor gases comprises one or more of Si-containing gases, Ge-containing gases, or combinations thereof;
a second processing region configured to expose the at least one substrate to an excited inert gas neutral species; and
a third processing region configured to perform at least one metrology technique on a film formed on the at least one substrate, wherein the at least one metrology technique comprises one or more of ellipsometry, Fourier Transform Infra-red (FTIR) spectroscopy, optical methods for measuring surface roughness, pyrometry, or combination thereof,
wherein the first, second, and third processing regions are fluidically isolated from one another.
12. The deposition chamber of claim 11, further comprising a fourth processing region configured to expose the at least one substrate to hydrogen plasma.
13. The deposition chamber of claim 11, wherein the Si-containing gases comprise one of SiH4, or Si2H6 or combinations thereof.
14. The deposition chamber of claim 11, wherein the Ge-containing gases comprise one of GeH4, or Ge2H6 or combinations thereof.
15. The deposition chamber of claim 11, wherein the excited inert gas neutral species is generated using plasma energy or laser irradiation.
16. A deposition chamber comprising:
a substrate support configured to support at least one substrate;
a first processing region configured to expose the at least one substrate to one or more precursor gases;
a second processing region configured to expose the at least one substrate to an excited inert gas neutral species;
a third processing region configured to expose the at least one substrate to hydrogen plasma; and
a fourth processing region configured to perform at least one metrology technique on a film formed on the at least one substrate,
wherein the first, second, third, and fourth processing regions are fluidically isolated from one another.
17. The deposition chamber of claim 16, wherein the at least one metrology technique comprises one or more of ellipsometry, Fourier Transform Infra-red (FTIR) spectroscopy, optical methods for measuring surface roughness, pyrometry, or combination thereof.
18. The deposition chamber of claim 16, wherein the Si-containing gases comprise one of SiH4, or Si2H6 or combinations thereof, and the Ge-containing gases comprise one of GeH4, or Ge2H6 or combinations thereof.
19. The deposition chamber of claim 16, wherein the inert gas comprises one or more of He, Ne, Ar, Kr, Xe, or combinations thereof.
20. The deposition chamber of claim 16, wherein the excited inert gas neutral species is generated using plasma energy or laser irradiation.
US14/308,846 2011-08-18 2014-06-19 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation Abandoned US20140299056A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/308,846 US20140299056A1 (en) 2011-08-18 2014-06-19 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/212,519 US8778811B2 (en) 2011-08-18 2011-08-18 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US14/308,846 US20140299056A1 (en) 2011-08-18 2014-06-19 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/212,519 Continuation US8778811B2 (en) 2011-08-18 2011-08-18 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation

Publications (1)

Publication Number Publication Date
US20140299056A1 true US20140299056A1 (en) 2014-10-09

Family

ID=47712927

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/212,519 Expired - Fee Related US8778811B2 (en) 2011-08-18 2011-08-18 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US14/308,846 Abandoned US20140299056A1 (en) 2011-08-18 2014-06-19 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/212,519 Expired - Fee Related US8778811B2 (en) 2011-08-18 2011-08-18 Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation

Country Status (2)

Country Link
US (2) US8778811B2 (en)
WO (1) WO2013025968A1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423529B2 (en) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US9093264B2 (en) * 2012-04-20 2015-07-28 Applied Materials, Inc. Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
JP5857896B2 (en) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 Method of operating film forming apparatus and film forming apparatus
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
RU2586009C1 (en) * 2014-12-10 2016-06-10 федеральное государственное бюджетное образовательное учреждение высшего образования Кабардино-Балкарский государственный университет им. Х.М. Бербекова Method of making semiconductor structure
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8524581B2 (en) * 2011-12-29 2013-09-03 Intermolecular, Inc. GaN epitaxy with migration enhancement and surface energy modification
US20140127887A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US8975166B2 (en) * 2011-11-22 2015-03-10 Intermolecular, Inc. Method and apparatus for atomic hydrogen surface treatment during GaN epitaxy

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US4780608A (en) * 1987-08-24 1988-10-25 The United States Of America As Represented By The United States Department Of Energy Laser sustained discharge nozzle apparatus for the production of an intense beam of high kinetic energy atomic species
CA1299716C (en) * 1987-11-20 1992-04-28 Katsumi Nakagawa Pin junction photovoltaic element with p or n-type semiconductor layercomprising non-single crystal material containing zn, se, h in an amount of 1 to 4 atomic % and a dopant and i-type semiconductor layer comprising non-single crystal si(h,f) material
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20060134345A1 (en) * 2004-12-20 2006-06-22 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
WO2011006018A2 (en) * 2009-07-08 2011-01-13 Plasmasi, Inc. Apparatus and method for plasma processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8975166B2 (en) * 2011-11-22 2015-03-10 Intermolecular, Inc. Method and apparatus for atomic hydrogen surface treatment during GaN epitaxy
US8524581B2 (en) * 2011-12-29 2013-09-03 Intermolecular, Inc. GaN epitaxy with migration enhancement and surface energy modification
US20140127887A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System

Also Published As

Publication number Publication date
US8778811B2 (en) 2014-07-15
US20130045587A1 (en) 2013-02-21
WO2013025968A1 (en) 2013-02-21

Similar Documents

Publication Publication Date Title
US7262116B2 (en) Low temperature epitaxial growth of silicon-containing films using close proximity UV radiation
US20140299056A1 (en) Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
TWI405248B (en) Method for depositing carbon doped epitaxial semiconductor layer, method and apparatus for depositing semiconductor material and method for forming transistor device on substrate in reaction chamber
US7629267B2 (en) High stress nitride film and method for formation thereof
JP2965094B2 (en) Deposition film formation method
JP2004525509A (en) Manufacturing method using dopant precursor
US20040152287A1 (en) Deposition of a silicon film
KR20090037468A (en) Methods of forming carbon-containing silicon epitaxial layers
US7029995B2 (en) Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
KR20090085695A (en) Technique for atomic layer deposition
KR20130044312A (en) Thin films and methods of making them using cyclohexasilane
WO2007140375A2 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
KR20100019414A (en) Technique for atomic layer deposition
US7727590B2 (en) Robust filament assembly for a hot-wire chemical vapor deposition system
JP2001110750A5 (en)
US8158495B2 (en) Process for forming a silicon-based single-crystal portion
JP2021534328A (en) Thin film forming device and thin film forming method using this
JP3194547B2 (en) Method for manufacturing polycrystalline silicon layer
US11031241B2 (en) Method of growing doped group IV materials
US20110111582A1 (en) Method for depositing ultra fine grain polysilicon thin film
KR101176668B1 (en) Low temperature epitaxial growth of silicon-containing films using uv radiation
JPS6369220A (en) Manufacture of group iv semiconductor thin film
US20070254450A1 (en) Process for forming a silicon-based single-crystal portion
JP2004186376A (en) Apparatus and method for manufacturing silicon wafer
JPH071753B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION