US20140312389A1 - Reacted Conductive Gate Electrodes and Methods of Making the Same - Google Patents

Reacted Conductive Gate Electrodes and Methods of Making the Same Download PDF

Info

Publication number
US20140312389A1
US20140312389A1 US14/320,065 US201414320065A US2014312389A1 US 20140312389 A1 US20140312389 A1 US 20140312389A1 US 201414320065 A US201414320065 A US 201414320065A US 2014312389 A1 US2014312389 A1 US 2014312389A1
Authority
US
United States
Prior art keywords
layer
relaxed
silicon
gate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/320,065
Other versions
US9048167B2 (en
Inventor
Matthew T. Currie
Richard Hammond
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/320,065 priority Critical patent/US9048167B2/en
Publication of US20140312389A1 publication Critical patent/US20140312389A1/en
Priority to US14/697,337 priority patent/US9343539B2/en
Application granted granted Critical
Publication of US9048167B2 publication Critical patent/US9048167B2/en
Priority to US15/137,517 priority patent/US9812572B2/en
Priority to US15/784,925 priority patent/US10629735B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • the invention generally relates to semiconductor devices, and, more particularly, to the fabrication and structure of contacts in transistors.
  • conductive contacts and interconnects Materials and processes for forming conductive contacts and interconnects must accommodate, for example, dimensional requirements, processing temperatures, reliability needs and materials compatibility.
  • Traditional aluminum-based interconnects have gradually given way to copper interconnects for fine dimensions; cobalt silicide and other metallic materials are gradually supplanting titanium silicide source and drain contacts and titanium silicide caps on doped polycrystalline silicon gate contacts.
  • SiGe silicon-germanium
  • Mobility enhancements obtained from strained silicon, in combination with source-drain and gate silicidation techniques, provide the opportunity to increase speed, reduce power consumption, and provide the basis of other advances in microelectronics, such as analog and digital device integration.
  • substrates that include a SiGe layer can increase fabrication complexity relative to that for conventional silicon wafer-based devices.
  • formation of source and drain contacts through reaction of a metal layer, such as titanium or cobalt, with SiGe in the substrate has preferred process conditions that are different from silicide formation with relatively pure silicon.
  • the invention involves structures and fabrication methods for semiconductor devices that preferably have semiconductor layers including two materials in both a substrate and in a gate contact.
  • the invention provides simplified processing for semiconductor devices, as well as improved semiconductor device structures that include compound and/or alloyed semiconductors in both contacts and substrates.
  • the invention provides for simultaneous reaction of a metal layer to form reacted material contacts in the substrate and a reacted material upper portion of a gate contact.
  • the metal layer exhibits a similar reaction behavior with the semiconductor layers in both the substrate and in the upper portion of the gate contact due to a similar composition ratio of the two materials in both semiconductor layers.
  • Preferred embodiments of the invention feature substrates and contacts that include one or more semiconductor alloy layers of silicon and germanium.
  • Preferred embodiments include SiGe layers of similar composition in both a substrate and a contact; conductive portions are formed by simultaneously reacting the SiGe layers in the substrate and the contact with a metal layer.
  • source and drain contacts in a substrate can be formed simultaneously with formation of a conductive upper (distal) portion of a gate contact having a portion that includes the semiconductor alloy. In this way, some processing steps are rendered unnecessary during basic device fabrication.
  • Simplified processing can be achieved in part because reaction of a metal layer with the substrate and with a semiconductor layer in a gate contact need not be decoupled to accommodate, for example, SiGe in the substrate and polycrystalline silicon in the gate.
  • Proper material selection provides substrates and gate contacts that have similar or identical silicide formation steps. Other benefits can arise, such as lower temperature processing for silicide formation.
  • the invention features transistors that include a gate electrode including SiGe in at least an upper portion of a gate contact (i.e., electrode) and a strained-silicon channel layer on a relaxed SiGe layer in a substrate.
  • a gate electrode including SiGe in at least an upper portion of a gate contact (i.e., electrode) and a strained-silicon channel layer on a relaxed SiGe layer in a substrate.
  • the invention features a method for fabricating a semiconductor device.
  • the method includes provision of a semiconductor layer that includes a first material and a second material.
  • the semiconductor layer can be an alloy of two or more materials.
  • the first and second materials can be silicon and germanium.
  • the semiconductor layer can be or include a relaxed layer.
  • the relaxed layer can be part of a substrate having a portion, adjacent to the relaxed layer, with a lattice spacing mismatched to that of the relaxed layer.
  • the relaxed layer can be grown on intermediate layers that accommodate mismatch to a silicon wafer.
  • the relaxed layer can reside on an oxide layer.
  • the method also includes the formation of a contact that has a portion proximal to the relaxed semiconductor layer and a portion distal to the relaxed semiconductor layer.
  • the distal portion includes the first material and the second material.
  • a metal layer is formed adjacent to the relaxed semiconductor layer and adjacent to the distal portion of the contact. The metal layer is simultaneously reacted with the relaxed semiconductor layer and with the distal portion of the contact.
  • the silicon and germanium of the distal portion of the contact can have an atomic density ratio that is substantially the same as an atomic density ratio of the silicon and germanium of the relaxed semiconductor layer.
  • the proximal portion of the contact can consist essentially of silicon or essentially of germanium.
  • the proximal portion can include silicon and germanium; the atomic density ratio of the silicon and germanium of the proximal portion can differ from the atomic density ratio of the silicon and germanium of the distal portion.
  • the invention features a semiconductor device.
  • the device includes a substrate that has a reacted conductive layer that comprises silicon, germanium and a metallic material.
  • the metallic material can be, e.g., titanium, nickel or cobalt.
  • the device also includes a contact that has a portion proximal to the substrate and a reacted conductive portion distal to the substrate.
  • the reacted conductive distal portion of the contact includes silicon, germanium and the same metallic material as in the substrate reacted conductive layer.
  • the substrate can further include a strained semiconductor layer, for example, of silicon, adjacent to the contact.
  • the invention features a semiconductor device that includes a substrate that has a reacted conductive layer comprising a first material, a second material and a metallic material.
  • the device includes a contact having a portion proximal to the substrate and a reacted conductive portion distal to the substrate, the distal portion comprising the first material, the second material and the metallic material.
  • FIG. 1 is a cross-sectional view of a conventional silicon-based MOSFET.
  • FIG. 2 is a cross-sectional view of an embodiment of a MOSFET that includes a strained-silicon channel layer on a SiGe layer.
  • FIG. 3 is a graph of sheet resistance versus anneal time.
  • FIG. 4 is a graph of sheet resistance versus anneal temperature.
  • FIG. 5 is a flowchart of an embodiment of a method of fabricating a semiconductor device.
  • FIG. 6 is a cross-sectional view of an embodiment of a substrate used for fabrication of an embodiment of a MOSFET.
  • FIGS. 7A and 7B are cross-sectional views of structures at intermediate steps during fabrication of an embodiment of a MOSFET, utilizing the substrate of FIG. 6 .
  • FIGS. 8A and 8B are cross-sectional views of structures at fabrication steps that follow the steps illustrated in FIGS. 7A and 7B .
  • MOS metal-oxide-semiconductor
  • the invention provides means to avoid separation of metal layer reaction with the substrate and metal layer reaction with a polycrystalline silicon portion of a gate contact.
  • the invention further provides improved gate design in conjunction with the advantages of a substrate that includes a SiGe layer, among other advantages.
  • MOS is here used to refer generally to semiconductor devices that include a conductive gate spaced at least by an insulting layer from a semiconducting channel layer.
  • SiGe and “Si 1-x Ge x ” are here used interchangeably to refer to silicon-germanium alloys.
  • siicide is here used to refer to a reaction product of a metal, silicon, and optionally other components, such as germanium.
  • siicide is also used, less formally, to refer to the reaction product of a metal with an elemental semiconductor, a compound semiconductor or an alloy semiconductor.
  • FIG. 1 is cross-sectional view of a conventional silicon-based MOS field-effect transistor (MOSFET) 100 .
  • the transistor 100 includes a gate contact 120 , a pair of spacers 150 , a source 135 , a source silicide contact 130 , a gate oxide 126 , a drain 145 , a drain silicide contact 140 and a silicon substrate 110 .
  • the transistor 100 is electrically isolated from neighboring transistors by a shallow trench isolation (STI) portion 170 .
  • STI shallow trench isolation
  • the gate contact 120 includes a doped, conductive polycrystalline silicon (“polysilicon”) portion 124 proximal to the gate oxide 126 and a distal gate silicide portion 122 .
  • the source 135 and drain 145 include doped regions formed by implantation of dopant species into the substrate 110 and annealing, with the spacers 150 controlling the distribution of dopant beneath the gate oxide 126 .
  • the silicide contacts 130 , 140 and gate silicide portion 122 provide good ohmic interfaces respectively to the source 135 and drain 145 and to the gate polysilicon portion 124 .
  • the MOSFET 100 has a surface channel, which may be defined in physical or electrical terms. Physically, the surface channel is the p-type or n-type silicon that is adjacent to (i.e., below) the gate oxide 126 and which joins the source 135 and drain 145 . Electrically, the channel is an inversion layer, which provides current flow between the source 135 and drain 145 . Thus, from the electrical point of view, the presence and condition of the channel are variable.
  • FIG. 2 is a cross-sectional view of an embodiment of a MOSFET 200 , which is distinguished from the MOSFET 100 of FIG. 1 by the inclusion of a strained-silicon channel layer on a SiGe layer.
  • the following description of the structure of the MOSFET 200 is limited to features that are substantially different from features of the conventional MOSFET 100 .
  • the MOSFET 200 includes a strained-silicon layer 220 , a source 245 , a drain 255 , a source contact 240 , a drain contact 250 and a substrate 210 that includes a relaxed SiGe layer (other embodiments include a strained-SiGe layer).
  • the silicon layer 220 can be epitaxially grown on the substrate 210 , and provides a surface channel for the MOSFET 200 .
  • the source and drain contacts 240 , 250 can be formed by reacting a metal layer with portions of the substrate 210 and the silicon layer 220 .
  • the source 245 and the drain 255 can be formed by diffusing a dopant into the substrate 210 silicon layer 220 .
  • the source and drain contacts 240 , 250 can be formed by depositing a metal layer and reacting the metal layer with a portion of the substrate 210 , including, at least, portions of the silicon layer 220 and the relaxed SiGe layer.
  • FIGS. 3 and 4 silicidation of silicon and SiGe are compared.
  • Conventional processing methods would typically decouple the formation of the source and drain contacts 240 , 250 and the formation of the distal (upper) gate silicide portion 122 due to the differing silicidation behavior of SiGe in the substrate 210 and the polysilicon in the gate contact with a metal layer.
  • the silicide formation rate of silicon can be very different from the silicide formation rate of SiGe.
  • FIGS. 3 and 4 demonstrate differences in silicidation behavior between Si and SiGe after a single annealing step, it should be noted that silicidation processes can utilize multiple annealing steps, oftentimes with unreacted metal being stripped between anneals.
  • FIG. 3 is a graph showing two curves of the sheet resistance vs. anneal time for samples having a titanium metal layer on Si and on Si 0.7 Ge 0.3 during reaction at 675° C.
  • Point A indicates a typically desired interval of anneal time, between 100-150 seconds.
  • the sheet resistance of the Si sample is more twice that of the Si 0.7 Ge 0.3 sample.
  • the sheet resistance of the Si sample eventually drops below that of the Si 0.7 Ge 0.3 sample after more than 4 minutes of annealing.
  • This time variable annealing behavior arises from the differing reaction rates for silicide formation for Ti with Si and with SiGe.
  • FIG. 4 shows a graph of the sheet resistance vs. anneal temperature for samples of the same material combinations as those in FIG. 3 .
  • the graph shows data taken at various time intervals, from 30 seconds to 5 minutes. Curves are shown for the sheet resistance vs. temperature after 2 minutes of reaction time.
  • Point B indicates a desirable anneal temperature of 675° C.
  • the sheet resistance of the reacted Si sample is more twice that of the reacted Si 0.7 Ge 0.3 sample for anneal times of approximately 2 minutes or less, again demonstrating the difference in the silicidation rates of Si and SiGe for desirable combinations of annealing time and temperature.
  • One method for overcoming the differences in silicidation rates of gate and source-drain regions is to decouple the gate silicide formation from the source-drain silicidation, as described in U.S. Pat. No. 4,755,478.
  • the decoupled process for siliciding the source-drain regions and gate electrode regions of a SiGe substrate typically requires more processing steps due to separation of silicidation of polysilicon in a gate contact and silicidation of the source-drain contacts, each set of steps having different optimized conditions. Decoupling tends to increase the costs of the overall silicidation process.
  • the silicidation of Si can occur at a much higher temperature than silicidation of SiGe. Therefore, an optimized silicidation process involving a polysilicon gate can make the SiGe substrate layer vulnerable to, for example, interdiffusion, dislocation redistribution and silicide agglomeration.
  • Features of the invention can remove a need for decoupling, and provide gate contacts and source/drain contacts with similar, optimized processing conditions. The invention can further provide processing at lower temperatures.
  • FIG. 5 is a flow diagram of an embodiment of a method 500 for fabricating a semiconductor device, according to principles of the invention. Fabrication can begin with provision of a silicon wafer (Step 510 ). A relaxed layer is provided (Step 520 ), and includes first and second materials, for example, silicon and germanium. For example, a substrate that includes a relaxed layer of SiGe, intermediate layers of SiGe and a silicon wafer can be provided; see, e.g., commonly assigned U.S. Pat. No. 6,107,653 to Fitzgerald.
  • a channel layer for example, of silicon, can be provided adjacent to the relaxed layer (Step 530 ).
  • a surface channel silicon layer can be epitaxially grown on a SiGe relaxed layer.
  • a buried channel layer is provided adjacent to the relaxed layer.
  • Some of these embodiments include one or more buried channel layers and a surface channel layer.
  • the channel layers can include, for example Si, Ge or SiGe.
  • the composition of a channel layer can be chosen in cooperation with the composition of a relaxed layer to provide a desired level of strain in the channel layer, as is conventional in the art.
  • the method 500 further includes formation of a contact adjacent to the relaxed layer (Step 550 ).
  • the contact includes a portion that is proximal to the relaxed semiconductor layer and a portion that is distal to the relaxed semiconductor layer, i.e., lower and upper portions.
  • the distal portion includes the first material and the second material, and preferably has substantially the same composition as the relaxed semiconductor layer.
  • Similar compositions, for example, of SiGe, in the relaxed layer and in the upper portion of the contact enable similar optimized processing conditions for reaction of a metal layer with the relaxed layer and with the upper portion of the contact. Thus, reaction of a metal layer can proceed simultaneously for the substrate and for the contact.
  • Formation of the contact can commence with formation of a lower portion that includes Si, Ge or SiGe (Step 540 ).
  • the distal portion can then be formed from SiGe having a different composition than the semiconductor of the proximal portion.
  • the composition can vary abruptly or smoothly with position in the contact through the proximal and the distal portions.
  • the composition of the proximal portion can be chosen, for example, for its electrical performance or compatibility with an adjacent gate dielectric, as described in below.
  • the composition of the distal portion in contrast, can be chosen to provide compatibility with the composition of the relaxed layer.
  • the method 500 further includes formation of a metal layer adjacent to the relaxed semiconductor layer and the distal portion of the contact (Step 560 ).
  • the metal can include, for example, titanium, cobalt, nickel, platinum, molybdenum, tungsten and/or zirconium.
  • the metal layer is simultaneously reacted with the substrate and with the distal portion of the contact (Step 570 ).
  • most of the metal layer that reacts with the substrate will react with the relaxed semiconductor layer, in part because any surface layers will be relatively thin. Thus, in these embodiments, the relaxed layer will dominate over other portions of the substrate in the reaction with the metal layer.
  • the above-described method permits the formation of source and drain silicide contacts in a substrate at the same time as formation of a distal germanium silicide portion of a gate contact.
  • Both gate silicide and source and drain silicide can be formed under preferred time and temperature annealing conditions.
  • the invention facilitates simultaneous processing.
  • a substrate that includes a SiGe layer.
  • the SiGe layer can be grown on a silicon wafer, and can include more than one layer of alloys of varying composition, thickness and growth conditions.
  • the substrate can include a SiGe layer or multiple SiGe layers and/or SiGe layers having graded composition.
  • the SiGe layer includes a relaxed SiGe layer grown on intermediate SiGe layers that accommodate the lattice mismatch with a silicon wafer.
  • the substrate can include, for example, a silicon wafer, with the SiGe layers grown on the silicon wafer.
  • an oxide layer can be present between the silicon wafer and the SiGe layer.
  • Other types of wafers can be used as a base for a substrate, e.g., silicon-on-insulator
  • a channel layer can be a surface or a buried layer, and can be formed, for example, from silicon, germanium or a combination of silicon and germanium.
  • a buried channel layer can be a strained silicon layer epitaxially grown on a relaxed SiGe layer.
  • the buried layer can be germanium or can be SiGe having a composition that is different from the SiGe of the relaxed layer.
  • the buried channel layer can have a composition of Si 1-x Ge x while the relaxed layer has a composition of Si 1-y Ge y .
  • the buried SiGe layer is under compressive stress, and thus exhibits a compressive strain.
  • material combinations can be chosen to provide a p-channel MOSFET, preferably by providing a compressively strained SiGe buried layer, or an n-channel MOSFET, preferable by providing a tensilely strained silicon layer.
  • Some preferred embodiments of the invention use relaxed SiGe layers grown on silicon substrates. Silicon, germanium and SiGe layers can be grown via known epitaxial growth techniques. Growth of a buried layer of silicon, germanium or SiGe on a SiGe relaxed layer, or SiGe on silicon, enables production of buried layers of controlled stress and dislocation density.
  • Examples of SiGe substrates, in which the Ge content can be up to 100%, include: a relaxed, uniform composition SiGe layer on a graded composition SiGe layer atop a Si substrate; a relaxed, uniform composition SiGe layer directly adjacent to a Si substrate; and a relaxed, uniform composition SiGe layer on an insulating layer like SiO 2 , adjacent a Si substrate.
  • FIGS. 6-8 are chronologically arranged cross-sectional views of MOSFET-related structures at various stages of an embodiment of a MOSFET fabrication process.
  • the completed embodiment of a MOSFET 900 is shown in FIG. 8B .
  • FIG. 6 illustrates an embodiment of a substrate 600 used as a base for fabrication of the embodiment of the MOSFET 900 .
  • the substrate 600 includes a relaxed SiGe layer 610 and a strained Si surface layer 640 .
  • the substrate 600 can provide a base for the fabrication of semiconductor devices, such as transistors.
  • the substrate 600 can be manufactured via epitaxial growth and polishing techniques, as described in commonly assigned U.S. Pat. No. 6,107,653 to Fitzgerald.
  • the relaxed SiGe substrate layer 610 can form the base substrate of many types of MOSFET devices.
  • the thin strained Si layer 640 can alternatively be a SiGe layer, a sequence of Si and SiGe layers, or other combination of thin epitaxial layers, as described above.
  • FIGS. 7A-B illustrate some fabrication steps of a gate contact for the MOSFET 900 .
  • FIG. 7A shows a cross-sectional view of a device at a stage of fabrication, chronologically following the stage of FIG. 6 .
  • regions of isolation portions 730 have been formed in the substrate 600 to provide a modified substrate 600 a.
  • a dielectric layer 710 and a polycrystalline semiconductor layer 720 have been formed on the substrate 600 a.
  • the polycrystalline semiconductor layer 720 can include silicon and germanium.
  • the isolation portion 730 preferably is deep enough to cross all channel layers of semiconductor material in the substrate 600 a, ending at least in the SiGe relaxed layer of the substrate 600 a.
  • the isolation portions 730 are absent or replaced by other isolation means.
  • one embodiment includes semi-recessed oxide isolation (S-ROX) portions.
  • S-ROX semi-recessed oxide isolation
  • a relatively high degree of planarity is preferably maintained between the upper surface of the substrate 600 a and the upper surface of the isolation portions 730 .
  • the dielectric layer 710 which provides a gate dielectric, is formed on the exposed surface of the substrate 600 , possibly consuming a portion of the surface of the strained-silicon layer 640 .
  • the dielectric layer 710 can be formed by various methods conventional in the art, e.g., via thermal oxidation of the surface of the substrate 600 or via a deposition technique.
  • the dielectric layer 710 can be, for example, a 15-100 ⁇ (1.5-10.0 nm) thick layer of silicon dioxide.
  • Other embodiments include other suitable dielectric materials, e.g., silicon oxynitride, silicon nitride, a plurality of silicon nitride and silicon oxide layers, or a high-k dielectric.
  • a polycrystalline semiconductor layer 720 is then deposited on the dielectric layer 710 , e.g., via chemical-vapor deposition.
  • the polycrystalline semiconductor layer 720 can be, for example, 1000 ⁇ (100 nm) thick.
  • the polycrystalline semiconductor layer 720 preferably has an upper portion including SiGe with a Ge content substantially identical to the Ge content of the relaxed SiGe layer 610 .
  • a proximal (lower) portion of the layer 720 has a different composition from that of the distal (upper) portion of the layer 720 .
  • the composition of the distal portion can be matched to the substrate layer 610 , while the portion in contact with the dielectric layer 710 can have a composition chosen, for example, for its compatibility with the dielectric material.
  • polycrystalline silicon is highly compatible with silicon dioxide dielectric, the interface between these two materials has a relatively very low electrical defect density.
  • FIG. 7B illustrates another stage in the production of the MOSFET 900 , related to some steps in the formation of a gate contact.
  • the dielectric layer 710 and the polycrystalline semiconductor layer 720 have been patterned to respectively form a gate dielectric 710 a and a gate contact semiconductor portion 720 a.
  • the patterning can be performed via methods conventional in the art, e.g., via photolithography and sputter etching.
  • Contact sidewall spacers 740 have been formed prior to formation of a source region 750 , and a drain region 760 .
  • the sidewall spacers 740 can provide isolation between the gate contact and source/drain regions 750 , 760 , and facilitate fabrication of self-aligned structures.
  • the spacers 740 can be formed via methods conventional in the art, e.g., via oxide deposition, thermal oxidation of polysilicon and/or nitride deposition.
  • the source region 750 and the drain region 760 include dopant, which extends across the interface between the silicon surface layer 640 and the SiGe relaxed layer in the further modified substrate 600 b.
  • the structures illustrated in FIG. 7B are fabricated as follows.
  • a layer of photosensitive polymer e.g., a Novolak-based photoresist, as known to one having ordinary skill in the semiconductor lithography arts
  • the polymer is exposed and developed to define a photo-mask having substantially vertical sidewalls.
  • the exposed portions of SiGe semiconductor layer 720 are sequentially etched in a directional mode to define the gate contact semiconductor portion 720 a.
  • the photo-mask is removed, e.g., via an O 2 plasma etch.
  • the sidewall spacers 740 are formed on the exposed sides of the gate contact semiconductor portion 720 a.
  • the sidewall spacers 740 can be formed using one of several known methods.
  • a preferred method is to deposit a layer of chemically vapor-deposited (CVD) oxide over the entire structure, and directionally etch the deposited layer so that it is removed from the horizontal surfaces of the substrate. During this removal step, exposed portions of the underlying dielectric layer 710 are also removed to define the gate dielectric 710 a.
  • CVD chemically vapor-deposited
  • the source region 750 and the drain region 760 are defined, for example, through ion implantation and drive-in anneal.
  • the diffusion regions can be formed using one of several techniques. For example, implantation of dopant ions to define the diffusion regions can use the gate contact and isolation regions 730 as an implantation mask.
  • FIG. 8A-B illustrate aspects of silicide formation for the MOSFET 900 .
  • FIG. 8A illustrates deposition of a metal layer 820 on the structures illustrated in FIG. 7B .
  • the metal layer 820 is formed during a single deposition step, and is adjacent to portions of both the substrate 600 b and the gate contact semiconductor portion 720 a.
  • the metal layer 820 preferably is approximately 8-20 nanometers (nm) in thickness.
  • FIG. 8B is a cross-sectional view of the completed embodiment of the MOSFET 900 .
  • the MOSFET 900 includes a gate contact silicide 920 , source contact silicide 950 and a drain contact silicide 960 .
  • the gate contact silicide 920 forms from reaction of a portion of the metal layer 820 with SiGe in the gate contact semiconductor portion 720 a.
  • the source and drain contacts 950 , 960 form from reaction of a portion of the metal layer 820 with Si and SiGe in the substrate 600 b, thus leaving a further modified substrate 600 c.
  • the metal layer 820 reacts with SiGe having similar or identical compositions in both the gate contact and in the substrate 600 b, providing common silicidation behavior and silicide formation in a single step.
  • an unreacted gate semiconductor portion 720 b can remain in the contact.
  • the gate contact semiconductor portion 720 a includes SiGe with a graded composition.
  • a distal portion of the gate contact semiconductor portion 720 a has a composition that matches the relaxed SiGe layer 610 , and a proximal portion, adjacent to the gate dielectric 710 a, that has a greater Ge content.
  • the greater Ge content can be substantially pure Ge.
  • a proximal portion of the gate contact semiconductor portion 720 a is substantially pure silicon.
  • This embodiment provides single-step silicidation of source, drain and gate, as well as a high quality gate contact/gate oxide interface, for example when the gate oxide 710 a is a silicon dioxide. It can also provide, for example, a gate contact work function that is similar/identical to conventional MOSFETS.

Abstract

A semiconductor device and a method for fabricating a semiconductor device involve a semiconductor layer that includes a first material and a second material. The first and second materials can be silicon and germanium. A contact of the device has a portion proximal to the semiconductor layer and a portion distal to the semiconductor layer. The distal portion includes the first material and the second material. A metal layer formed adjacent to the relaxed semiconductor layer and adjacent to the distal portion of the contact is simultaneously reacted with the relaxed semiconductor layer and with the distal portion of the contact to provide metallic contact material.

Description

  • This application is a divisional of U.S. patent application Ser. No. 13/342,589, filed on Jan. 3, 2012, entitled “Reacted Conductive Gate Electrodes and Methods of Making the Same,” which is a continuation of U.S. patent application Ser. No. 10/944,618, filed on Sep. 17, 2004, entitled “Reacted Conductive Gate Electrodes,” which is a continuation of U.S. patent application Ser. No. 10/179,079, filed Jun. 25, 2002, entitled “Reacted Conductive Gate Electrodes;” the entire disclosures of these applications are incorporated by reference herein.
  • TECHNICAL FIELD
  • The invention generally relates to semiconductor devices, and, more particularly, to the fabrication and structure of contacts in transistors.
  • BACKGROUND INFORMATION
  • Continuous improvements in the fabrication and design of semiconductor transistors used in integrated circuit devices have permitted ever greater area densities of transistors. Development of materials and processing for interconnects and contacts plays a large role in transistor development.
  • Materials and processes for forming conductive contacts and interconnects must accommodate, for example, dimensional requirements, processing temperatures, reliability needs and materials compatibility. Traditional aluminum-based interconnects have gradually given way to copper interconnects for fine dimensions; cobalt silicide and other metallic materials are gradually supplanting titanium silicide source and drain contacts and titanium silicide caps on doped polycrystalline silicon gate contacts.
  • Another avenue for improvement in device performance involves the use of newer types of substrates as replacements for traditional silicon wafers. For example, wafers that have a silicon-germanium (SiGe) layer can provide improved channel layer performance in a strained-silicon layer grown on the SiGe layer.
  • Mobility enhancements obtained from strained silicon, in combination with source-drain and gate silicidation techniques, provide the opportunity to increase speed, reduce power consumption, and provide the basis of other advances in microelectronics, such as analog and digital device integration.
  • The use of substrates that include a SiGe layer, however, can increase fabrication complexity relative to that for conventional silicon wafer-based devices. For example, formation of source and drain contacts through reaction of a metal layer, such as titanium or cobalt, with SiGe in the substrate has preferred process conditions that are different from silicide formation with relatively pure silicon.
  • SUMMARY OF THE INVENTION
  • The invention involves structures and fabrication methods for semiconductor devices that preferably have semiconductor layers including two materials in both a substrate and in a gate contact. The invention provides simplified processing for semiconductor devices, as well as improved semiconductor device structures that include compound and/or alloyed semiconductors in both contacts and substrates. The invention provides for simultaneous reaction of a metal layer to form reacted material contacts in the substrate and a reacted material upper portion of a gate contact. The metal layer exhibits a similar reaction behavior with the semiconductor layers in both the substrate and in the upper portion of the gate contact due to a similar composition ratio of the two materials in both semiconductor layers.
  • Preferred embodiments of the invention feature substrates and contacts that include one or more semiconductor alloy layers of silicon and germanium. Preferred embodiments include SiGe layers of similar composition in both a substrate and a contact; conductive portions are formed by simultaneously reacting the SiGe layers in the substrate and the contact with a metal layer. Thus, for example, source and drain contacts in a substrate can be formed simultaneously with formation of a conductive upper (distal) portion of a gate contact having a portion that includes the semiconductor alloy. In this way, some processing steps are rendered unnecessary during basic device fabrication.
  • Simplified processing can be achieved in part because reaction of a metal layer with the substrate and with a semiconductor layer in a gate contact need not be decoupled to accommodate, for example, SiGe in the substrate and polycrystalline silicon in the gate. Proper material selection provides substrates and gate contacts that have similar or identical silicide formation steps. Other benefits can arise, such as lower temperature processing for silicide formation.
  • In preferred embodiments, the invention features transistors that include a gate electrode including SiGe in at least an upper portion of a gate contact (i.e., electrode) and a strained-silicon channel layer on a relaxed SiGe layer in a substrate. By having SiGe in an upper portion of a gate electrode, the silicidation of gate and source-drain regions can be performed in a single process. A lower portion of the gate contact can have a different material composition, chosen to provide, for example, a desired electrical property and/or compatibility with a gate dielectric material.
  • Thus, in a first aspect, the invention features a method for fabricating a semiconductor device. The method includes provision of a semiconductor layer that includes a first material and a second material. The semiconductor layer can be an alloy of two or more materials. The first and second materials can be silicon and germanium.
  • The semiconductor layer can be or include a relaxed layer. The relaxed layer can be part of a substrate having a portion, adjacent to the relaxed layer, with a lattice spacing mismatched to that of the relaxed layer. For example, the relaxed layer can be grown on intermediate layers that accommodate mismatch to a silicon wafer. As another example, the relaxed layer can reside on an oxide layer.
  • The method also includes the formation of a contact that has a portion proximal to the relaxed semiconductor layer and a portion distal to the relaxed semiconductor layer. The distal portion includes the first material and the second material. A metal layer is formed adjacent to the relaxed semiconductor layer and adjacent to the distal portion of the contact. The metal layer is simultaneously reacted with the relaxed semiconductor layer and with the distal portion of the contact.
  • The silicon and germanium of the distal portion of the contact can have an atomic density ratio that is substantially the same as an atomic density ratio of the silicon and germanium of the relaxed semiconductor layer. The proximal portion of the contact can consist essentially of silicon or essentially of germanium. Alternatively the proximal portion can include silicon and germanium; the atomic density ratio of the silicon and germanium of the proximal portion can differ from the atomic density ratio of the silicon and germanium of the distal portion.
  • In a second aspect, the invention features a semiconductor device. The device includes a substrate that has a reacted conductive layer that comprises silicon, germanium and a metallic material. The metallic material can be, e.g., titanium, nickel or cobalt. The device also includes a contact that has a portion proximal to the substrate and a reacted conductive portion distal to the substrate. The reacted conductive distal portion of the contact includes silicon, germanium and the same metallic material as in the substrate reacted conductive layer. The substrate can further include a strained semiconductor layer, for example, of silicon, adjacent to the contact.
  • In a third aspect, the invention features a semiconductor device that includes a substrate that has a reacted conductive layer comprising a first material, a second material and a metallic material. The device includes a contact having a portion proximal to the substrate and a reacted conductive portion distal to the substrate, the distal portion comprising the first material, the second material and the metallic material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the drawings, like reference characters generally refer to the same parts throughout the different views. Also, the drawings are not necessarily to scale, emphasis instead generally being placed upon illustrating the principles of the invention.
  • FIG. 1 is a cross-sectional view of a conventional silicon-based MOSFET.
  • FIG. 2 is a cross-sectional view of an embodiment of a MOSFET that includes a strained-silicon channel layer on a SiGe layer.
  • FIG. 3 is a graph of sheet resistance versus anneal time.
  • FIG. 4 is a graph of sheet resistance versus anneal temperature.
  • FIG. 5 is a flowchart of an embodiment of a method of fabricating a semiconductor device.
  • FIG. 6 is a cross-sectional view of an embodiment of a substrate used for fabrication of an embodiment of a MOSFET.
  • FIGS. 7A and 7B are cross-sectional views of structures at intermediate steps during fabrication of an embodiment of a MOSFET, utilizing the substrate of FIG. 6.
  • FIGS. 8A and 8B are cross-sectional views of structures at fabrication steps that follow the steps illustrated in FIGS. 7A and 7B.
  • DESCRIPTION
  • Various features of the invention are well suited to application in metal-oxide-semiconductor (MOS) transistors having Si, Si1-xGex and/or Ge layers in and or on a substrate. The invention provides means to avoid separation of metal layer reaction with the substrate and metal layer reaction with a polycrystalline silicon portion of a gate contact. The invention further provides improved gate design in conjunction with the advantages of a substrate that includes a SiGe layer, among other advantages.
  • The term “MOS” is here used to refer generally to semiconductor devices that include a conductive gate spaced at least by an insulting layer from a semiconducting channel layer. The terms “SiGe” and “Si1-xGex” are here used interchangeably to refer to silicon-germanium alloys. The term “silicide” is here used to refer to a reaction product of a metal, silicon, and optionally other components, such as germanium. The term “silicide” is also used, less formally, to refer to the reaction product of a metal with an elemental semiconductor, a compound semiconductor or an alloy semiconductor.
  • First, with reference to FIG. 1, to provide a context for description of features of the invention, the structure and operation of a conventional MOSFET are described. FIG. 1 is cross-sectional view of a conventional silicon-based MOS field-effect transistor (MOSFET) 100. The transistor 100 includes a gate contact 120, a pair of spacers 150, a source 135, a source silicide contact 130, a gate oxide 126, a drain 145, a drain silicide contact 140 and a silicon substrate 110. The transistor 100 is electrically isolated from neighboring transistors by a shallow trench isolation (STI) portion 170.
  • The gate contact 120 includes a doped, conductive polycrystalline silicon (“polysilicon”) portion 124 proximal to the gate oxide 126 and a distal gate silicide portion 122. The source 135 and drain 145 include doped regions formed by implantation of dopant species into the substrate 110 and annealing, with the spacers 150 controlling the distribution of dopant beneath the gate oxide 126. The silicide contacts 130, 140 and gate silicide portion 122 provide good ohmic interfaces respectively to the source 135 and drain 145 and to the gate polysilicon portion 124.
  • The MOSFET 100 has a surface channel, which may be defined in physical or electrical terms. Physically, the surface channel is the p-type or n-type silicon that is adjacent to (i.e., below) the gate oxide 126 and which joins the source 135 and drain 145. Electrically, the channel is an inversion layer, which provides current flow between the source 135 and drain 145. Thus, from the electrical point of view, the presence and condition of the channel are variable.
  • FIG. 2 is a cross-sectional view of an embodiment of a MOSFET 200, which is distinguished from the MOSFET 100 of FIG. 1 by the inclusion of a strained-silicon channel layer on a SiGe layer. The following description of the structure of the MOSFET 200 is limited to features that are substantially different from features of the conventional MOSFET 100.
  • The MOSFET 200 includes a strained-silicon layer 220, a source 245, a drain 255, a source contact 240, a drain contact 250 and a substrate 210 that includes a relaxed SiGe layer (other embodiments include a strained-SiGe layer). The silicon layer 220 can be epitaxially grown on the substrate 210, and provides a surface channel for the MOSFET 200. The source and drain contacts 240, 250 can be formed by reacting a metal layer with portions of the substrate 210 and the silicon layer 220. The source 245 and the drain 255 can be formed by diffusing a dopant into the substrate 210 silicon layer 220. The source and drain contacts 240, 250 can be formed by depositing a metal layer and reacting the metal layer with a portion of the substrate 210, including, at least, portions of the silicon layer 220 and the relaxed SiGe layer.
  • Referring now to FIGS. 3 and 4, silicidation of silicon and SiGe are compared. Conventional processing methods would typically decouple the formation of the source and drain contacts 240, 250 and the formation of the distal (upper) gate silicide portion 122 due to the differing silicidation behavior of SiGe in the substrate 210 and the polysilicon in the gate contact with a metal layer. As described below, with reference to FIGS. 3 and 4, the silicide formation rate of silicon can be very different from the silicide formation rate of SiGe. While FIGS. 3 and 4 demonstrate differences in silicidation behavior between Si and SiGe after a single annealing step, it should be noted that silicidation processes can utilize multiple annealing steps, oftentimes with unreacted metal being stripped between anneals.
  • FIG. 3 is a graph showing two curves of the sheet resistance vs. anneal time for samples having a titanium metal layer on Si and on Si0.7Ge0.3 during reaction at 675° C. Point A indicates a typically desired interval of anneal time, between 100-150 seconds. At this time, the sheet resistance of the Si sample is more twice that of the Si0.7Ge0.3 sample. The sheet resistance of the Si sample eventually drops below that of the Si0.7Ge0.3 sample after more than 4 minutes of annealing. This time variable annealing behavior arises from the differing reaction rates for silicide formation for Ti with Si and with SiGe.
  • FIG. 4 shows a graph of the sheet resistance vs. anneal temperature for samples of the same material combinations as those in FIG. 3. The graph shows data taken at various time intervals, from 30 seconds to 5 minutes. Curves are shown for the sheet resistance vs. temperature after 2 minutes of reaction time.
  • Point B indicates a desirable anneal temperature of 675° C. The sheet resistance of the reacted Si sample is more twice that of the reacted Si0.7Ge0.3 sample for anneal times of approximately 2 minutes or less, again demonstrating the difference in the silicidation rates of Si and SiGe for desirable combinations of annealing time and temperature.
  • One method for overcoming the differences in silicidation rates of gate and source-drain regions is to decouple the gate silicide formation from the source-drain silicidation, as described in U.S. Pat. No. 4,755,478. The decoupled process for siliciding the source-drain regions and gate electrode regions of a SiGe substrate typically requires more processing steps due to separation of silicidation of polysilicon in a gate contact and silicidation of the source-drain contacts, each set of steps having different optimized conditions. Decoupling tends to increase the costs of the overall silicidation process.
  • The silicidation of Si can occur at a much higher temperature than silicidation of SiGe. Therefore, an optimized silicidation process involving a polysilicon gate can make the SiGe substrate layer vulnerable to, for example, interdiffusion, dislocation redistribution and silicide agglomeration. Features of the invention can remove a need for decoupling, and provide gate contacts and source/drain contacts with similar, optimized processing conditions. The invention can further provide processing at lower temperatures.
  • FIG. 5 is a flow diagram of an embodiment of a method 500 for fabricating a semiconductor device, according to principles of the invention. Fabrication can begin with provision of a silicon wafer (Step 510). A relaxed layer is provided (Step 520), and includes first and second materials, for example, silicon and germanium. For example, a substrate that includes a relaxed layer of SiGe, intermediate layers of SiGe and a silicon wafer can be provided; see, e.g., commonly assigned U.S. Pat. No. 6,107,653 to Fitzgerald.
  • A channel layer, for example, of silicon, can be provided adjacent to the relaxed layer (Step 530). For example, a surface channel silicon layer can be epitaxially grown on a SiGe relaxed layer. In some embodiments, a buried channel layer is provided adjacent to the relaxed layer. Some of these embodiments include one or more buried channel layers and a surface channel layer. The channel layers can include, for example Si, Ge or SiGe. The composition of a channel layer can be chosen in cooperation with the composition of a relaxed layer to provide a desired level of strain in the channel layer, as is conventional in the art.
  • The method 500 further includes formation of a contact adjacent to the relaxed layer (Step 550). The contact includes a portion that is proximal to the relaxed semiconductor layer and a portion that is distal to the relaxed semiconductor layer, i.e., lower and upper portions. The distal portion includes the first material and the second material, and preferably has substantially the same composition as the relaxed semiconductor layer. Similar compositions, for example, of SiGe, in the relaxed layer and in the upper portion of the contact enable similar optimized processing conditions for reaction of a metal layer with the relaxed layer and with the upper portion of the contact. Thus, reaction of a metal layer can proceed simultaneously for the substrate and for the contact.
  • Formation of the contact can commence with formation of a lower portion that includes Si, Ge or SiGe (Step 540). The distal portion can then be formed from SiGe having a different composition than the semiconductor of the proximal portion. The composition can vary abruptly or smoothly with position in the contact through the proximal and the distal portions. Thus, the composition of the proximal portion can be chosen, for example, for its electrical performance or compatibility with an adjacent gate dielectric, as described in below. The composition of the distal portion, in contrast, can be chosen to provide compatibility with the composition of the relaxed layer.
  • The method 500 further includes formation of a metal layer adjacent to the relaxed semiconductor layer and the distal portion of the contact (Step 560). The metal can include, for example, titanium, cobalt, nickel, platinum, molybdenum, tungsten and/or zirconium. The metal layer is simultaneously reacted with the substrate and with the distal portion of the contact (Step 570). In preferred embodiments, most of the metal layer that reacts with the substrate will react with the relaxed semiconductor layer, in part because any surface layers will be relatively thin. Thus, in these embodiments, the relaxed layer will dominate over other portions of the substrate in the reaction with the metal layer.
  • In the case of a substrate that includes a SiGe relaxed semiconductor layer, the above-described method permits the formation of source and drain silicide contacts in a substrate at the same time as formation of a distal germanium silicide portion of a gate contact. Both gate silicide and source and drain silicide can be formed under preferred time and temperature annealing conditions. Thus, rather than decoupling the formation of a conductive cap for the gate contact and formation of source and drain contacts, the invention facilitates simultaneous processing.
  • Various preferred embodiments of the invention utilize a substrate that includes a SiGe layer. The SiGe layer can be grown on a silicon wafer, and can include more than one layer of alloys of varying composition, thickness and growth conditions. Thus, the substrate can include a SiGe layer or multiple SiGe layers and/or SiGe layers having graded composition. In some embodiments, the SiGe layer includes a relaxed SiGe layer grown on intermediate SiGe layers that accommodate the lattice mismatch with a silicon wafer.
  • The substrate can include, for example, a silicon wafer, with the SiGe layers grown on the silicon wafer. In this case, an oxide layer can be present between the silicon wafer and the SiGe layer. Other types of wafers can be used as a base for a substrate, e.g., silicon-on-insulator
  • (SOI) wafers, germanium wafers, glass substrates and laminated substrates.
  • A channel layer can be a surface or a buried layer, and can be formed, for example, from silicon, germanium or a combination of silicon and germanium. For example, a buried channel layer can be a strained silicon layer epitaxially grown on a relaxed SiGe layer. Alternatively, the buried layer, can be germanium or can be SiGe having a composition that is different from the SiGe of the relaxed layer.
  • For example, the buried channel layer can have a composition of Si1-xGex while the relaxed layer has a composition of Si1-yGey. For x>y, the buried SiGe layer is under compressive stress, and thus exhibits a compressive strain. Thus, material combinations can be chosen to provide a p-channel MOSFET, preferably by providing a compressively strained SiGe buried layer, or an n-channel MOSFET, preferable by providing a tensilely strained silicon layer.
  • Some preferred embodiments of the invention use relaxed SiGe layers grown on silicon substrates. Silicon, germanium and SiGe layers can be grown via known epitaxial growth techniques. Growth of a buried layer of silicon, germanium or SiGe on a SiGe relaxed layer, or SiGe on silicon, enables production of buried layers of controlled stress and dislocation density. Examples of SiGe substrates, in which the Ge content can be up to 100%, include: a relaxed, uniform composition SiGe layer on a graded composition SiGe layer atop a Si substrate; a relaxed, uniform composition SiGe layer directly adjacent to a Si substrate; and a relaxed, uniform composition SiGe layer on an insulating layer like SiO2, adjacent a Si substrate.
  • Referring now to FIGS. 6-8, steps in an embodiment of a MOSFET fabrication process, and some preferred device structures, are described. FIGS. 6-8 are chronologically arranged cross-sectional views of MOSFET-related structures at various stages of an embodiment of a MOSFET fabrication process. The completed embodiment of a MOSFET 900 is shown in FIG. 8B.
  • FIG. 6 illustrates an embodiment of a substrate 600 used as a base for fabrication of the embodiment of the MOSFET 900. The substrate 600 includes a relaxed SiGe layer 610 and a strained Si surface layer 640. The substrate 600 can provide a base for the fabrication of semiconductor devices, such as transistors. The substrate 600 can be manufactured via epitaxial growth and polishing techniques, as described in commonly assigned U.S. Pat. No. 6,107,653 to Fitzgerald.
  • It will be appreciated by those skilled in the art that the relaxed SiGe substrate layer 610 can form the base substrate of many types of MOSFET devices. The thin strained Si layer 640 can alternatively be a SiGe layer, a sequence of Si and SiGe layers, or other combination of thin epitaxial layers, as described above.
  • FIGS. 7A-B illustrate some fabrication steps of a gate contact for the MOSFET 900. FIG. 7A shows a cross-sectional view of a device at a stage of fabrication, chronologically following the stage of FIG. 6. At this stage, regions of isolation portions 730 have been formed in the substrate 600 to provide a modified substrate 600 a. A dielectric layer 710 and a polycrystalline semiconductor layer 720 have been formed on the substrate 600 a. The polycrystalline semiconductor layer 720 can include silicon and germanium.
  • The isolation portion 730 preferably is deep enough to cross all channel layers of semiconductor material in the substrate 600 a, ending at least in the SiGe relaxed layer of the substrate 600 a. In other embodiments, the isolation portions 730 are absent or replaced by other isolation means. For example, one embodiment includes semi-recessed oxide isolation (S-ROX) portions. Generally, a relatively high degree of planarity is preferably maintained between the upper surface of the substrate 600 a and the upper surface of the isolation portions 730.
  • The dielectric layer 710, which provides a gate dielectric, is formed on the exposed surface of the substrate 600, possibly consuming a portion of the surface of the strained-silicon layer 640. The dielectric layer 710 can be formed by various methods conventional in the art, e.g., via thermal oxidation of the surface of the substrate 600 or via a deposition technique.
  • The dielectric layer 710 can be, for example, a 15-100 Å (1.5-10.0 nm) thick layer of silicon dioxide. Other embodiments include other suitable dielectric materials, e.g., silicon oxynitride, silicon nitride, a plurality of silicon nitride and silicon oxide layers, or a high-k dielectric.
  • A polycrystalline semiconductor layer 720 is then deposited on the dielectric layer 710, e.g., via chemical-vapor deposition. The polycrystalline semiconductor layer 720 can be, for example, 1000 Å (100 nm) thick.
  • The polycrystalline semiconductor layer 720 preferably has an upper portion including SiGe with a Ge content substantially identical to the Ge content of the relaxed SiGe layer 610. In some embodiments, a proximal (lower) portion of the layer 720 has a different composition from that of the distal (upper) portion of the layer 720. Thus, the composition of the distal portion can be matched to the substrate layer 610, while the portion in contact with the dielectric layer 710 can have a composition chosen, for example, for its compatibility with the dielectric material. For example, polycrystalline silicon is highly compatible with silicon dioxide dielectric, the interface between these two materials has a relatively very low electrical defect density.
  • FIG. 7B illustrates another stage in the production of the MOSFET 900, related to some steps in the formation of a gate contact. The dielectric layer 710 and the polycrystalline semiconductor layer 720 have been patterned to respectively form a gate dielectric 710 a and a gate contact semiconductor portion 720 a. The patterning can be performed via methods conventional in the art, e.g., via photolithography and sputter etching.
  • Contact sidewall spacers 740 have been formed prior to formation of a source region 750, and a drain region 760. The sidewall spacers 740 can provide isolation between the gate contact and source/ drain regions 750, 760, and facilitate fabrication of self-aligned structures. The spacers 740 can be formed via methods conventional in the art, e.g., via oxide deposition, thermal oxidation of polysilicon and/or nitride deposition.
  • The source region 750 and the drain region 760 include dopant, which extends across the interface between the silicon surface layer 640 and the SiGe relaxed layer in the further modified substrate 600 b.
  • For one embodiment of the MOSFET 900, the structures illustrated in FIG. 7B are fabricated as follows. A layer of photosensitive polymer (e.g., a Novolak-based photoresist, as known to one having ordinary skill in the semiconductor lithography arts) is coated on a polycrystalline-SiGe semiconductor layer 720. The polymer is exposed and developed to define a photo-mask having substantially vertical sidewalls. The exposed portions of SiGe semiconductor layer 720 are sequentially etched in a directional mode to define the gate contact semiconductor portion 720 a. The photo-mask is removed, e.g., via an O2 plasma etch.
  • The sidewall spacers 740 are formed on the exposed sides of the gate contact semiconductor portion 720 a. The sidewall spacers 740 can be formed using one of several known methods. A preferred method is to deposit a layer of chemically vapor-deposited (CVD) oxide over the entire structure, and directionally etch the deposited layer so that it is removed from the horizontal surfaces of the substrate. During this removal step, exposed portions of the underlying dielectric layer 710 are also removed to define the gate dielectric 710 a.
  • After the sidewall spacers 740 are formed, the source region 750 and the drain region 760 are defined, for example, through ion implantation and drive-in anneal. The diffusion regions can be formed using one of several techniques. For example, implantation of dopant ions to define the diffusion regions can use the gate contact and isolation regions 730 as an implantation mask.
  • FIG. 8A-B illustrate aspects of silicide formation for the MOSFET 900. FIG. 8A illustrates deposition of a metal layer 820 on the structures illustrated in FIG. 7B. The metal layer 820 is formed during a single deposition step, and is adjacent to portions of both the substrate 600 b and the gate contact semiconductor portion 720 a. The metal layer 820 preferably is approximately 8-20 nanometers (nm) in thickness.
  • FIG. 8B is a cross-sectional view of the completed embodiment of the MOSFET 900. Upon annealing of the structures shown in FIG. 8A, and removal of residual portions of the metal layer 820, self-aligned gate and source-drain silicide contacts are formed, as described below; the MOSFET 900 includes a gate contact silicide 920, source contact silicide 950 and a drain contact silicide 960.
  • The gate contact silicide 920 forms from reaction of a portion of the metal layer 820 with SiGe in the gate contact semiconductor portion 720 a. The source and drain contacts 950, 960 form from reaction of a portion of the metal layer 820 with Si and SiGe in the substrate 600 b, thus leaving a further modified substrate 600 c. The metal layer 820 reacts with SiGe having similar or identical compositions in both the gate contact and in the substrate 600 b, providing common silicidation behavior and silicide formation in a single step.
  • After reaction of the metal layer 820 with neighboring material, an unreacted gate semiconductor portion 720 b can remain in the contact. Some metals that can be used in the metal layer 820 are described in the following:
    • Titanium—can provide a good silicide on SiGe, but generally not well suited to short gate length polysilicon gates.
    • Cobalt—generally preferable relative to Ti for sub-quarter micrometer linewidths, but can lead to agglomeration problems in conjunction with SiGe having a Ge atomic fraction of greater than approximately 15%.
    • Nickel—generally works well with SiGe, at least for Ge atomic compositions of up to 45-50% or more, and consumes less silicon during silicidation than some other metals.
    • Platinum—generally works well with SiGe, at least for Ge atomic compositions of up to 45-50% or more.
    • Zirconium—can be used with SiGe.
    • Multilayered metals—stacked metal layers (e.g., Pt/Ni) can be used.
    • Alloys—can be used (e.g., NiPt).
  • In one embodiment of the MOSFET 900, the gate contact semiconductor portion 720 a includes SiGe with a graded composition. In this embodiment, a distal portion of the gate contact semiconductor portion 720 a has a composition that matches the relaxed SiGe layer 610, and a proximal portion, adjacent to the gate dielectric 710 a, that has a greater Ge content. The greater Ge content can be substantially pure Ge. This embodiment provides single-step silicidation of source, drain and gate with the further advantage of an improved work function due to the elevated Ge content at the interface with the gate dielectric 710 a.
  • In another embodiment, a proximal portion of the gate contact semiconductor portion 720 a is substantially pure silicon. This embodiment provides single-step silicidation of source, drain and gate, as well as a high quality gate contact/gate oxide interface, for example when the gate oxide 710 a is a silicon dioxide. It can also provide, for example, a gate contact work function that is similar/identical to conventional MOSFETS.
  • Variations, modifications, and other implementations of what is described herein will occur to those of ordinary skill in the art without departing from the spirit and the scope of the invention as claimed. Accordingly, the invention is to be defined not by the preceding illustrative description but instead by the spirit and scope of the following claims.

Claims (20)

What is claimed is:
1. A semiconductor structure comprising:
a strained channel region over a relaxed region of a substrate, the relaxed region comprising a first material and a second material;
a gate contact over the strained channel region, the gate contact having a distal portion and a proximal portion, the distal portion being distal from the strained channel region, the proximal portion being proximate the strained channel region, the distal portion comprising the first material and the second material, a content of the first material and the second material in the distal portion being different from a content of the first material and the second material in the proximal portion; and
a reacted conductive region on the distal portion, the reacted conductive region comprising the first material and the second material.
2. The semiconductor structure of claim 1, wherein the reacted conductive region further comprises a metal.
3. The semiconductor structure of claim 1 further comprising an additional reacted conductive region on the relaxed region, the additional reacted conductive region comprising the first material and the second material.
4. The semiconductor structure of claim 1, wherein the gate contact comprises a graded composition of the first material and the second material.
5. The semiconductor structure of claim 1, wherein the content of the first material and the second material in the distal portion is equal to a content of the first material and the second material in the relaxed region.
6. The semiconductor structure of claim 1, wherein the first material is silicon, and the second material is germanium.
7. The semiconductor structure of claim 1, wherein the relaxed region comprises a relaxed layer over a graded layer of the substrate.
8. A semiconductor structure comprising:
a strained layer over a relaxed region, the relaxed region comprising a first material and a second material;
a gate structure over the strained layer, the gate structure comprising a gate contact, the gate contact comprising a first portion proximate the strained layer and a second portion distal from the strained layer, the second portion comprising the first material and the second material, the gate contact further comprising a first metal reacted with the first material of the second portion and the second material of the second portion; and
a source/drain contact proximate the gate structure, the source/drain contact comprising a second metal reacted with the first material of the relaxed region and the second material of the relaxed region.
9. The semiconductor structure of claim 8, wherein the gate contact comprises a graded composition of the first material and the second material between the first portion and the second portion.
10. The semiconductor structure of claim 8, wherein the first material is silicon, and the second material is germanium.
11. The semiconductor structure of claim 8, wherein an atomic ratio of the first material and the second material in the relaxed region is substantially the same as an atomic ratio of the first material and the second material in the second portion of the gate contact.
12. The semiconductor structure of claim 8, wherein the first metal reacted with the first material of the second portion and the second material of the second portion is a same composition as the second metal reacted with the first material of the relaxed region and the second material of the relaxed region.
13. The semiconductor structure of claim 8, wherein the first metal and the second metal are a same metal.
14. The semiconductor structure of claim 8, wherein the first metal and the second metal comprise titanium, cobalt, nickel, platinum, zirconium, or a combination thereof.
15. A semiconductor structure comprising:
a gate structure comprising:
a dielectric layer, and
a gate contact over the dielectric layer, the gate contact comprising:
a first portion proximate the dielectric layer, the first portion being unreacted silicon, and
a second portion distal from the dielectric layer, the second portion comprising an alloy of silicon and germanium, the second portion comprising a first metal reacted with the alloy of silicon and germanium.
16. The semiconductor structure of claim 15 further comprising a strained layer over a relaxed region of a substrate, the gate structure being over the strained layer.
17. The semiconductor structure of claim 15 further comprising:
a substrate having a relaxed region comprising a second alloy of silicon and germanium, the gate structure being over the substrate; and
a source/drain contact proximate the gate structure, the source/drain contact comprising a second metal reacted with the second alloy of silicon and germanium in the relaxed region of the substrate.
18. The semiconductor structure of claim 15 further comprising:
a substrate comprising:
a relaxed layer comprising a second alloy of silicon and germanium, and
a strained layer over the relaxed layer, the gate structure being over the strained layer, the strained layer comprising a strained channel; and
a source/drain contact proximate the gate structure, the source/drain contact comprising a second metal reacted with the second alloy of silicon and germanium in the relaxed layer of the substrate.
19. The semiconductor structure of claim 15, wherein the gate contact comprises a graded composition of silicon and germanium between the first portion and the second portion.
20. The semiconductor structure of claim 15, wherein the first metal comprises titanium, cobalt, nickel, platinum, zirconium, or a combination thereof.
US14/320,065 2002-06-25 2014-06-30 Reacted conductive gate electrodes and methods of making the same Expired - Fee Related US9048167B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/320,065 US9048167B2 (en) 2002-06-25 2014-06-30 Reacted conductive gate electrodes and methods of making the same
US14/697,337 US9343539B2 (en) 2002-06-25 2015-04-27 Reacted conductive gate electrodes and methods of making the same
US15/137,517 US9812572B2 (en) 2002-06-25 2016-04-25 Reacted conductive gate electrodes and methods of making the same
US15/784,925 US10629735B2 (en) 2002-06-25 2017-10-16 Reacted conductive gate electrodes and methods of making the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/179,079 US6982474B2 (en) 2002-06-25 2002-06-25 Reacted conductive gate electrodes
US10/944,618 US8129821B2 (en) 2002-06-25 2004-09-17 Reacted conductive gate electrodes
US13/342,589 US8785315B2 (en) 2002-06-25 2012-01-03 Reacted conductive gate electrodes and methods of making the same
US14/320,065 US9048167B2 (en) 2002-06-25 2014-06-30 Reacted conductive gate electrodes and methods of making the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/342,589 Division US8785315B2 (en) 2002-06-25 2012-01-03 Reacted conductive gate electrodes and methods of making the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/697,337 Continuation US9343539B2 (en) 2002-06-25 2015-04-27 Reacted conductive gate electrodes and methods of making the same

Publications (2)

Publication Number Publication Date
US20140312389A1 true US20140312389A1 (en) 2014-10-23
US9048167B2 US9048167B2 (en) 2015-06-02

Family

ID=29734849

Family Applications (8)

Application Number Title Priority Date Filing Date
US10/179,079 Expired - Lifetime US6982474B2 (en) 2002-06-25 2002-06-25 Reacted conductive gate electrodes
US10/944,618 Expired - Fee Related US8129821B2 (en) 2002-06-25 2004-09-17 Reacted conductive gate electrodes
US11/073,976 Expired - Lifetime US7217603B2 (en) 2002-06-25 2005-03-07 Methods of forming reacted conductive gate electrodes
US13/342,589 Expired - Lifetime US8785315B2 (en) 2002-06-25 2012-01-03 Reacted conductive gate electrodes and methods of making the same
US14/320,065 Expired - Fee Related US9048167B2 (en) 2002-06-25 2014-06-30 Reacted conductive gate electrodes and methods of making the same
US14/697,337 Expired - Lifetime US9343539B2 (en) 2002-06-25 2015-04-27 Reacted conductive gate electrodes and methods of making the same
US15/137,517 Expired - Lifetime US9812572B2 (en) 2002-06-25 2016-04-25 Reacted conductive gate electrodes and methods of making the same
US15/784,925 Expired - Lifetime US10629735B2 (en) 2002-06-25 2017-10-16 Reacted conductive gate electrodes and methods of making the same

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US10/179,079 Expired - Lifetime US6982474B2 (en) 2002-06-25 2002-06-25 Reacted conductive gate electrodes
US10/944,618 Expired - Fee Related US8129821B2 (en) 2002-06-25 2004-09-17 Reacted conductive gate electrodes
US11/073,976 Expired - Lifetime US7217603B2 (en) 2002-06-25 2005-03-07 Methods of forming reacted conductive gate electrodes
US13/342,589 Expired - Lifetime US8785315B2 (en) 2002-06-25 2012-01-03 Reacted conductive gate electrodes and methods of making the same

Family Applications After (3)

Application Number Title Priority Date Filing Date
US14/697,337 Expired - Lifetime US9343539B2 (en) 2002-06-25 2015-04-27 Reacted conductive gate electrodes and methods of making the same
US15/137,517 Expired - Lifetime US9812572B2 (en) 2002-06-25 2016-04-25 Reacted conductive gate electrodes and methods of making the same
US15/784,925 Expired - Lifetime US10629735B2 (en) 2002-06-25 2017-10-16 Reacted conductive gate electrodes and methods of making the same

Country Status (3)

Country Link
US (8) US6982474B2 (en)
AU (1) AU2003278428A1 (en)
WO (1) WO2004001825A1 (en)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
JP3597831B2 (en) * 2002-07-01 2004-12-08 株式会社東芝 Field effect transistor and method of manufacturing the same
US6756276B1 (en) * 2002-09-30 2004-06-29 Advanced Micro Devices, Inc. Strained silicon MOSFET having improved source/drain extension dopant diffusion resistance and method for its fabrication
EP1602125B1 (en) * 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
JP2005005510A (en) * 2003-06-12 2005-01-06 Toshiba Corp Semiconductor device and method of manufacturing the same
US20050045949A1 (en) * 2003-08-28 2005-03-03 Chun-Chieh Lin Ultra-thin body transistor with recessed silicide contacts
US7256465B2 (en) * 2004-01-21 2007-08-14 Sharp Laboratories Of America, Inc. Ultra-shallow metal oxide surface channel MOS transistor
US7351994B2 (en) * 2004-01-21 2008-04-01 Taiwan Semiconductor Manufacturing Company Noble high-k device
US7449782B2 (en) * 2004-05-04 2008-11-11 International Business Machines Corporation Self-aligned metal to form contacts to Ge containing substrates and structure formed thereby
US7150516B2 (en) 2004-09-28 2006-12-19 Hewlett-Packard Development Company, L.P. Integrated circuit and method for manufacturing
JP4426988B2 (en) * 2005-03-09 2010-03-03 富士通マイクロエレクトロニクス株式会社 Method for manufacturing p-channel MOS transistor
US7892905B2 (en) * 2005-08-02 2011-02-22 Globalfoundries Singapore Pte. Ltd. Formation of strained Si channel and Si1-xGex source/drain structures using laser annealing
US7265004B2 (en) * 2005-11-14 2007-09-04 Freescale Semiconductor, Inc. Electronic devices including a semiconductor layer and a process for forming the same
TW200725707A (en) * 2005-12-30 2007-07-01 Ind Tech Res Inst Method for forming titanium silicide upon a semiconductor device with lower source/drain sheet resistance
US7544584B2 (en) * 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
US7795661B2 (en) * 2006-03-07 2010-09-14 International Business Machines Corporation Vertical SOI transistor memory cell
US7618891B2 (en) * 2006-05-01 2009-11-17 International Business Machines Corporation Method for forming self-aligned metal silicide contacts
US7595233B2 (en) * 2006-06-01 2009-09-29 International Business Machines Corporation Gate stress engineering for MOSFET
US7629220B2 (en) * 2006-06-30 2009-12-08 Freescale Semiconductor, Inc. Method for forming a semiconductor device and structure thereof
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US8962447B2 (en) * 2006-08-03 2015-02-24 Micron Technology, Inc. Bonded strained semiconductor with a desired surface orientation and conductance direction
US7968960B2 (en) 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US7800182B2 (en) * 2006-11-20 2010-09-21 Infineon Technologies Ag Semiconductor devices having pFET with SiGe gate electrode and embedded SiGe source/drain regions and methods of making the same
US7897493B2 (en) * 2006-12-08 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Inducement of strain in a semiconductor layer
US7528045B2 (en) * 2007-01-31 2009-05-05 United Microelectronics Corp. MOS transistor and manufacturing methods thereof
JP5117740B2 (en) * 2007-03-01 2013-01-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7772651B2 (en) * 2008-01-11 2010-08-10 International Business Machines Corporation Semiconductor-on-insulator high-voltage device structures, methods of fabricating such device structures, and design structures for high-voltage circuits
US7790524B2 (en) * 2008-01-11 2010-09-07 International Business Machines Corporation Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures
US7786535B2 (en) * 2008-01-11 2010-08-31 International Business Machines Corporation Design structures for high-voltage integrated circuits
US7790543B2 (en) * 2008-01-11 2010-09-07 International Business Machines Corporation Device structures for a metal-oxide-semiconductor field effect transistor and methods of fabricating such device structures
US8293631B2 (en) * 2008-03-13 2012-10-23 International Business Machines Corporation Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
US9379011B2 (en) * 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US20100181626A1 (en) 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
KR101096980B1 (en) * 2009-02-04 2011-12-20 주식회사 하이닉스반도체 Method for Manufacturing Semiconductor Device
CN102468164B (en) 2010-10-29 2014-10-08 中国科学院微电子研究所 Transistor and manufacture method thereof
US20120119302A1 (en) 2010-11-11 2012-05-17 International Business Machines Corporation Trench Silicide Contact With Low Interface Resistance
US8288758B2 (en) * 2010-12-02 2012-10-16 International Business Machines Corporation SOI SiGe-base lateral bipolar junction transistor
FR2989517B1 (en) 2012-04-12 2015-01-16 Commissariat Energie Atomique RESUME OF CONTACT ON HETEROGENE SEMICONDUCTOR SUBSTRATE
CN103489777A (en) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 Stress memory technology method
US9978868B2 (en) * 2015-11-16 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance field effect transistor with charged dielectric material
US10978548B2 (en) * 2016-11-10 2021-04-13 Texas Instruments Incorporated Integrated capacitor with sidewall having reduced roughness
US10438999B2 (en) * 2017-12-29 2019-10-08 Spin Memory, Inc. Annular vertical Si etched channel MOS devices
US10985076B2 (en) 2018-08-24 2021-04-20 International Business Machines Corporation Single metallization scheme for gate, source, and drain contact integration
US11502106B2 (en) * 2020-02-11 2022-11-15 Globalfoundries U.S. Inc. Multi-layered substrates of semiconductor devices

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes

Family Cites Families (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US52084A (en) * 1866-01-16 Improved hay and cotton press
US4010045A (en) 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
JPH0656887B2 (en) 1982-02-03 1994-07-27 株式会社日立製作所 Semiconductor device and manufacturing method thereof
US4522662A (en) 1983-08-12 1985-06-11 Hewlett-Packard Company CVD lateral epitaxial growth of silicon over insulators
US4649859A (en) 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
US4803539A (en) 1985-03-29 1989-02-07 International Business Machines Corporation Dopant control of metal silicide formation
JPS6292361A (en) 1985-10-17 1987-04-27 Toshiba Corp Complementary type semiconductor device
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US4717681A (en) 1986-05-19 1988-01-05 Texas Instruments Incorporated Method of making a heterojunction bipolar transistor with SIPOS
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4749441A (en) 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US4987462A (en) 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4755478A (en) 1987-08-13 1988-07-05 International Business Machines Corporation Method of forming metal-strapped polysilicon gate electrode for FET device
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3816358A1 (en) 1988-05-13 1989-11-23 Eurosil Electronic Gmbh NON-VOLATILE STORAGE CELL AND METHOD FOR THE PRODUCTION THEREOF
US5198689A (en) 1988-11-30 1993-03-30 Fujitsu Limited Heterojunction bipolar transistor
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5217923A (en) 1989-02-13 1993-06-08 Kabushiki Kaisha Toshiba Method of fabricating a semiconductor device having silicided source/drain regions
US4997776A (en) 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
FR2645345A1 (en) 1989-03-31 1990-10-05 Thomson Csf METHOD FOR DIRECT MODULATION OF THE COMPOSITION OR DOPING OF SEMICONDUCTORS, IN PARTICULAR FOR THE PRODUCTION OF ELECTRONIC MONOLITHIC COMPONENTS OF THE PLANAR TYPE, USE AND CORRESPONDING PRODUCTS
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5108946A (en) 1989-05-19 1992-04-28 Motorola, Inc. Method of forming planar isolation regions
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
EP0445475B1 (en) 1990-02-20 1998-08-26 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
JPH0444328A (en) 1990-06-11 1992-02-14 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US5158907A (en) 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5034348A (en) 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
JPH0691249B2 (en) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Modulation-doped MISFET and manufacturing method thereof
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5243207A (en) 1991-03-15 1993-09-07 Texas Instruments Incorporated Method to integrate HBTs and FETs
US5091767A (en) 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5212112A (en) 1991-05-23 1993-05-18 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5208182A (en) 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5334861A (en) 1992-05-19 1994-08-02 Motorola Inc. Semiconductor memory cell
US5212110A (en) 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP3286921B2 (en) 1992-10-09 2002-05-27 富士通株式会社 Silicon substrate compound semiconductor device
JPH06140624A (en) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The Schottky junction element
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5426316A (en) 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5444302A (en) * 1992-12-25 1995-08-22 Hitachi, Ltd. Semiconductor device including multi-layer conductive thin film of polycrystalline material
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
JP3093904B2 (en) 1993-02-16 2000-10-03 富士通株式会社 Method for growing compound semiconductor crystal
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
JP3036717B2 (en) 1993-06-17 2000-04-24 シャープ株式会社 Converter circuit
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (en) 1993-09-20 1995-04-07 Fujitsu Ltd Manufacture of compound semiconductor crystal substrate
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
JP3514500B2 (en) 1994-01-28 2004-03-31 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP2669368B2 (en) 1994-03-16 1997-10-27 日本電気株式会社 Method for manufacturing compound semiconductor laminated structure on Si substrate
US5571373A (en) 1994-05-18 1996-11-05 Memc Electronic Materials, Inc. Method of rough polishing semiconductor wafers to reduce surface roughness
US5496771A (en) 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US6218677B1 (en) 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
CA2135508C (en) 1994-11-09 1998-11-03 Robert J. Lyn Method for forming solder balls on a semiconductor substrate
JP3761918B2 (en) 1994-09-13 2006-03-29 株式会社東芝 Manufacturing method of semiconductor device
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
US5496750A (en) 1994-09-19 1996-03-05 Texas Instruments Incorporated Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5633202A (en) 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
US5976939A (en) 1995-07-03 1999-11-02 Intel Corporation Low damage doping technique for self-aligned source and drain regions
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
US5714413A (en) 1995-12-11 1998-02-03 Intel Corporation Method of making a transistor having a deposited dual-layer spacer structure
DE69609313T2 (en) 1995-12-15 2001-02-01 Koninkl Philips Electronics Nv SEMICONDUCTOR FIELD EFFECT ARRANGEMENT WITH A SIGE LAYER
FR2742924B1 (en) 1995-12-22 1998-03-20 Jorge Luis Regolini METHOD FOR THE SELECTIVE DEPOSITION OF A REFRACTORY METAL SILICIDE ON SILICON AND METALLIC SILICON WAFER BY THIS PROCESS
JPH09205152A (en) 1996-01-25 1997-08-05 Sony Corp Cmos semiconductor device of two-layer gate electrode structure and its manufacture
JP3734559B2 (en) 1996-03-15 2006-01-11 富士通株式会社 Manufacturing method of semiconductor device
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
JPH1041400A (en) 1996-07-26 1998-02-13 Sony Corp Semiconductor device and manufacture thereof
US6191432B1 (en) 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
DE59707274D1 (en) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrated CMOS circuit arrangement and method for its production
JP3461274B2 (en) 1996-10-16 2003-10-27 株式会社東芝 Semiconductor device
US6140687A (en) 1996-11-28 2000-10-31 Matsushita Electric Industrial Co., Ltd. High frequency ring gate MOSFET
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
JPH10242081A (en) 1996-12-26 1998-09-11 Sony Corp Manufacture of semiconductor device
JPH10209293A (en) 1997-01-22 1998-08-07 Sony Corp Manufacture of semiconductor device
US20020019127A1 (en) 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
JPH10270685A (en) 1997-03-27 1998-10-09 Sony Corp Field-effect transistor and manufacture thereof, semiconductor device and manufacture thereof and logic circuit containing semiconductor device thereof and semiconductor substrate
EP0867701A1 (en) 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US6030887A (en) 1998-02-26 2000-02-29 Memc Electronic Materials, Inc. Flattening process for epitaxial semiconductor wafers
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6373088B2 (en) 1997-06-16 2002-04-16 Texas Instruments Incorporated Edge stress reduction by noncoincident layers
KR100400808B1 (en) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
FR2765395B1 (en) 1997-06-30 1999-09-03 Sgs Thomson Microelectronics METHOD FOR PRODUCING A GRID OF MOS TRANSISTORS WITH A HIGH GERMANIUM CONTENT
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5933741A (en) 1997-08-18 1999-08-03 Vanguard International Semiconductor Corporation Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US6033995A (en) 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6242327B1 (en) 1997-09-19 2001-06-05 Fujitsu Limited Compound semiconductor device having a reduced source resistance
US6316357B1 (en) 1997-10-08 2001-11-13 Industrial Technology Research Institute Method for forming metal silicide by laser irradiation
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (en) 1997-12-10 2003-09-16 株式会社東芝 Nonvolatile semiconductor memory and data reading method
EP0926739A1 (en) * 1997-12-24 1999-06-30 Texas Instruments Incorporated A structure of and method for forming a mis field effect transistor
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
JP3762221B2 (en) 1998-04-10 2006-04-05 マサチューセッツ・インスティテュート・オブ・テクノロジー Silicon germanium etch stop layer system
JP3219051B2 (en) 1998-05-08 2001-10-15 日本電気株式会社 Method for manufacturing semiconductor device
JP4258034B2 (en) 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US6372356B1 (en) 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3403076B2 (en) 1998-06-30 2003-05-06 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2000031491A (en) 1998-07-14 2000-01-28 Hitachi Ltd Semiconductor device, its manufacture, semiconductor substrate and its manufacture
US6344375B1 (en) 1998-07-28 2002-02-05 Matsushita Electric Industrial Co., Ltd Substrate containing compound semiconductor, method for manufacturing the same and semiconductor device using the same
US6335546B1 (en) 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6271590B1 (en) * 1998-08-21 2001-08-07 Micron Technology, Inc. Graded layer for use in semiconductor circuits and method for making same
US6326281B1 (en) 1998-09-23 2001-12-04 Texas Instruments Incorporated Integrated circuit isolation
JP2000124325A (en) 1998-10-16 2000-04-28 Nec Corp Semiconductor device and manufacture thereof
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6329063B2 (en) 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
DE19859429A1 (en) 1998-12-22 2000-06-29 Daimler Chrysler Ag Process for the production of epitaxial silicon germanium layers
US6369438B1 (en) 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
JP3592981B2 (en) 1999-01-14 2004-11-24 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
AU3346000A (en) 1999-01-15 2000-08-01 Regents Of The University Of California, The Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6133124A (en) 1999-02-05 2000-10-17 Advanced Micro Devices, Inc. Device improvement by source to drain resistance lowering through undersilicidation
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
US6315384B1 (en) 1999-03-08 2001-11-13 Hewlett-Packard Company Thermal inkjet printhead and high-efficiency polycrystalline silicon resistor system for use therein
US6350993B1 (en) 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6187657B1 (en) 1999-03-24 2001-02-13 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6429124B1 (en) 1999-04-14 2002-08-06 Micron Technology, Inc. Local interconnect structures for integrated circuits and methods for making the same
US6251755B1 (en) 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
KR100396692B1 (en) 1999-06-16 2003-09-02 주식회사 하이닉스반도체 Method for Manufacturing of Semiconductor device
TW591132B (en) 1999-06-17 2004-06-11 Taiwan Semiconductor Mfg Method of growing SiGe epitaxy
DE60042045D1 (en) 1999-06-22 2009-06-04 Panasonic Corp Heterojunction bipolar transistors and corresponding manufacturing methods
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
KR100332108B1 (en) 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
KR100332106B1 (en) 1999-06-29 2002-04-10 박종섭 Method of manufacturing a transistor in a semiconductor device
KR100301246B1 (en) 1999-06-30 2001-11-01 박종섭 Method of manufacturing a semiconductor device
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
JP2001036054A (en) 1999-07-19 2001-02-09 Mitsubishi Electric Corp Manufacture of soi substrate
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6876053B1 (en) 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
US6204529B1 (en) 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6235567B1 (en) 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
KR100307636B1 (en) 1999-10-07 2001-11-02 윤종용 FET device having elevated source/drain and method for fabricating with partial facet control
US6249022B1 (en) 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6096647A (en) 1999-10-25 2000-08-01 Chartered Semiconductor Manufacturing Ltd. Method to form CoSi2 on shallow junction by Si implantation
US6287913B1 (en) 1999-10-26 2001-09-11 International Business Machines Corporation Double polysilicon process for providing single chip high performance logic and compact embedded memory structure
US6591321B1 (en) 1999-11-09 2003-07-08 International Business Machines Corporation Multiprocessor system bus protocol with group addresses, responses, and priorities
US6690043B1 (en) 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
KR100327596B1 (en) 1999-12-31 2002-03-15 박종섭 Method for fabricating contact plug of semiconductor device using Selective Epitaxial Growth of silicon process
US6352909B1 (en) 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6271726B1 (en) 2000-01-10 2001-08-07 Conexant Systems, Inc. Wideband, variable gain amplifier
US6294448B1 (en) 2000-01-18 2001-09-25 Taiwan Semiconductor Manufacturing Company Method to improve TiSix salicide formation
US20020030227A1 (en) 2000-01-20 2002-03-14 Bulsara Mayank T. Strained-silicon diffused metal oxide semiconductor field effect transistors
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6316301B1 (en) 2000-03-08 2001-11-13 Sun Microsystems, Inc. Method for sizing PMOS pull-up devices
JP4698793B2 (en) 2000-04-03 2011-06-08 ルネサスエレクトロニクス株式会社 Semiconductor device
US6362071B1 (en) 2000-04-05 2002-03-26 Motorola, Inc. Method for forming a semiconductor device with an opening in a dielectric layer
US6306698B1 (en) 2000-04-25 2001-10-23 Advanced Micro Devices, Inc. Semiconductor device having metal silicide regions of differing thicknesses above the gate electrode and the source/drain regions, and method of making same
US6268257B1 (en) 2000-04-25 2001-07-31 Advanced Micro Devices, Inc. Method of forming a transistor having a low-resistance gate electrode
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
DE10025264A1 (en) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Field effect transistor based on embedded cluster structures and method for its production
JP2001338988A (en) 2000-05-25 2001-12-07 Hitachi Ltd Semiconductor device and its manufacturing method
US6573160B2 (en) 2000-05-26 2003-06-03 Motorola, Inc. Method of recrystallizing an amorphous region of a semiconductor
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6313486B1 (en) 2000-06-15 2001-11-06 Board Of Regents, The University Of Texas System Floating gate transistor having buried strained silicon germanium channel layer
JP2003536273A (en) 2000-06-22 2003-12-02 マサチューセッツ インスティテュート オブ テクノロジー Etch stop layer system
US6406986B1 (en) 2000-06-26 2002-06-18 Advanced Micro Devices, Inc. Fabrication of a wide metal silicide on a narrow polysilicon gate structure
US7503975B2 (en) 2000-06-27 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method therefor
KR100407684B1 (en) 2000-06-28 2003-12-01 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
US6583015B2 (en) 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
WO2002015244A2 (en) 2000-08-16 2002-02-21 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US6420937B1 (en) 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
JP2002076334A (en) 2000-08-30 2002-03-15 Hitachi Ltd Semiconductor device and manufacturing method therefor
SG136795A1 (en) * 2000-09-14 2007-11-29 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US6524935B1 (en) 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
JP3998408B2 (en) * 2000-09-29 2007-10-24 株式会社東芝 Semiconductor device and manufacturing method thereof
US6503833B1 (en) 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
DE10056871B4 (en) 2000-11-16 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Improved gate contact field effect transistor and method of making the same
JP2002164520A (en) 2000-11-27 2002-06-07 Shin Etsu Handotai Co Ltd Method for manufacturing semiconductor wafer
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
WO2002047168A2 (en) 2000-12-04 2002-06-13 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
JP2003086708A (en) 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US6674102B2 (en) 2001-01-25 2004-01-06 International Business Machines Corporation Sti pull-down to control SiGe facet growth
US6410371B1 (en) * 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
JP2004531054A (en) 2001-03-02 2004-10-07 アンバーウェーブ システムズ コーポレイション Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002071491A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002071488A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6677192B1 (en) 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6593641B1 (en) * 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
DE10114243B4 (en) 2001-03-22 2004-07-29 Heraeus Kulzer Gmbh & Co. Kg Process for the production of a prosthesis and prosthesis material and its use
JP2002289533A (en) 2001-03-26 2002-10-04 Kentaro Sawano Method for polishing surface of semiconductor, method for fabricating semiconductor device and semiconductor device
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
WO2002082514A1 (en) 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
JP4211236B2 (en) 2001-04-25 2009-01-21 株式会社Sumco Iron silicide film forming method, semiconductor wafer, and optical semiconductor device
US6555880B2 (en) 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US6855649B2 (en) 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
WO2002103760A2 (en) 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US6709929B2 (en) 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
US6717213B2 (en) 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
US6730551B2 (en) 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6933518B2 (en) 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
KR100455725B1 (en) 2001-10-08 2004-11-12 주식회사 하이닉스반도체 Method for forming plug in semiconductor device
JP3952735B2 (en) 2001-10-25 2007-08-01 ソニー株式会社 Manufacturing method of semiconductor device
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6649492B2 (en) 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US6562703B1 (en) 2002-03-13 2003-05-13 Sharp Laboratories Of America, Inc. Molecular hydrogen implantation method for forming a relaxed silicon germanium layer with high germanium content
AU2003222003A1 (en) 2002-03-14 2003-09-29 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US7494901B2 (en) 2002-04-05 2009-02-24 Microng Technology, Inc. Methods of forming semiconductor-on-insulator constructions
US6743651B2 (en) 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7615829B2 (en) 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6887773B2 (en) 2002-06-19 2005-05-03 Luxtera, Inc. Methods of incorporating germanium within CMOS process
FR2842349B1 (en) 2002-07-09 2005-02-18 TRANSFERRING A THIN LAYER FROM A PLATE COMPRISING A BUFFER LAYER
US7473947B2 (en) 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
US6828632B2 (en) 2002-07-18 2004-12-07 Micron Technology, Inc. Stable PD-SOI devices and methods
US6699765B1 (en) 2002-08-29 2004-03-02 Micrel, Inc. Method of fabricating a bipolar transistor using selective epitaxially grown SiGe base layer
US6787864B2 (en) 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6657223B1 (en) 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6703648B1 (en) 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6855990B2 (en) 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6818938B1 (en) 2002-12-10 2004-11-16 National Semiconductor Corporation MOS transistor and method of forming the transistor with a channel region in a layer of composite material
US7012314B2 (en) 2002-12-18 2006-03-14 Agere Systems Inc. Semiconductor devices with reduced active region defects and unique contacting schemes
US7122395B2 (en) 2002-12-23 2006-10-17 Motorola, Inc. Method of forming semiconductor devices through epitaxy
US20040119101A1 (en) 2002-12-23 2004-06-24 Gerhard Schrom Contact layout for MOSFETs under tensile strain
US7001837B2 (en) 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
US6909186B2 (en) 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6974733B2 (en) 2003-06-16 2005-12-13 Intel Corporation Double-gate transistor with enhanced carrier mobility
JP2005011915A (en) 2003-06-18 2005-01-13 Hitachi Ltd Semiconductor device, semiconductor circuit module and its manufacturing method
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US20050112048A1 (en) 2003-11-25 2005-05-26 Loucas Tsakalakos Elongated nano-structures and related devices
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
JP2007535147A (en) 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド In situ doped epitaxial film
US7172933B2 (en) 2004-06-10 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed polysilicon gate structure for a strained silicon MOSFET device
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7495266B2 (en) 2004-06-16 2009-02-24 Massachusetts Institute Of Technology Strained silicon-on-silicon by wafer bonding and layer transfer
KR100642747B1 (en) 2004-06-22 2006-11-10 삼성전자주식회사 Fabricating method of CMOS transistor and CMOS transistor fabricated by the same method
US20090050972A1 (en) * 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
FR2979480B1 (en) * 2011-08-25 2013-09-27 Commissariat Energie Atomique METHOD FOR PRODUCING A CONSTRAINED TRANSISTOR DEVICE BY SILICIURING THE SOURCE AND DRAIN AREAS

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US8129821B2 (en) * 2002-06-25 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reacted conductive gate electrodes

Also Published As

Publication number Publication date
US10629735B2 (en) 2020-04-21
US20030234439A1 (en) 2003-12-25
US6982474B2 (en) 2006-01-03
AU2003278428A1 (en) 2004-01-06
US8785315B2 (en) 2014-07-22
WO2004001825A1 (en) 2003-12-31
US20120098054A1 (en) 2012-04-26
US20150243752A1 (en) 2015-08-27
US9812572B2 (en) 2017-11-07
US20160240676A1 (en) 2016-08-18
US8129821B2 (en) 2012-03-06
US7217603B2 (en) 2007-05-15
US20180053852A1 (en) 2018-02-22
US9343539B2 (en) 2016-05-17
US20050156210A1 (en) 2005-07-21
US9048167B2 (en) 2015-06-02
US20050042849A1 (en) 2005-02-24

Similar Documents

Publication Publication Date Title
US10629735B2 (en) Reacted conductive gate electrodes and methods of making the same
US9793373B2 (en) Field effect transistor structure with abrupt source/drain junctions
US7372099B2 (en) Semiconductor device and its manufacturing method
JP5305907B2 (en) High performance MOSFET including stressed gate metal silicide layer and method of manufacturing the same
US6653700B2 (en) Transistor structure and method of fabrication
KR100223729B1 (en) Method of manufacturing salicide semiconductor device
US7397091B2 (en) SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
US6048756A (en) Method for making a silicon-on-insulator MOS transistor using a selective SiGe epitaxy
US7545006B2 (en) CMOS devices with graded silicide regions
US7741220B2 (en) Semiconductor device and manufacturing method thereof
US6190976B1 (en) Fabrication method of semiconductor device using selective epitaxial growth
JPH0870053A (en) Manufacture of semiconductor device
US20070045610A1 (en) Transistor device with strained germanium (Ge) layer by selectively growth and fabricating method thereof
US10770586B2 (en) Stressing structure with low hydrogen content layer over NiSi salicide
JP2008108875A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20230602