US20150023115A1 - Compensation scheme for non-volatile memory - Google Patents

Compensation scheme for non-volatile memory Download PDF

Info

Publication number
US20150023115A1
US20150023115A1 US14/506,610 US201414506610A US2015023115A1 US 20150023115 A1 US20150023115 A1 US 20150023115A1 US 201414506610 A US201414506610 A US 201414506610A US 2015023115 A1 US2015023115 A1 US 2015023115A1
Authority
US
United States
Prior art keywords
memory cell
bit line
memory
voltage
bit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/506,610
Other versions
US8934295B1 (en
Inventor
Yingchang Chen
Pankaj Kalra
Chandrasekhar Gorla
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk 3D LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk 3D LLC filed Critical SanDisk 3D LLC
Priority to US14/506,610 priority Critical patent/US8934295B1/en
Assigned to SANDISK 3D LLC reassignment SANDISK 3D LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GORLA, CHANDRASEKHAR, KALRA, PANKAJ, CHEN, YINGCHANG
Application granted granted Critical
Publication of US8934295B1 publication Critical patent/US8934295B1/en
Publication of US20150023115A1 publication Critical patent/US20150023115A1/en
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK 3D LLC.
Assigned to SANDISK TECHNOLOGIES INC. reassignment SANDISK TECHNOLOGIES INC. CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: SANDISK 3D LLC
Assigned to SANDISK TECHNOLOGIES LLC reassignment SANDISK TECHNOLOGIES LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SANDISK TECHNOLOGIES INC
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/12Bit line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, equalising circuits, for bit lines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0023Address circuits or decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0038Power supply circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0069Writing or programming circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5678Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using amorphous/crystalline phase transition storage elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0069Writing or programming circuits or methods
    • G11C2013/0076Write operation performed depending on read result

Definitions

  • Non-volatile memory devices e.g., a flash memory device
  • Non-volatile memory devices typically include two-dimensional arrays of non-volatile memory cells. The memory cells within a two-dimensional array form a single layer of memory cells and may be selected via control lines in the X and Y directions.
  • Non-volatile memory devices may also include monolithic three-dimensional memory arrays in which multiple layers of memory cells are formed above a single substrate without any intervening substrates.
  • non-volatile memory devices have been scaled in order to reduce cost per bit.
  • process geometries shrink, many design and process challenges are presented. These challenges include increased variability in memory cell I-V characteristics and increased word line and bit line resistance.
  • FIG. 1A depicts one embodiment of a memory system.
  • FIG. 1B depicts one embodiment of memory core control circuits.
  • FIG. 1C depicts one embodiment of a memory core.
  • FIG. 1D depicts one embodiment of a memory bay.
  • FIG. 1E depicts one embodiment of a memory block.
  • FIG. 1F depicts another embodiment of a memory bay.
  • FIG. 2A depicts one embodiment of a schematic diagram corresponding with the memory bay of FIG. 1F .
  • FIG. 2B depicts one embodiment of a schematic diagram corresponding with a memory bay arrangement wherein word lines and bit lines are shared across memory blocks, and both row decoders and column decoders are split.
  • FIG. 3A depicts one embodiment of a portion of a monolithic three-dimensional memory array.
  • FIG. 3B depicts one embodiment of a portion of a monolithic three-dimensional memory array that includes a second memory level positioned above a first memory level.
  • FIG. 3C depicts a subset of the memory array and routing layers of one embodiment of a three-dimensional memory array.
  • FIG. 4 depicts one embodiment of a portion of a monolithic three-dimensional memory array.
  • FIG. 5 depicts one embodiment of a read/write circuit along with a portion of a memory array.
  • FIG. 6A depicts one embodiment of a cross-point memory array.
  • FIG. 6B depicts an alternative embodiment of a cross-point memory array.
  • FIG. 7A depicts one embodiment of memory cell current distributions for memory cells programmed into an ON state and memory cells programmed into an OFF state over an applied memory cell voltage.
  • FIG. 7B depicts one embodiment of memory cell current distributions for memory cells programmed into an ON and memory cells programmed into an OFF state for a given memory cell bias voltage applied to the memory cells.
  • FIG. 8A depicts one embodiment of circuitry for generating a plurality of bit line voltage options.
  • FIG. 8B depicts one embodiment of a VSFG generator.
  • FIG. 8C depicts one embodiment of a read/write circuit.
  • FIG. 8D depicts one embodiment of a portion of a memory core.
  • FIG. 9A is a flowchart describing one embodiment of a process for programming a memory cell.
  • FIG. 9B is a flowchart describing one embodiment of a process for generating a plurality of bit line voltages associated with different compensation options.
  • FIG. 9C is a flowchart describing one embodiment of a process for determining whether a memory cell has characteristics of a strong memory cell, a weak memory cell, or a typical memory cell.
  • FIG. 9D is a flowchart describing one embodiment of a process for reading a memory cell.
  • the compensation may include adjusting a bit line voltage and/or bit line reference current applied to a memory cell based on a memory array zone, a bit line layer, and a memory cell direction associated with the memory cell.
  • the compensation may include adjusting the bit line voltage and/or bit line reference current on a per memory cell basis depending on memory cell specific characteristics.
  • a read/write circuit for reading and/or writing a memory cell may select a bit line voltage from a plurality of bit line voltage options to be applied to the memory cell based on whether the memory cell has been characterized as a strong, weak, or typical memory cell.
  • the read/write circuit may also select a bit line voltage of the plurality of bit line voltage options to be applied to the memory cell based on whether the memory cell has been characterized as a strongly conducting memory cell and whether the memory cell comprises a near-near memory cell.
  • the highest yielding voltage and/or current biasing conditions for the memory cell may vary depending whether the memory cell is a near-near memory cell (i.e., a memory cell with relatively small path resistance due to being located near the bit line biasing circuit and located near the word line biasing circuit) or a far-far memory cell (i.e., a memory cell with relatively large path resistance due to being located far from the bit line biasing circuit and located far from the word line biasing circuit).
  • a relatively small path resistance along the programming path through a near-near memory cell may lead to an increase in the number of reset fails.
  • a first memory cell comprising a near-near memory cell may use a reference current of 6 uA and a selected bit line voltage of 6V, while all other memory cells that do not comprise near-near memory cells may use a reference current of 4 uA and a selected bit line voltage of 5.5V.
  • the highest yielding voltage and/or current biasing conditions for the memory cell may vary depending on a memory layer and/or an orientation of the memory cell.
  • a first memory cell oriented in a first direction may use a reference current of 3 uA and a selected bit line voltage of 2.1V
  • a second memory cell oriented in a second direction may use a reference current of 1.2 uA and a selected bit line voltage of 1.8V.
  • a semiconductor memory array may include a cross-point memory array.
  • a cross-point memory array comprises a memory array in which two-terminal memory cells are placed at the intersections of a first set of control lines (e.g., word lines) arranged in a first direction and a second set of control lines (e.g., bit lines) arranged in a second direction perpendicular to the first direction.
  • the two-terminal memory cells may include a phase change material.
  • Each memory cell in a cross-point memory array may be placed in series with a steering element, such as a diode, in order to reduce leakage currents associated with unselected memory cells.
  • FIG. 1A depicts one embodiment of a memory system 100 .
  • Memory system 100 includes a host 106 (e.g., a personal computer or mobile computing device) and a memory card 101 .
  • the memory card 101 includes a memory chip controller 105 and a memory chip 102 .
  • the memory chip controller 105 may include one or more state machines, page registers, SRAM, or other control logic for controlling the operation of memory chip 102 .
  • the one or more state machines, page registers, SRAM, and/or other control logic for controlling the operation of the memory chip may be referred to as managing or control circuits for facilitating one or more memory array operations including erasing, programming, or reading operations.
  • the memory chip controller may receive data and commands from host 106 and provides memory chip data to host 106 .
  • the memory chip controller 105 and memory chip 102 may be arranged on a single integrated circuit. In other embodiments, memory chip controller 105 and memory chip 102 may be arranged on different integrated circuits.
  • the memory chip 102 includes memory core control circuits 104 and a memory core 103 .
  • Memory core control circuits 104 may include logic for controlling the selection of memory blocks (or arrays) within memory core 103 , controlling the generation of voltage references for biasing a particular memory array into a read or write state, or generating row and column addresses.
  • the memory core 103 may include one or more two-dimensional arrays of memory cells or one or more three-dimensional arrays of memory cells.
  • the memory core control circuits 104 and memory core 103 are arranged on a single integrated circuit. In other embodiments, the memory core control circuits 104 and memory core 103 are arranged on different integrated circuits.
  • a memory card operation may be initiated when host 106 sends instructions to memory chip controller 105 indicating that it would like to read data from memory card 101 or write data to memory card 101 .
  • host 106 will send to memory chip controller 105 both a write command and the data to be written.
  • the data to be written may be buffered by memory chip controller 105 and error correcting code (ECC) data may be generated corresponding with the data to be written.
  • ECC error correcting code
  • the ECC data which allows data errors that occur during transmission or storage to be detected and/or corrected, may be written to memory core 103 or stored in non-volatile memory within memory chip controller 105 .
  • the ECC data is generated and data errors are corrected by circuitry within memory chip controller 105 .
  • memory chip controller 105 may check a status register to make sure that memory chip 102 is able to accept the data to be written.
  • memory chip controller 105 may pre-read overhead information associated with the data to be read. The overhead information may include ECC data associated with the data to be read or a redirection pointer to a new memory location within memory chip 102 in which to read the data requested.
  • memory core control circuits 104 may generate the appropriate bias voltages for word lines and bit lines within memory core 103 , as well as generate the appropriate memory block, row, and column addresses.
  • FIG. 1B depicts one embodiment of memory core control circuits 104 .
  • the memory core control circuits 104 include address decoders 170 , voltage generators for selected control lines 172 , and voltage generators for unselected control lines 174 .
  • Control lines may include word lines, bit lines, or a combination of word lines and bit lines.
  • Selected control lines may include selected word lines or selected bit lines that are used to place memory cells into a selected state.
  • Unselected control lines may include unselected word lines or unselected bit lines that are used to place memory cells into an unselected state.
  • the voltage generators (or voltage regulators) for selected control lines 172 may comprise one or more voltage generators for generating selected control line voltages.
  • the voltage generators for unselected control lines 174 may comprise one or more voltage generators for generating unselected control line voltages.
  • Address decoders 170 may generate memory block addresses, as well as row addresses and column addresses for a particular memory block.
  • FIGS. 1C-1F depict one embodiment of a memory core organization that includes a memory core having multiple memory bays, and each memory bay having multiple memory blocks.
  • memory bays comprise memory blocks
  • memory blocks comprise a group of memory cells
  • other organizations or groupings can also be used with the technology described herein.
  • FIG. 1C depicts one embodiment of memory core 103 in FIG. 1A .
  • memory core 103 includes memory bay 330 and memory bay 331 .
  • the number of memory bays per memory core can be different for different implementations.
  • a memory core may include only a single memory bay or a plurality of memory bays (e.g., 16 memory bays).
  • FIG. 1D depicts one embodiment of memory bay 330 in FIG. 1C .
  • memory bay 330 includes memory blocks 310 - 312 and read/write circuits 306 .
  • the number of memory blocks per memory bay may be different for different implementations.
  • a memory bay may include one or more memory blocks (e.g., 32 memory blocks per memory bay).
  • Read/write circuits 306 include circuitry for reading and writing memory cells within memory blocks 310 - 312 .
  • the read/write circuits 306 may be shared across multiple memory blocks within a memory bay. This allows chip area to be reduced since a single group of read/write circuits 306 may be used to support multiple memory blocks. However, in some embodiments, only a single memory block should be electrically coupled to read/write circuits 306 at a particular time to avoid signal conflicts.
  • FIG. 1E depicts one embodiment of memory block 310 in FIG. 1D .
  • memory block 310 includes a memory array 301 , row decoder 304 , and column decoder 302 .
  • Memory array 301 may comprise a contiguous group of memory cells having contiguous word lines and bit lines.
  • Memory array 301 may comprise one or more layers of memory cells (i.e., memory array 310 may comprise a two-dimensional memory array or a three-dimensional memory array).
  • the row decoder 304 decodes a row address and selects a particular word line in memory array 301 when appropriate (e.g., when reading or writing memory cells in memory array 301 ).
  • the column decoder 302 decodes a column address and selects a particular group of bit lines in memory array 301 to be electrically coupled to read/write circuits, such as read/write circuits 306 in FIG. 1D .
  • the number of word lines is 4K per memory layer
  • the number of bit lines is 1K per memory layer
  • the number of memory layers is 4, providing a memory array 301 containing 16M memory cells.
  • FIG. 1F depicts one embodiment of a memory bay 332 .
  • Memory bay 332 is one example of an alternative implementation for memory bay 330 in FIG. 1D .
  • row decoders, column decoders, and read/write circuits may be split or shared between memory arrays.
  • row decoder 349 is shared between memory arrays 352 and 354 because row decoder 349 controls word lines in both memory arrays 352 and 354 (i.e., the word lines driven by row decoder 349 are shared).
  • Row decoders 348 and 349 may be split such that even word lines in memory array 352 are driven by row decoder 348 and odd word lines in memory array 352 are driven by row decoder 349 .
  • Column decoders 344 and 346 may be split such that even bit lines in memory array 352 are controlled by column decoder 346 and odd bit lines in memory array 352 are driven by column decoder 344 .
  • the selected bit lines controlled by column decoder 344 may be electrically coupled to read/write circuits 340 .
  • the selected bit lines controlled by column decoder 346 may be electrically coupled to read/write circuits 342 . Splitting the read/write circuits into read/write circuits 340 and 342 when the column decoders are split allows for a more efficient layout of the memory bay.
  • FIG. 2A depicts one embodiment of a schematic diagram (including word lines and bit lines) corresponding with memory bay 332 in FIG. 1F .
  • word lines WL 1 , WL 3 , and WL 5 are shared between memory arrays 352 and 354 and controlled by row decoder 349 of FIG. 1F .
  • Word lines WL 0 , WL 2 , WL 4 , and WL 6 are driven from the left side of memory array 352 and controlled by row decoder 348 of FIG. 1F .
  • Word lines WL 14 , WL 16 , WL 18 , and WL 20 are driven from the right side of memory array 354 and controlled by row decoder 350 of FIG. 1F .
  • Bit lines BL 0 , BL 2 , BL 4 , and BL 6 are driven from the bottom of memory array 352 and controlled by column decoder 346 of FIG. 1F .
  • Bit lines BL 1 , BL 3 , and BL 5 are driven from the top of memory array 352 and controlled by column decoder 344 of FIG. 1F .
  • the memory arrays 352 and 354 may comprise memory layers that are oriented in a horizontal plane that is horizontal to the supporting substrate. In another embodiment, the memory arrays 352 and 354 may comprise memory layers that are oriented in a vertical plane that is vertical to the supporting substrate (i.e., the vertical plane is perpendicular to the supporting substrate).
  • FIG. 2B depicts one embodiment of a schematic diagram (including word lines and bit lines) corresponding with a memory bay arrangement wherein word lines and bit lines are shared across memory blocks, and both row decoders and column decoders are split. Sharing word lines and/or bit lines helps to reduce layout area since a single row decoder and/or column decoder can be used to support two memory arrays.
  • word lines WL 1 , WL 3 , and WL 5 are shared between memory arrays 406 and 408 .
  • Bit lines BL 1 , BL 3 , and BL 5 are shared between memory arrays 406 and 402 .
  • Row decoders are split such that word lines WL 0 , WL 2 , WL 4 , and WL 6 are driven from the left side of memory array 406 and word lines WL 1 , WL 3 , and WL 5 are driven from the right side of memory array 406 .
  • Column decoders are split such that bit lines BL 0 , BL 2 , BL 4 , and BL 6 are driven from the bottom of memory array 406 and bit lines BL 1 , BL 3 , and BL 5 are driven from the top of memory array 406 .
  • Splitting row and/or column decoders also helps to relieve layout constraints (e.g., the column decoder pitch can be relieved by 2 ⁇ since the split column decoders need only drive every other bit line instead of every bit line).
  • FIG. 3A depicts one embodiment of a portion of a monolithic three-dimensional memory array 201 that includes a second memory level 220 positioned above a first memory level 218 .
  • Memory array 201 is one example of an implementation for memory array 301 in FIG. 1E .
  • the bit lines 206 and 210 are arranged in a first direction and the word lines 208 are arranged in a second direction perpendicular to the first direction.
  • the upper conductors of first memory level 218 may be used as the lower conductors of the second memory level 220 that is positioned above the first memory level.
  • memory array 201 includes a plurality of memory cells 200 .
  • the memory cells 200 may include re-writeable memory cells.
  • the memory cells 200 may include non-volatile memory cells or volatile memory cells.
  • first memory level 218 a first portion of memory cells 200 are between and connect to bit lines 206 and word lines 208 .
  • second memory level 220 a second portion of memory cells 200 are between and connect to bit lines 210 and word lines 208 .
  • each memory cell includes a steering element (e.g., a diode) and a memory element (i.e., a state change element).
  • the diodes of the first memory level 218 may be upward pointing diodes as indicated by arrow A 1 (e.g., with p regions at the bottom of the diodes), while the diodes of the second memory level 220 may be downward pointing diodes as indicated by arrow A 2 (e.g., with n regions at the bottom of the diodes), or vice versa.
  • each memory cell includes only a state change element. The absence of a diode from a memory cell may reduce the process complexity and costs associated with manufacturing a memory array.
  • the memory cells 200 of FIG. 3A include re-writable non-volatile memory cells.
  • a reversible resistance-switching element includes reversible resistivity-switching material having a resistivity that may be reversibly switched between two or more states.
  • the reversible resistance-switching material may include a metal oxide.
  • the metal oxide may include nickel oxide or hafnium oxide.
  • the reversible resistance-switching material may include a phase change material.
  • the phase change material may include a chalcogenide material.
  • the re-writeable non-volatile memory cells may comprise resistive RAM (ReRAM) devices.
  • the memory cells 200 of FIG. 3A may include conductive bridge memory elements.
  • a conductive bridge memory element may also be referred to as a programmable metallization cell.
  • a conductive bridge memory element may be used as a state change element based on the physical relocation of ions within a solid electrolyte.
  • a conductive bridge memory element may include two solid metal electrodes, one relatively inert (e.g., tungsten) and the other electrochemically active (e.g., silver or copper), with a thin film of the solid electrolyte between the two electrodes.
  • the conductive bridge memory element may have a wide range of programming thresholds over temperature.
  • the data stored in one of the plurality of memory cells 200 may be read by biasing one of the word lines (i.e., the selected word line) to the selected word line voltage in read mode (e.g., 0.4V).
  • a read circuit is then used to bias a selected bit line connected to the selected memory cell to the selected bit line voltage in read mode (e.g., 0V).
  • the unselected word lines may be biased to the same voltage as the selected bit lines (e.g., 0V).
  • the unselected bit lines may be biased to the same voltage as the selected word line (e.g., 0.4V); however, biasing the unselected word lines to the same voltage as the selected bit lines and biasing the unselected bit lines to the same voltage as the selected word line will place a large voltage stress across the unselected memory cells driven by both the unselected word lines and the unselected bit lines.
  • both the unselected word lines and the unselected bit lines may be biased to an intermediate voltage that is between the selected word line voltage and the selected bit line voltage. Applying the same voltage to both the unselected word lines and the unselected bit lines may reduce the voltage stress across the unselected memory cells driven by both the unselected word lines and the unselected bit lines; however, the reduced voltage stress comes at the expense of increased leakage currents associated with the selected word line and the selected bit line.
  • the selected bit line voltage may be applied to the selected bit line, and a read circuit may then sense an auto zero amount of current through the selected memory bit line which is subtracted from the bit line current in a second current sensing when the selected word line voltage is applied to the selected word line. Leakage current may be subtracted out by using the auto zero current sensing.
  • the reversible resistance-switching material may be in an initial high-resistivity state that is switchable to a low-resistivity state upon application of a first voltage and/or current. Application of a second voltage and/or current may return the reversible resistance-switching material back to the high-resistivity state.
  • the reversible resistance-switching material may be in an initial low-resistance state that is reversibly switchable to a high-resistance state upon application of the appropriate voltage(s) and/or current(s).
  • one resistance state When used in a memory cell, one resistance state may represent a binary data “0” while another resistance state may represent a binary data “1.”
  • a memory cell When used in a memory cell, one resistance state may represent a binary data “0” while another resistance state may represent a binary data “1.”
  • a memory cell may be considered to comprise more than two data/resistance states (i.e., a multi-level memory cell).
  • a write operation is similar to a read operation except with a larger voltage range placed across the selected memory cells.
  • the process of switching the resistance of a reversible resistance-switching element from a high-resistivity state to a low-resistivity state may be referred to as SETTING the reversible resistance-switching element.
  • the process of switching the resistance from the low-resistivity state to the high-resistivity state may be referred to as RESETTING the reversible resistance-switching element.
  • the high-resistivity state may be associated with binary data “0” and the low-resistivity state may be associated with binary data “1.”
  • SETTING and RESETTING operations and/or the data encoding can be reversed.
  • the first time a resistance-switching element is SET requires a higher than normal voltage and is referred to as a FORMING operation.
  • data may be written to one of the plurality of memory cells 200 by biasing one of the word lines (i.e., the selected word line) to the selected word line voltage in write mode (e.g., 5V).
  • a write circuit may be used to bias the bit line connected to the selected memory cell to the selected bit line voltage in write mode (e.g., 0V).
  • the unselected bit lines may be biased such that a first voltage difference between the selected word line voltage and the unselected bit line voltage is less than a first disturb threshold.
  • the unselected word lines may be biased such that a second voltage difference between the unselected word line voltage and the selected bit line voltage is less than a second disturb threshold.
  • the first disturb threshold and the second disturb threshold may be different depending on the amount of time in which the unselected memory cells susceptible to disturb are stressed.
  • both the unselected word lines and the unselected bit lines may be biased to an intermediate voltage that is between the selected word line voltage and the selected bit line voltage.
  • the intermediate voltage may be generated such that a first voltage difference across unselected memory cells sharing a selected word line is greater than a second voltage difference across other unselected memory cells sharing a selected bit line.
  • One reason for placing the larger voltage difference across the unselected memory cells sharing a selected word line is that the memory cells sharing the selected word line may be verified immediately after a write operation in order to detect a write disturb.
  • FIG. 3B depicts one embodiment of a portion of a monolithic three-dimensional memory array that includes a second memory level positioned above a first memory level.
  • the second memory level includes memory element 224 and steering element 226 .
  • the first memory level includes memory element 228 and steering element 230 .
  • the bit lines 206 and 210 are arranged in a first direction and the word line 208 is arranged in a second direction perpendicular to the first direction.
  • the memory element 224 may include a memory layer stack comprising a layer of p-type polycrystalline silicon (or polysilicon) formed above a layer of hafnium oxide.
  • the steering element 226 may comprise a diode (e.g., a L1 diode) pointing in a first direction.
  • the memory element 228 may include a memory layer stack comprising a layer of hafnium oxide formed above a layer of p-type polysilicon.
  • the steering element 230 may comprise a diode (e.g., a L0 diode) pointing in a second direction different from the first direction.
  • the bit lines 206 and 210 and the word line 208 may comprise a tungsten layer.
  • titanium nitride layers may be used as barrier layers (e.g., formed between a memory element and a steering element) or adhesion layers (e.g., formed above a word line layer or a bit line layer).
  • FIG. 3C depicts a subset of the memory array and routing layers of one embodiment of a three-dimensional memory array, such as memory array 301 in FIG. 1E .
  • the Memory Array layers are positioned above the Substrate.
  • the Memory Array layers include bit line layers BL 0 , BL 1 and BL 2 , and word line layers WL 0 and WL 1 . In other embodiments, additional bit line and word line layers can also be implemented.
  • Supporting circuitry e.g., row decoders, column decoders, and read/write circuits
  • An integrated circuit implementing a three-dimensional memory array may also include multiple metal layers for routing signals between different components of the supporting circuitry, and between the supporting circuitry and the bit lines and word lines of the memory array. These routing layers can be arranged above the supporting circuitry that is implemented on the surface of the Substrate and below the Memory Array layers.
  • two metal layers R 1 and R 2 are used for routing layers; however, other embodiments can include more or less than two metal layers.
  • these metal layers R 1 and R 2 are formed of tungsten (about 1 ohm/square).
  • Positioned above the Memory Array layers may be one or more top metal layers used for routing signals between different components of the integrated circuit such as the Top Metal layer.
  • the Top Metal layer is formed of copper or aluminum (about 0.05 ohms/square), which may provide a smaller resistance per unit area than layers R 1 and R 2 .
  • Metals layers R 1 and R 2 may not be implemented using the same materials as those used for the Top Metal layers because the metal used for R 1 and R 2 must be able to withstand the processing steps for fabricating the Memory Array layers on top of R 1 and R 2 .
  • FIG. 4 depicts one embodiment of a portion of a monolithic three-dimensional memory array 402 that includes a first memory level 412 positioned below a second memory level 410 .
  • Memory array 402 is one example of an implementation for memory array 301 in FIG. 1E .
  • the local bit lines LBL 11 -LBL 33 are arranged in a first direction (i.e., a vertical direction) and the word lines WL 10 -WL 23 are arranged in a second direction perpendicular to the first direction.
  • This arrangement of vertical bit lines in a monolithic three-dimensional memory array is one embodiment of a vertical bit line memory array.
  • each local bit line and each word line is a particular memory cell (e.g., memory cell M 111 is disposed between local bit line LBL 11 and word line WL 10 ).
  • the global bit lines GBL 1 -GBL 3 are arranged in a third direction that is perpendicular to both the first direction and the second direction.
  • a set of bit line select devices e.g., Q 11 -Q 31
  • may be used to select a set of local bit lines e.g., LBL 11 -LBL 31 ).
  • bit line select devices Q 11 -Q 31 are used to select the local bit lines LBL 11 -LBL 31 and to connect the local bit lines LBL 11 -LBL 31 to the global bit lines GBL 1 -GBL 3 using row select line SG 1 .
  • bit line select devices Q 12 -Q 32 are used to selectively connect the local bit lines LBL 12 -LBL 32 to the global bit lines GBL 1 -GBL 3 using row select line SG 2
  • bit line select devices Q 13 -Q 33 are used to selectively connect the local bit lines LBL 13 -LBL 33 to the global bit lines GBL 1 -GBL 3 using row select line SG 3 .
  • a first set of local bit lines e.g., LBL 11 -LBL 31
  • the other local bit lines e.g., LBL 12 -LBL 32 and LBL 13 -LBL 33
  • all local bit lines within the memory array are first biased to an unselected bit line voltage by connecting each of the global bit lines to one or more local bit lines.
  • the one or more selected bit line voltages may correspond with, for example, one or more read voltages during a read operation or one or more programming voltages during a programming operation.
  • a vertical bit line memory array such as memory array 402 , includes a greater number of memory cells along the word lines as compared with the number of memory cells along the bit lines.
  • the number memory cells along each bit line may be 16, while the number of memory cells along each word line may be 2048. More information regarding the structure and operation of vertical bit line memory arrays can be found in U.S. Provisional Application 61/423,007, “Non-Volatile Memory Having 3D Array of Read/Write Elements With Vertical Bit Lines and Laterally Aligned Active Elements and Methods Thereof” and U.S. patent application Ser. No. 13/323,703, “Three Dimensional Non-Volatile Storage with Three Device Driver for Row Select,” both of which are herein incorporated by reference in their entirety.
  • FIG. 5 depicts one embodiment of a read/write circuit 502 along with a portion of a memory array 501 .
  • Read/write circuit 502 is one example of an implementation of read/write circuit 306 in FIG. 1D .
  • the portion of a memory array 501 includes two of the many bit lines (one selected bit line labeled “Selected BL” and one unselected bit line labeled “Unselected BL”) and two of the many word lines (one selected word line labeled “Selected WL” and one unselected word line labeled “Unselected WL”).
  • the portion of a memory array also includes a selected memory cell 550 and unselected memory cells 552 - 556 .
  • the portion of a memory array 501 may comprise a memory array with bit lines arranged in a direction horizontal to the substrate, such as memory array 201 in FIG. 3A .
  • the portion of a memory array 501 may comprise a memory array with bit lines arranged in a vertical direction that is perpendicular to the substrate, such as memory array 402 in FIG. 4 .
  • the selected bit line is biased to 1V
  • the unselected word line is biased to 0.6V
  • the selected word line is biased to 0V
  • the unselected bit line is biased to 0.5V.
  • the selected bit line may be biased to 2.0V
  • the unselected word line may be biased to 2.0V
  • the selected word line may be biased to 0V
  • the unselected bit line may be biased to 0V.
  • the memory array biasing scheme of FIG. 5 may be reversed such that the selected bit line is biased to 0V, the unselected word line is biased to 0.4V, the selected word line is biased to 1V, and the unselected bit line is biased to 0.5V.
  • the SELB node of read/write circuit 502 is electrically coupled to the selected bit line via column decoder 504 .
  • column decoder 504 may correspond with column decoder 302 depicted in FIG. 1E .
  • Transistor 562 couples node SELB to the Vsense node.
  • the transistor 562 may comprise a low VT nMOS device.
  • Clamp control circuit 564 controls the gate of transistor 562 .
  • the Vsense node is connected to reference current Iref and one input of sense amplifier 566 .
  • the other input of sense amplifier 566 receives Vref-read, which is the voltage level used for comparing the Vsense node voltage in read mode.
  • the output of sense amplifier 566 is connected to the data out terminal and to data latch 568 .
  • Write circuit 560 is connected to node SELB, the data in terminal, and data latch 568 .
  • read/write circuit 502 biases the selected bit line to the selected bit line voltage in read mode. Prior to sensing data, read/write circuit 502 will precharge the Vsense node to 2V. When sensing data, read/write circuit 502 attempts to regulate the SELB node to 1V via clamp control circuit 564 and transistor 562 in a source-follower configuration.
  • Vref-read e.g., set to 1.5V
  • the sense amplifier 566 will read out a data “0.” Outputting a data “0” represents that the selected memory cell 550 is in a low resistance state (e.g., a SET state). If the current through the selected memory cell 550 is less than Iref, then the Vsense node will stay above Vref-read and the sense amplifier 566 will read out a data “1.” Outputting a data “1” represents that the selected memory cell 550 is in a high resistance state (e.g., a RESET state). Data latch 568 will latch the output of sense amplifier 566 after a time period of sensing the current through the selected memory cell (e.g., 400 ns).
  • read/write circuit 502 biases SELB to the selected bit line voltage in write mode (e.g., 1.2V for a SET operation) via write circuit 560 .
  • the duration of programming the memory cell can be a fixed time period (e.g., using a fixed-width programming pulse) or variable (e.g., using a write circuit 560 that senses whether a memory cell has been programmed while programming). More information regarding write circuits that can sense while programming data can be found in U.S. Pat. No.
  • write circuit 560 may bias SELB to the unselected bit line voltage in write mode (e.g., 0V for a SET operation). The write circuit 560 may also bias SELB to a program inhibit voltage in write mode that is different from the unselected bit line voltage.
  • FIG. 6A depicts one embodiment of a cross-point memory array 610 .
  • the cross-point memory array 610 may correspond with memory array 201 in FIG. 3A or memory array 402 in FIG. 4 .
  • cross-point memory array 610 includes word lines 602 - 608 and bit lines 612 - 618 .
  • Word line 604 comprises a selected word line and bit line 614 comprises a selected bit line.
  • bit line 614 comprises a selected bit line.
  • the voltage across the S cell is the difference between the selected word line voltage and the selected bit line voltage.
  • Memory cells at the intersections of the selected word line 604 and the unselected bit lines 612 , 616 , and 618 comprise unselected memory cells (H cells).
  • H cells are unselected memory cells that share a selected word line that is biased to the selected word line voltage. The voltage across the H cells is the difference between the selected word line voltage and the unselected bit line voltage.
  • Memory cells at the intersections of the selected bit line 614 and the unselected word lines 602 , 606 , and 608 comprise unselected memory cells (F cells).
  • F cells are unselected memory cells that share a selected bit line that is biased to a selected bit line voltage. The voltage across the F cells is the difference between the unselected word line voltage and the selected bit line voltage.
  • Memory cells at the intersections of the unselected word lines 602 , 606 , and 608 and the unselected bit lines 612 , 616 , and 618 comprise unselected memory cells (U cells). The voltage across the U cells is the difference between the unselected word line voltage and the unselected bit line voltage.
  • the number of F cells is related to the length of the bit lines (or the number of memory cells connected to a bit line) while the number of H cells is related to the length of the word lines (or the number of memory cells connected to a word line).
  • the number of U cells is related to the product of the word line length and the bit line length.
  • each memory cell sharing a particular word line such as word line 602 , may be associated with a particular page stored within the cross-point memory array 610 .
  • FIG. 6B depicts an alternative embodiment of a cross-point memory array 620 .
  • the cross-point memory array 620 may correspond with memory array 201 in FIG. 3A or memory array 402 in FIG. 4 .
  • cross-point memory array 620 includes word lines 622 - 628 and bit lines 632 - 638 .
  • Word line 624 comprises a selected word line and bit lines 634 and 638 comprise selected bit lines. Although both bit lines 634 and 638 are selected, the voltages applied to bit line 634 and bit line 638 may be different.
  • bit line 634 may be biased to a selected bit line voltage in order to program the first memory cell.
  • bit line 638 may be biased to a program inhibit voltage (i.e., to a bit line voltage that will prevent the second memory cell from being programmed).
  • a program inhibited memory cell At the intersection of selected word line 624 and selected bit line 638 is a program inhibited memory cell (an I cell).
  • the voltage across the I cell is the difference between the selected word line voltage and the program inhibit voltage.
  • Memory cells at the intersections of the selected bit line 638 and the unselected word lines 622 , 626 , and 628 comprise unselected memory cells (X cells).
  • X cells are unselected memory cells that share a selected bit line that is biased to a program inhibit voltage.
  • the voltage across the X cells is the difference between the unselected word line voltage and the program inhibit voltage.
  • the program inhibit voltage applied to the selected bit line 638 may be similar to the unselected bit line voltage.
  • the program inhibit voltage may be a voltage that is greater than or less than the unselected bit line voltage.
  • the program inhibit voltage may be set to a voltage that is between the selected word line voltage and the unselected bit line voltage.
  • the program inhibit voltage applied may be a function of temperature.
  • the program inhibit voltage may track the unselected bit line voltage over temperature.
  • two or more pages may be associated with a particular word line.
  • word line 622 may be associated with a first page and a second page.
  • the first page may correspond with bit lines 632 and 636 and the second page may correspond with bit lines 634 and 638 .
  • the first page and the second page may correspond with interdigitated memory cells that share the same word line.
  • one or more other pages also associated with the selected word line 624 may comprise H cells because the memory cells associated with the one or more other pages will share the same selected word line as the first page.
  • not all unselected bit lines may be driven to an unselected bit line voltage. Instead, a number of unselected bit lines may be floated and indirectly biased via the unselected word lines.
  • the memory cells of memory array 620 may comprise resistive memory elements without isolating diodes.
  • a floating control line e.g., bit line 636
  • a first selected control line e.g., bit line 634
  • Selection devices connected to control line 636 may be turned off during the memory operation causing control line 636 to be floating.
  • control lines 634 and 636 may comprise vertical bit lines in a three dimensional memory array comprising comb shaped word lines. More information regarding vertical bit line three dimensional memory arrays can be found in U.S. Provisional Application 61/526,764, “Optimized Architecture for Three Dimensional Non-Volatile Storage Device with Vertical Bit Lines” and U.S. patent application Ser. No. 13/323,573, “Three Dimensional Non-Volatile Storage with Multi Block Row Selection,” both of which are herein incorporated by reference in their entirety.
  • FIG. 7A depicts one embodiment of memory cell current distributions for memory cells programmed into an ON state (bounded by the lines 702 - 703 ) and memory cells programmed into an OFF state (bounded by lines 712 - 713 ) over an applied memory cell voltage (VCELL).
  • the memory cell current distribution for memory cells programmed into the ON state e.g., one of the possible conducting states for a memory cell
  • a range bounded by lines 702 - 703 which comprise the boundaries of the expected variability for a given probability distribution (e.g., +/ ⁇ 4 sigma variation).
  • the line 702 may correspond with memory cell I-V characteristics associated with a “strong” ON memory cell and the line 703 may correspond with memory cell I-V characteristics associated with a “weak” ON memory cell.
  • the memory cell current distribution for memory cells programmed into the OFF state is shown by a range bounded by lines 712 - 713 , which comprise the boundaries of the expected variability for a given probability distribution (e.g., +/ ⁇ 4 sigma variation).
  • the line 712 may correspond with memory cell I-V characteristics associated with a “strong” OFF memory cell and the line 713 may correspond with memory cell I-V characteristics associated with a “weak” OFF memory cell.
  • the output current (ICELL) associated with a “weak” ON memory cell is greater than the ICELL associated with a “strong” OFF memory cell.
  • the voltage applied across a “weak” ON memory cell is less than the voltage applied across a “strong” OFF memory cell (e.g., due to IR voltage drops along a bit line or a word line)
  • the ICELL associated with the “weak” ON memory cell may not be greater than the ICELL associated with the “strong” OFF memory cell.
  • the output currents of both cells may be roughly equal; thus, in this case, the states of the two memory cells may not be distinguishable.
  • FIG. 7B depicts one embodiment of memory cell current distributions for memory cells programmed into an ON state (corresponding with memory cell current distribution 760 ) and memory cells programmed into an OFF state (corresponding with memory cell current distribution 762 ) for a given memory cell bias voltage applied to the memory cells (e.g., 1.7V applied across the memory cells).
  • the ON state memory cells associated with output currents above an ICELL level of IC may be deemed “strong” ON memory cells and the ON state memory cells associated with output currents below an ICELL level of IB may be deemed “weak” ON memory cells.
  • the ON state memory cells associated with output currents between the ICELL levels IB and IC may be deemed “typical” ON memory cells.
  • the OFF state memory cells associated with output currents above an ICELL level of IA may be deemed “strong” OFF memory cells.
  • a particular memory cell may be determined to by in an ON state corresponding with the memory cell current distribution 760 using one or more sensing operations. To determine whether the particular memory cell is at a low end of a current distribution 760 associated with “weak” memory cells, an output current comparison with current level IB may be performed. To determine whether the particular memory cell is at a high end of the current distribution 760 associated with “strong” memory cells, an output current comparison with current level IC may be performed. Similarly, to determine whether a particular memory cell is at a high end of the current distribution 762 associated with “strong” memory cells, an output current comparison with current level IA may be performed.
  • FIG. 8A depicts one embodiment of circuitry for generating a plurality of bit line voltage options. Each voltage of the plurality of bit line voltage options may be used for generating a different selected bit line voltage.
  • the VBL options generator 801 includes a VBL settings generator 802 , VBL generators 804 - 807 , and VSFG generators 812 - 815 .
  • Each of the VBL generators 804 - 807 may comprise a non-inverting amplifier with a configurable resistor network (or ladder) that may be configured based on an input voltage setting.
  • FIG. 8B One example of a VSFG generator is depicted in FIG. 8B .
  • the VBL settings generator 802 may include mapping logic or a state machine for generating a plurality of settings associated with a plurality of bit line voltages.
  • an uncompensated (or baseline) bit line voltage setting, BL_voltage_setting may comprise a digital value used as the uncompensated voltage setting from which the plurality of settings may be determined.
  • BL_voltage_setting may comprise a binary number associated with a selected bit line voltage during a read operation (e.g., 2V).
  • the VBL settings generator 802 may take as inputs a memory address (e.g., comprising row and column addresses associated with a memory array), and various offset voltage settings including BL_zone_offset (an offset based on zones of a memory array for compensating for bit line resistance), BL_layer_offset (an offset based on the bit line layer), Cell_direction_offset (an offset based on the direction of a memory element or steering element), and Edge_array_offset (an offset based on whether a memory array is near an edge of a memory die).
  • the memory address may be generated using an address decoder, such as address decoders 170 in FIG.
  • a memory address may be used to determine the targeted memory array zone, the bit line layer, the memory cell direction, and whether the target memory array is an edge array.
  • a look-up table or combinational logic may be used to determine a compensated bit line voltage based on the memory address and the various offset voltage settings.
  • a memory address corresponding with a near zone in a memory array, a third bit line layer, and an up cell direction may cause a BL_voltage_setting set to 2.0V to be mapped to a compensated bit line voltage of 2.25V.
  • the VBL settings generator 802 may take as inputs WL_near_far_offset (offset values associated with whether a memory cell is located at a near end of a word line or a far end of the word line) and Cell_weak_strong_offset (offset values associated with whether a memory cell is a “strong” memory cell or a “weak” memory cell).
  • the bit line voltage associated with the memory cell may be increased to compensate for additional IR drops along the word line.
  • both “near” bits and “far” bits may be read during a read operation or programmed during a programming operation, two compensated bit line voltages may be generated corresponding with a first compensated voltage to be applied to memory cells located at a near end of a word line and a second compensated voltage to be applied to memory cells located at a far end of the word line.
  • bit line voltage associated with the memory cell may be increased to compensate for the reduced output current capability of the memory cell.
  • two compensated bit line voltages may be generated corresponding with a first compensated voltage to be applied to “strong” memory cells and a second compensated voltage to be applied to “weak” memory cells.
  • four compensated bit line voltages may be generated corresponding with the four memory cell combinations associated with near/far memory cells and strong/weak memory cells.
  • the VBL settings generator 802 may output binary values associated with the four compensated bit line voltages (e.g., VBL 1 _setting, VBL 2 _setting, VBL 3 _setting, and VBL 4 _setting) to four voltage generators for generating the four compensated bit line voltages.
  • the four compensated bit line voltages VBL 1 -VBL 4 may be distributed to memory cell write circuitry for biasing selected bit lines during a programming operation.
  • source-follower gate (SFG) voltages may be generated for driving transistors in a source-follower configuration for biasing selected bit lines, such as transistor 562 in FIG. 5 .
  • FIG. 8B depicts one embodiment of a VSFG generator, such as VSFG generator 812 in FIG. 8A .
  • the VSFG generator includes amplifier 822 , transistor 824 , amplifier 826 , and reference current 821 .
  • the reference current 821 may be implemented using a current mirror and may be set to a value related to a minimum sensing current associated with a memory cell.
  • the source of transistor 824 may be regulated close to the input voltage VBL that is input to the amplifier 822 . This will cause the gate of transistor 824 to be biased to an appropriate SFG voltage such that transistor 824 may source current associated with the reference current 821 using a source voltage close to the input voltage VBL.
  • Amplifier 826 in a unity gain amplifier configuration may be used as a buffer to drive the output voltage VBL_SFG to one or more transistors, such as transistor 562 and FIG. 5 .
  • FIG. 8C depicts one embodiment of a read/write circuit 852 for reading and/or writing a particular memory cell selected via a column decoder.
  • Read/write circuit 852 is one example of an implementation of a read/write circuit included in read/write circuits 306 in FIG. 1D .
  • the capacitance associated with the SELB node e.g., 3 pF
  • the capacitance associated with the Vsense node e.g., 100 fF
  • the read/write circuit 852 may comprise a memory cell sensing circuit for determining the state of a memory cell during a sensing operation.
  • the read/write circuit 852 may also comprise a memory cell programming circuit for programming a memory cell using a bit line voltage selected from plurality of bit line voltage options.
  • the SELB node of read/write circuit 852 is connected to the source of transistor 862 and write circuit 860 .
  • Transistor 862 couples node SELB to the Vsense node.
  • Multiplexor (mux) 856 controls the gate of transistor 862 , which may comprise a low VT nMOS device.
  • the Vsense node is connected to the drain of transistor 862 , a reference current Iref (which may be configurable), and one input of sense amplifier 866 .
  • the other input of sense amplifier 866 receives Vref, which is the voltage level used for comparing the Vsense node voltage during read and/or programming operations.
  • the output of sense amplifier 866 is connected to the data out terminal and to data latch 868 .
  • Write circuit 860 is connected to node SELB, the data in terminal, and data latch 868 .
  • mux 856 takes as inputs four voltages VBL 1 _SFG, VBL 2 _SFG, VBL 3 _SFG, and VBL 4 _SFG associated with four different selected bit line voltages.
  • the mux 856 selects one of the four input voltages to be connected to the gate of transistor 862 based on two select inputs BL/WL_address (e.g., address information associated with whether a selected memory cell is a “near” bit or a “far” bit) and Cell_weak_strong (e.g., a single bit value associated with whether a selected memory cell is a “strong” memory cell or a “weak” memory cell).
  • BL/WL_address e.g., address information associated with whether a selected memory cell is a “near” bit or a “far” bit
  • Cell_weak_strong e.g., a single bit value associated with whether a selected memory cell is a “strong” memory cell or a “weak” memory
  • four compensated bit line voltages are provided to a plurality of read/write circuits for per bit selection of the appropriate bit line voltage.
  • a read/write circuit may select the appropriate bit line voltage to apply based on whether the targeted memory cell is in a near zone or a far zone and/or whether the target memory cell is a “strong” memory cell or a “weak” memory cell.
  • four SFG voltages are provided to a plurality of read/write circuits for per bit selection of the appropriate SFG voltage used for applying an appropriate bit line voltage to a selected bit line.
  • mux 856 may be used for selecting an appropriate SFG voltage for driving transistor 862 during a read operation.
  • mux 856 may be used for selecting an appropriate SFG voltage for driving transistor 862 during a programming operation.
  • the write circuit 860 may also directly apply a selected bit line voltage from a plurality of bit line voltage options via a mux not depicted.
  • FIG. 8D depicts one embodiment of a portion of a memory core, such as memory core 103 in FIG. 1A .
  • the memory core includes a top stripe, a memory array 890 , and a bottom stripe.
  • the top stripe includes a first VBL options generator 801 for generating four voltages VBL 1 -VBL 4 , a page register 881 (or data buffer), read/write circuits 883 , and column decoder 885 .
  • the bottom stripe includes a second VBL options generator 801 for generating four voltages VBL 5 -VBL 8 , a page register 882 (or data buffer), read/write circuits 884 , and column decoder 886 .
  • the column decoder 885 may be used for selecting even bit lines and the column decoder 886 may be used for selecting odd bit lines.
  • the memory array 890 may be broken into zones.
  • each zone may be determined based on a range of row addresses or a range of word lines.
  • the memory array 890 may be grouped into N zones, where Zone 1 is closest to read/write circuits 883 and Zone N is closest to read/write circuits 884 .
  • Zone 1 is closest to read/write circuits 883
  • Zone N is closest to read/write circuits 884 .
  • memory cells located in Zone N may experience a larger IR drop along bit lines driven from read/write circuits 883 than memory cells located in Zone 1.
  • due to bit line resistance memory cells located in Zone N may experience a smaller IR drop along bit lines driven from read/write circuits 884 than memory cells located in Zone 1.
  • the top stripe bit line voltages corresponding with VBL 1 -VBL 4 may be different from the bottom stripe bit line voltages corresponding with VBL 5 -VBL 8 to compensate for bit line resistance based on a target zone for targeted memory cells during a read and/or write operation.
  • VBL 1 -VBL 4 may correspond with the voltages 6.0V, 6.15V, 6.3V, and 6.45V, respectively
  • VBL 5 -VBL 8 may correspond with the voltages 6.45V, 6.6V, 6.75V, and 6.9V, respectively
  • FIG. 9A is a flowchart describing one embodiment of a process for programming a memory cell.
  • the process of FIG. 9A is performed by a memory chip, such as memory chip 102 in FIG. 1 .
  • a plurality of bit line voltage options associated with a write operation is generated.
  • the plurality of bit line voltage options may be generated using a bit line voltage options generator, such as VBL options generator 801 in FIG. 8A .
  • the plurality of bit line voltage options may comprise four different bit line voltages corresponding with the four memory cell combinations associated with near/far memory cells and strong/weak memory cells.
  • One embodiment of a process for generating a plurality of bit line voltages associated with different compensation options is described later in reference to FIG. 9B .
  • a plurality of bit line current options associated with the write operation is generated.
  • the plurality of bit line current options may be generated using control circuitry similar to the VBL settings generator 802 of FIG. 8A , but instead of binary values for different voltage settings being generated, the binary values may be generated for different current settings.
  • a plurality of reference currents corresponding with the different current settings may be generated using a plurality of configurable current mirrors. Each of the plurality of configurable current mirrors may multiply a stable reference current (e.g., provided by a bandgap-based current reference) by some value depending on an input current setting.
  • the plurality of bit line current options may comprise two different bit line currents corresponding with a strong memory cell and a weak memory cell.
  • step 906 it is determined whether a memory cell is associated with an output current that is above an upper threshold given an applied voltage across the memory cell.
  • the memory cell may comprise one of a plurality of memory cells to be programmed during the write operation.
  • the determination of whether the memory cell is associated with an output current that is above an upper threshold includes determining a state of the memory cell, determining an upper current level associated with a “strong” memory cell in the state, and then comparing the output current with the upper current level associated with a “strong” memory cell in the state. If the output current is greater than the upper current level, then the memory cell may be deemed to be a “strong” memory cell.
  • the determination of whether the memory cell is associated with an output current that is above an upper threshold includes determining whether the memory cell is an ON state, determining an upper current level associated with the ON state, and then comparing the output current of the memory cell with the upper current level.
  • the determination of whether a memory cell to be written comprises a strong, weak, or typical memory cell may be performed for each of the memory cells to be written during the write operation.
  • the memory cell specific determinations may then be stored as a memory cell strength vector in a memory buffer, such as a page register, prior to performing the write operation.
  • FIG. 9C One embodiment of a process for determining whether a memory cell has characteristics of a strong memory cell, weak memory cell, or a typical memory cell is described later in reference to FIG. 9C .
  • step 908 it is determined whether the memory cell is associated with a near end of a word line.
  • the determination of whether the memory cell is located at a near end of the word line may be determined based on a word line address associated with the memory cell.
  • a memory cell may be deemed to be at a near end of a word line if it is located within a certain distance of a word line driver driving the word line.
  • it is determined whether the memory cell is associated with a near-near memory cell i.e., a memory cell with relatively small path resistance due to being located near the bit line biasing circuit and located near the word line biasing circuit
  • a near-near memory cell i.e., a memory cell with relatively small path resistance due to being located near the bit line biasing circuit and located near the word line biasing circuit
  • a first bit line voltage of the plurality of bit line voltage options is selected.
  • the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell.
  • the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located within a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a near bit based on the word line address).
  • a page register may store a one bit or two bit vector associated with characteristics of the memory cell, such as whether the memory cell has been determined to be a strong, weak, or typical memory cell.
  • the selection of the first bit line voltage may be performed using a read/write circuit, such as read/write circuit 852 in FIG. 8C , that takes as a control input the vector stored in the page register.
  • the two bit vector stored in the page register may also correspond with a first bit associated with whether the memory cell is deemed strong or typical and a second bit associated with whether the memory cell is deemed to be at a near end of a word line.
  • a first bit line current of the plurality of bit line current options is selected.
  • the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell.
  • the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located within a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a near bit based on the word line address).
  • step 914 the memory cell is programmed by applying the first bit line voltage to the memory cell and the first bit line current to the memory cell.
  • step 916 a state of the memory cell is verified to determine whether the memory cell has reached a particular programming state.
  • FIG. 9B is a flowchart describing one embodiment of a process for generating a plurality of bit line voltages associated with different compensation options.
  • the process described in FIG. 9B is one example of a process for implementing step 902 in FIG. 9A .
  • the process of FIG. 9B may be performed by a memory chip, such as memory chip 102 in FIG. 1 .
  • a word line address, a bit line address, and a bit line voltage associated with a memory operation are acquired.
  • the bit line voltage may correspond with an uncompensated bit line voltage to be applied during the memory operation (e.g., 2V during a read operation).
  • the memory operation may comprise a programming operation or a reading operation.
  • a memory array zone is determined based on the word line address.
  • the word line address may determine the distance of a selected bit line to a corresponding bit line driver or read/write circuit biasing the selected line.
  • a bit line layer is determined based on the bit line address.
  • a memory array may comprise a monolithic three-dimensional memory array and the bit line layer may correspond with a memory layer of the monolithic three-dimensional memory array.
  • a memory cell orientation is determined based on the bit line address and the word line address.
  • the memory cell orientation may be determined based solely on the bit line address.
  • the memory cell orientation may correspond with a diode polarity or diode orientation (e.g., an upward pointing diode).
  • a compensated bit line voltage is generated based on the bit line voltage, the memory array zone, the bit line layer, and the memory cell orientation.
  • one or more memory cell dependent voltage offsets are acquired.
  • the one or more memory cell dependent voltage offsets may include an offset corresponding with whether a memory cell comprises a strong memory cell, a weak memory cell, or a typical memory cell.
  • the bit line voltage offset for a memory cell that is deemed to be a strong memory cell may correspond with a reduction in the bit line voltage by 200 mV during a read operation.
  • the bit line voltage offset for a memory cell that is deemed to be a strong memory cell may correspond with an increase in the bit line voltage by 500 mV during a programming operation.
  • a plurality of bit line voltage options is generated based on the compensated bit line voltage and the one or more memory cell dependent voltage offsets.
  • the plurality of bit line voltage options may be generated using a plurality of voltage regulators each generating an output voltage corresponding with the compensated bit line voltage and one of the one or more memory cell dependent voltage offsets.
  • the plurality of bit line voltage options is outputted. The plurality of bit line voltage options may be distributed across a plurality of read/write circuits used for generating bit line voltages during the memory operation.
  • FIG. 9C is a flowchart describing one embodiment of a process for determining whether a memory cell has characteristics of a strong memory cell, a weak memory cell, or a typical memory cell.
  • the process described in FIG. 9C is one example of a process for implementing step 906 in FIG. 9A .
  • the process of FIG. 9C may be performed by a memory chip, such as memory chip 102 in FIG. 1 .
  • a state of the memory cell is determined.
  • the state of the memory cell may be determined via one or more memory cell sensing operations.
  • the state of the memory cell may correspond with a programmed state of the memory cell (e.g., an ON state of the memory cell).
  • the state of the memory cell may correspond with the ON state depicted in FIG. 7B and the lower threshold may correspond with the current level IB depicted in FIG. 7B .
  • a weak bit corresponding with whether the output current was determined to be below the lower threshold is stored. The weak bit may be stored in a page register.
  • step 948 it is determined whether the output current associated with the memory cell is above (or greater than) an upper threshold based on the state.
  • the state of the memory cell may correspond with the ON state depicted in FIG. 7B and the upper threshold may correspond with the current level IA depicted in FIG. 7B .
  • a strong bit corresponding with whether the output current was determined to be above the upper threshold is stored. The strong bit may be stored in a page register. In some cases, if neither the strong bit nor the weak bit are set to “1,” then the memory cell may be deemed a typical memory cell.
  • FIG. 9D is a flowchart describing one embodiment of a process for reading a memory cell.
  • the process of FIG. 9D is performed by a memory chip, such as memory chip 102 in FIG. 1 .
  • a plurality of bit line voltage options associated with a read operation is generated.
  • the plurality of bit line voltage options may be generated using a bit line voltage options generator, such as VBL options generator 801 in FIG. 8A .
  • the plurality of bit line voltage options may comprise two different bit line voltages corresponding whether a memory cell is located at a far end of a word line or not located at the far end of the word line.
  • One embodiment of a process for generating a plurality of bit line voltages associated with different compensation options was described previously in reference to FIG. 9B .
  • a plurality of bit line current options associated with the read operation is generated.
  • the plurality of bit line current options may be generated using control circuitry similar to the VBL settings generator 802 of FIG. 8A , but instead of binary values for different voltage settings being generated, the binary values may be generated for different current settings.
  • a plurality of reference currents corresponding with the different current settings may be generated using a plurality of configurable current mirrors. Each of the plurality of configurable current mirrors may multiply a stable reference current (e.g., provided by a bandgap-based current reference) by some value depending on a given input current setting.
  • the plurality of bit line current options may comprise two different bit line currents corresponding with a strong memory cell and a weak memory cell.
  • step 966 it is determined whether the memory cell is associated with a far end of a word line.
  • the determination of whether the memory cell is located at a far end of the word line may be determined based on a word line address associated with the memory cell.
  • it may be determined whether the memory cell is associated with a far-far memory cell i.e., a memory cell with relatively large path resistance due to being located far from the bit line biasing circuit and located far from the word line biasing circuit
  • a memory cell may be deemed to be far from the end of a word line if it is located more than a certain distance from a word line driver driving the word line.
  • a first bit line voltage of the plurality of bit line voltage options is selected.
  • the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell.
  • the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located more than a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a far bit based on the word line address).
  • a page register may store a one bit or two bit vector associated with characteristics of the memory cell, such as whether the memory cell has been determined to be a strong, weak, or typical memory cell.
  • the selection of the first bit line voltage may be performed using a read/write circuit, such as read/write circuit 852 in FIG. 8C , that takes as a control input the vector stored in the page register.
  • the two bit vector stored in the page register may also correspond with a first bit associated with whether the memory cell is deemed strong or typical and a second bit associated with whether the memory cell is deemed to be at a near end of a word line.
  • a first bit line current of the plurality of bit line current options is selected.
  • the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell.
  • the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located more than a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a far bit based on the word line address).
  • a state of the memory cell is determined by applying the first bit line voltage to the memory cell and the first bit line current to the memory cell.
  • the state of the memory cell may be determined by performing a sensing or read operation on the memory cell using a read/write circuit, such as read/write circuit 852 in FIG. 8C .
  • data associated with the state of the memory cell is outputted.
  • the data associated with the state of the memory cell may be outputted to a page register for buffering prior to transmission of the data outside of a memory chip.
  • One embodiment of the disclosed technology includes generating a plurality of bit line voltage options associated with a programming operation, determining a state associated with a memory cell, determining an upper current threshold based on the state, determining a first control bit based on whether an output current associated with the memory cell is greater than the upper current threshold, selecting a first bit line voltage of the plurality of bit line voltage options based on the first control bit, and programming the memory cell by applying the first bit line voltage to the memory cell.
  • One embodiment of the disclosed technology includes a plurality of voltage generators, a plurality of memory cells including a first memory cell, and a first memory cell programming circuit in communication with the first memory cell.
  • the plurality of voltage generators generates a plurality of bit line voltage options associated with a programming operation.
  • the first memory cell programming circuit determines a first state associated with the first memory cell and determines an upper current threshold based on the first state.
  • the first memory cell programming circuit determines a first control bit based on whether an output current associated with the first memory cell is greater than the upper current threshold.
  • the first memory cell programming circuit selects a first bit line voltage of the plurality of bit line voltage options based on the first control bit and programs the first memory cell by applying the first bit line voltage to the first memory cell during the programming operation.
  • One embodiment of the disclosed technology includes generating a plurality of bit line voltage options associated with a read operation, generating a plurality of bit line current options associated with the read operation, and determining a first control bit.
  • the determining a first control bit includes acquiring a bit line address associated with a memory cell and determining whether the memory cell is located at a far end of a word line connected to the memory cell based on the bit line address.
  • the method further includes selecting a first bit line voltage of the plurality of bit line voltage options based on the first control bit, selecting a first bit line current of the plurality of bit line current options based on the first control bit, determining a state of the memory cell by applying the first bit line voltage and the first bit line current to a bit line connected to the memory cell, and outputting data associated with the state of the memory cell.
  • each process associated with the disclosed technology may be performed continuously and by one or more computing devices.
  • Each step in a process may be performed by the same or different computing devices as those used in other steps, and each step need not necessarily be performed by a single computing device.
  • a connection can be a direct connection or an indirect connection (e.g., via another part).
  • set refers to a “set” of one or more of the objects.

Abstract

Methods for performing parallel voltage and current compensation during reading and/or writing of memory cells in a memory array are described. In some embodiments, the compensation may include adjusting a bit line voltage and/or bit line reference current applied to a memory cell based on a memory array zone, a bit line layer, and a memory cell direction associated with the memory cell. The compensation may include adjusting the bit line voltage and/or bit line reference current on a per memory cell basis depending on memory cell specific characteristics. In some embodiments, a read/write circuit for reading and/or writing a memory cell may select a bit line voltage from a plurality of bit line voltage options to be applied to the memory cell based on whether the memory cell has been characterized as a strong, weak, or typical memory cell.

Description

    CLAIM OF PRIORITY
  • The present application is a divisional application of U.S. patent application Ser. No. 13/773,078, entitled “Compensation Scheme for Non-Volatile Memory,” filed on Feb. 21, 2013, which is herein incorporated by reference in its entirety.
  • BACKGROUND
  • Semiconductor memory is widely used in various electronic devices such as cellular telephones, digital cameras, personal digital assistants, mobile computing devices, and non-mobile computing devices. A non-volatile memory device (e.g., a flash memory device) allows information to be stored and retained even when the non-volatile memory device is not connected to a source of power (e.g., a battery). Non-volatile memory devices typically include two-dimensional arrays of non-volatile memory cells. The memory cells within a two-dimensional array form a single layer of memory cells and may be selected via control lines in the X and Y directions. Non-volatile memory devices may also include monolithic three-dimensional memory arrays in which multiple layers of memory cells are formed above a single substrate without any intervening substrates. In recent years, non-volatile memory devices have been scaled in order to reduce cost per bit. However, as process geometries shrink, many design and process challenges are presented. These challenges include increased variability in memory cell I-V characteristics and increased word line and bit line resistance.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A depicts one embodiment of a memory system.
  • FIG. 1B depicts one embodiment of memory core control circuits.
  • FIG. 1C depicts one embodiment of a memory core.
  • FIG. 1D depicts one embodiment of a memory bay.
  • FIG. 1E depicts one embodiment of a memory block.
  • FIG. 1F depicts another embodiment of a memory bay.
  • FIG. 2A depicts one embodiment of a schematic diagram corresponding with the memory bay of FIG. 1F.
  • FIG. 2B depicts one embodiment of a schematic diagram corresponding with a memory bay arrangement wherein word lines and bit lines are shared across memory blocks, and both row decoders and column decoders are split.
  • FIG. 3A depicts one embodiment of a portion of a monolithic three-dimensional memory array.
  • FIG. 3B depicts one embodiment of a portion of a monolithic three-dimensional memory array that includes a second memory level positioned above a first memory level.
  • FIG. 3C depicts a subset of the memory array and routing layers of one embodiment of a three-dimensional memory array.
  • FIG. 4 depicts one embodiment of a portion of a monolithic three-dimensional memory array.
  • FIG. 5 depicts one embodiment of a read/write circuit along with a portion of a memory array.
  • FIG. 6A depicts one embodiment of a cross-point memory array.
  • FIG. 6B depicts an alternative embodiment of a cross-point memory array.
  • FIG. 7A depicts one embodiment of memory cell current distributions for memory cells programmed into an ON state and memory cells programmed into an OFF state over an applied memory cell voltage.
  • FIG. 7B depicts one embodiment of memory cell current distributions for memory cells programmed into an ON and memory cells programmed into an OFF state for a given memory cell bias voltage applied to the memory cells.
  • FIG. 8A depicts one embodiment of circuitry for generating a plurality of bit line voltage options.
  • FIG. 8B depicts one embodiment of a VSFG generator.
  • FIG. 8C depicts one embodiment of a read/write circuit.
  • FIG. 8D depicts one embodiment of a portion of a memory core.
  • FIG. 9A is a flowchart describing one embodiment of a process for programming a memory cell.
  • FIG. 9B is a flowchart describing one embodiment of a process for generating a plurality of bit line voltages associated with different compensation options.
  • FIG. 9C is a flowchart describing one embodiment of a process for determining whether a memory cell has characteristics of a strong memory cell, a weak memory cell, or a typical memory cell.
  • FIG. 9D is a flowchart describing one embodiment of a process for reading a memory cell.
  • DETAILED DESCRIPTION
  • Technology is described for performing parallel voltage and current compensation during reading and/or writing of memory cells in a memory array. In some embodiments, the compensation may include adjusting a bit line voltage and/or bit line reference current applied to a memory cell based on a memory array zone, a bit line layer, and a memory cell direction associated with the memory cell. The compensation may include adjusting the bit line voltage and/or bit line reference current on a per memory cell basis depending on memory cell specific characteristics. In some embodiments, a read/write circuit for reading and/or writing a memory cell may select a bit line voltage from a plurality of bit line voltage options to be applied to the memory cell based on whether the memory cell has been characterized as a strong, weak, or typical memory cell. The read/write circuit may also select a bit line voltage of the plurality of bit line voltage options to be applied to the memory cell based on whether the memory cell has been characterized as a strongly conducting memory cell and whether the memory cell comprises a near-near memory cell.
  • One issue involving the programming of a memory cell is that, due to variations in resistance along a programming path, the highest yielding voltage and/or current biasing conditions for the memory cell may vary depending whether the memory cell is a near-near memory cell (i.e., a memory cell with relatively small path resistance due to being located near the bit line biasing circuit and located near the word line biasing circuit) or a far-far memory cell (i.e., a memory cell with relatively large path resistance due to being located far from the bit line biasing circuit and located far from the word line biasing circuit). A relatively small path resistance along the programming path through a near-near memory cell may lead to an increase in the number of reset fails. The number of reset fails may further increase if the near-near memory cell is a strongly conducting memory cell. To compensate for this issue, in one example, a first memory cell comprising a near-near memory cell may use a reference current of 6 uA and a selected bit line voltage of 6V, while all other memory cells that do not comprise near-near memory cells may use a reference current of 4 uA and a selected bit line voltage of 5.5V.
  • One issue involving the reading or verifying of a memory cell is that the highest yielding voltage and/or current biasing conditions for the memory cell may vary depending on a memory layer and/or an orientation of the memory cell. In one example, a first memory cell oriented in a first direction may use a reference current of 3 uA and a selected bit line voltage of 2.1V, while a second memory cell oriented in a second direction may use a reference current of 1.2 uA and a selected bit line voltage of 1.8V. Thus, there is a need to perform parallel voltage and current compensation during reading and/or writing of memory cells on a per memory cell basis.
  • In some cases, a semiconductor memory array may include a cross-point memory array. A cross-point memory array comprises a memory array in which two-terminal memory cells are placed at the intersections of a first set of control lines (e.g., word lines) arranged in a first direction and a second set of control lines (e.g., bit lines) arranged in a second direction perpendicular to the first direction. The two-terminal memory cells may include a phase change material. Each memory cell in a cross-point memory array may be placed in series with a steering element, such as a diode, in order to reduce leakage currents associated with unselected memory cells.
  • FIG. 1A depicts one embodiment of a memory system 100. Memory system 100 includes a host 106 (e.g., a personal computer or mobile computing device) and a memory card 101. The memory card 101 includes a memory chip controller 105 and a memory chip 102. The memory chip controller 105 may include one or more state machines, page registers, SRAM, or other control logic for controlling the operation of memory chip 102. The one or more state machines, page registers, SRAM, and/or other control logic for controlling the operation of the memory chip may be referred to as managing or control circuits for facilitating one or more memory array operations including erasing, programming, or reading operations. The memory chip controller may receive data and commands from host 106 and provides memory chip data to host 106.
  • In one embodiment, the memory chip controller 105 and memory chip 102 may be arranged on a single integrated circuit. In other embodiments, memory chip controller 105 and memory chip 102 may be arranged on different integrated circuits. The memory chip 102 includes memory core control circuits 104 and a memory core 103. Memory core control circuits 104 may include logic for controlling the selection of memory blocks (or arrays) within memory core 103, controlling the generation of voltage references for biasing a particular memory array into a read or write state, or generating row and column addresses. The memory core 103 may include one or more two-dimensional arrays of memory cells or one or more three-dimensional arrays of memory cells. In one embodiment, the memory core control circuits 104 and memory core 103 are arranged on a single integrated circuit. In other embodiments, the memory core control circuits 104 and memory core 103 are arranged on different integrated circuits.
  • Referring to FIG. 1A, a memory card operation may be initiated when host 106 sends instructions to memory chip controller 105 indicating that it would like to read data from memory card 101 or write data to memory card 101. In the event of a write (or programming) operation, host 106 will send to memory chip controller 105 both a write command and the data to be written. The data to be written may be buffered by memory chip controller 105 and error correcting code (ECC) data may be generated corresponding with the data to be written. The ECC data, which allows data errors that occur during transmission or storage to be detected and/or corrected, may be written to memory core 103 or stored in non-volatile memory within memory chip controller 105. In one embodiment, the ECC data is generated and data errors are corrected by circuitry within memory chip controller 105.
  • As depicted in FIG. 1A, the operation of memory chip 102 may be controlled by memory chip controller 105. In one example, before issuing a write operation to memory chip 102, memory chip controller 105 may check a status register to make sure that memory chip 102 is able to accept the data to be written. In another example, before issuing a read operation to memory chip 102, memory chip controller 105 may pre-read overhead information associated with the data to be read. The overhead information may include ECC data associated with the data to be read or a redirection pointer to a new memory location within memory chip 102 in which to read the data requested. Once a read or write operation is initiated by memory chip controller 105, memory core control circuits 104 may generate the appropriate bias voltages for word lines and bit lines within memory core 103, as well as generate the appropriate memory block, row, and column addresses.
  • FIG. 1B depicts one embodiment of memory core control circuits 104. As depicted, the memory core control circuits 104 include address decoders 170, voltage generators for selected control lines 172, and voltage generators for unselected control lines 174. Control lines may include word lines, bit lines, or a combination of word lines and bit lines. Selected control lines may include selected word lines or selected bit lines that are used to place memory cells into a selected state. Unselected control lines may include unselected word lines or unselected bit lines that are used to place memory cells into an unselected state. The voltage generators (or voltage regulators) for selected control lines 172 may comprise one or more voltage generators for generating selected control line voltages. The voltage generators for unselected control lines 174 may comprise one or more voltage generators for generating unselected control line voltages. Address decoders 170 may generate memory block addresses, as well as row addresses and column addresses for a particular memory block.
  • FIGS. 1C-1F depict one embodiment of a memory core organization that includes a memory core having multiple memory bays, and each memory bay having multiple memory blocks. Although a memory core organization is disclosed where memory bays comprise memory blocks, and memory blocks comprise a group of memory cells, other organizations or groupings can also be used with the technology described herein.
  • FIG. 1C depicts one embodiment of memory core 103 in FIG. 1A. As depicted, memory core 103 includes memory bay 330 and memory bay 331. In some embodiments, the number of memory bays per memory core can be different for different implementations. For example, a memory core may include only a single memory bay or a plurality of memory bays (e.g., 16 memory bays).
  • FIG. 1D depicts one embodiment of memory bay 330 in FIG. 1C. As depicted, memory bay 330 includes memory blocks 310-312 and read/write circuits 306. In some embodiments, the number of memory blocks per memory bay may be different for different implementations. For example, a memory bay may include one or more memory blocks (e.g., 32 memory blocks per memory bay). Read/write circuits 306 include circuitry for reading and writing memory cells within memory blocks 310-312. As depicted, the read/write circuits 306 may be shared across multiple memory blocks within a memory bay. This allows chip area to be reduced since a single group of read/write circuits 306 may be used to support multiple memory blocks. However, in some embodiments, only a single memory block should be electrically coupled to read/write circuits 306 at a particular time to avoid signal conflicts.
  • FIG. 1E depicts one embodiment of memory block 310 in FIG. 1D. As depicted, memory block 310 includes a memory array 301, row decoder 304, and column decoder 302. Memory array 301 may comprise a contiguous group of memory cells having contiguous word lines and bit lines. Memory array 301 may comprise one or more layers of memory cells (i.e., memory array 310 may comprise a two-dimensional memory array or a three-dimensional memory array). The row decoder 304 decodes a row address and selects a particular word line in memory array 301 when appropriate (e.g., when reading or writing memory cells in memory array 301). The column decoder 302 decodes a column address and selects a particular group of bit lines in memory array 301 to be electrically coupled to read/write circuits, such as read/write circuits 306 in FIG. 1D. In one embodiment, the number of word lines is 4K per memory layer, the number of bit lines is 1K per memory layer, and the number of memory layers is 4, providing a memory array 301 containing 16M memory cells.
  • FIG. 1F depicts one embodiment of a memory bay 332. Memory bay 332 is one example of an alternative implementation for memory bay 330 in FIG. 1D. In some embodiments, row decoders, column decoders, and read/write circuits may be split or shared between memory arrays. As depicted, row decoder 349 is shared between memory arrays 352 and 354 because row decoder 349 controls word lines in both memory arrays 352 and 354 (i.e., the word lines driven by row decoder 349 are shared). Row decoders 348 and 349 may be split such that even word lines in memory array 352 are driven by row decoder 348 and odd word lines in memory array 352 are driven by row decoder 349. Column decoders 344 and 346 may be split such that even bit lines in memory array 352 are controlled by column decoder 346 and odd bit lines in memory array 352 are driven by column decoder 344. The selected bit lines controlled by column decoder 344 may be electrically coupled to read/write circuits 340. The selected bit lines controlled by column decoder 346 may be electrically coupled to read/write circuits 342. Splitting the read/write circuits into read/ write circuits 340 and 342 when the column decoders are split allows for a more efficient layout of the memory bay.
  • FIG. 2A depicts one embodiment of a schematic diagram (including word lines and bit lines) corresponding with memory bay 332 in FIG. 1F. As depicted, word lines WL1, WL3, and WL5 are shared between memory arrays 352 and 354 and controlled by row decoder 349 of FIG. 1F. Word lines WL0, WL2, WL4, and WL6 are driven from the left side of memory array 352 and controlled by row decoder 348 of FIG. 1F. Word lines WL14, WL16, WL18, and WL20 are driven from the right side of memory array 354 and controlled by row decoder 350 of FIG. 1F. Bit lines BL0, BL2, BL4, and BL6 are driven from the bottom of memory array 352 and controlled by column decoder 346 of FIG. 1F. Bit lines BL1, BL3, and BL5 are driven from the top of memory array 352 and controlled by column decoder 344 of FIG. 1F.
  • In one embodiment, the memory arrays 352 and 354 may comprise memory layers that are oriented in a horizontal plane that is horizontal to the supporting substrate. In another embodiment, the memory arrays 352 and 354 may comprise memory layers that are oriented in a vertical plane that is vertical to the supporting substrate (i.e., the vertical plane is perpendicular to the supporting substrate).
  • FIG. 2B depicts one embodiment of a schematic diagram (including word lines and bit lines) corresponding with a memory bay arrangement wherein word lines and bit lines are shared across memory blocks, and both row decoders and column decoders are split. Sharing word lines and/or bit lines helps to reduce layout area since a single row decoder and/or column decoder can be used to support two memory arrays. As depicted, word lines WL1, WL3, and WL5 are shared between memory arrays 406 and 408. Bit lines BL1, BL3, and BL5 are shared between memory arrays 406 and 402. Row decoders are split such that word lines WL0, WL2, WL4, and WL6 are driven from the left side of memory array 406 and word lines WL1, WL3, and WL5 are driven from the right side of memory array 406. Column decoders are split such that bit lines BL0, BL2, BL4, and BL6 are driven from the bottom of memory array 406 and bit lines BL1, BL3, and BL5 are driven from the top of memory array 406. Splitting row and/or column decoders also helps to relieve layout constraints (e.g., the column decoder pitch can be relieved by 2× since the split column decoders need only drive every other bit line instead of every bit line).
  • FIG. 3A depicts one embodiment of a portion of a monolithic three-dimensional memory array 201 that includes a second memory level 220 positioned above a first memory level 218. Memory array 201 is one example of an implementation for memory array 301 in FIG. 1E. The bit lines 206 and 210 are arranged in a first direction and the word lines 208 are arranged in a second direction perpendicular to the first direction. As depicted, the upper conductors of first memory level 218 may be used as the lower conductors of the second memory level 220 that is positioned above the first memory level. In a memory array with additional layers of memory cells, there would be corresponding additional layers of bit lines and word lines.
  • As depicted in FIG. 3A, memory array 201 includes a plurality of memory cells 200. The memory cells 200 may include re-writeable memory cells. The memory cells 200 may include non-volatile memory cells or volatile memory cells. With respect to first memory level 218, a first portion of memory cells 200 are between and connect to bit lines 206 and word lines 208. With respect to second memory level 220, a second portion of memory cells 200 are between and connect to bit lines 210 and word lines 208. In one embodiment, each memory cell includes a steering element (e.g., a diode) and a memory element (i.e., a state change element). In one example, the diodes of the first memory level 218 may be upward pointing diodes as indicated by arrow A1 (e.g., with p regions at the bottom of the diodes), while the diodes of the second memory level 220 may be downward pointing diodes as indicated by arrow A2 (e.g., with n regions at the bottom of the diodes), or vice versa. In another embodiment, each memory cell includes only a state change element. The absence of a diode from a memory cell may reduce the process complexity and costs associated with manufacturing a memory array.
  • In one embodiment, the memory cells 200 of FIG. 3A include re-writable non-volatile memory cells. In one example, U.S. Patent Application Publication No. 2006/0250836, which is herein incorporated by reference in its entirety, describes a rewriteable non-volatile memory cell that includes a diode coupled in series with a reversible resistance-switching element. A reversible resistance-switching element includes reversible resistivity-switching material having a resistivity that may be reversibly switched between two or more states. In one embodiment, the reversible resistance-switching material may include a metal oxide. The metal oxide may include nickel oxide or hafnium oxide. In another embodiment, the reversible resistance-switching material may include a phase change material. The phase change material may include a chalcogenide material. In some cases, the re-writeable non-volatile memory cells may comprise resistive RAM (ReRAM) devices.
  • In another embodiment, the memory cells 200 of FIG. 3A may include conductive bridge memory elements. A conductive bridge memory element may also be referred to as a programmable metallization cell. A conductive bridge memory element may be used as a state change element based on the physical relocation of ions within a solid electrolyte. In some cases, a conductive bridge memory element may include two solid metal electrodes, one relatively inert (e.g., tungsten) and the other electrochemically active (e.g., silver or copper), with a thin film of the solid electrolyte between the two electrodes. As temperature increases, the mobility of the ions also increases causing the programming threshold for the conductive bridge memory cell to decrease. Thus, the conductive bridge memory element may have a wide range of programming thresholds over temperature.
  • Referring to FIG. 3A, in one embodiment of a read operation, the data stored in one of the plurality of memory cells 200 may be read by biasing one of the word lines (i.e., the selected word line) to the selected word line voltage in read mode (e.g., 0.4V). A read circuit is then used to bias a selected bit line connected to the selected memory cell to the selected bit line voltage in read mode (e.g., 0V). In some cases, in order to avoid sensing leakage current from the many unselected word lines to the selected bit line, the unselected word lines may be biased to the same voltage as the selected bit lines (e.g., 0V). To avoid leakage current from the selected word line to the unselected bit lines, the unselected bit lines may be biased to the same voltage as the selected word line (e.g., 0.4V); however, biasing the unselected word lines to the same voltage as the selected bit lines and biasing the unselected bit lines to the same voltage as the selected word line will place a large voltage stress across the unselected memory cells driven by both the unselected word lines and the unselected bit lines.
  • In an alternative read biasing scheme, both the unselected word lines and the unselected bit lines may be biased to an intermediate voltage that is between the selected word line voltage and the selected bit line voltage. Applying the same voltage to both the unselected word lines and the unselected bit lines may reduce the voltage stress across the unselected memory cells driven by both the unselected word lines and the unselected bit lines; however, the reduced voltage stress comes at the expense of increased leakage currents associated with the selected word line and the selected bit line. Before the selected word line voltage has been applied to the selected word line, the selected bit line voltage may be applied to the selected bit line, and a read circuit may then sense an auto zero amount of current through the selected memory bit line which is subtracted from the bit line current in a second current sensing when the selected word line voltage is applied to the selected word line. Leakage current may be subtracted out by using the auto zero current sensing.
  • Referring to FIG. 3A, in one embodiment of a write operation, the reversible resistance-switching material may be in an initial high-resistivity state that is switchable to a low-resistivity state upon application of a first voltage and/or current. Application of a second voltage and/or current may return the reversible resistance-switching material back to the high-resistivity state. Alternatively, the reversible resistance-switching material may be in an initial low-resistance state that is reversibly switchable to a high-resistance state upon application of the appropriate voltage(s) and/or current(s). When used in a memory cell, one resistance state may represent a binary data “0” while another resistance state may represent a binary data “1.” In some cases, a memory cell may be considered to comprise more than two data/resistance states (i.e., a multi-level memory cell). In some cases, a write operation is similar to a read operation except with a larger voltage range placed across the selected memory cells.
  • The process of switching the resistance of a reversible resistance-switching element from a high-resistivity state to a low-resistivity state may be referred to as SETTING the reversible resistance-switching element. The process of switching the resistance from the low-resistivity state to the high-resistivity state may be referred to as RESETTING the reversible resistance-switching element. The high-resistivity state may be associated with binary data “0” and the low-resistivity state may be associated with binary data “1.” In other embodiments, SETTING and RESETTING operations and/or the data encoding can be reversed. In some embodiments, the first time a resistance-switching element is SET requires a higher than normal voltage and is referred to as a FORMING operation.
  • Referring to FIG. 3A, in one embodiment of a write operation, data may be written to one of the plurality of memory cells 200 by biasing one of the word lines (i.e., the selected word line) to the selected word line voltage in write mode (e.g., 5V). A write circuit may be used to bias the bit line connected to the selected memory cell to the selected bit line voltage in write mode (e.g., 0V). In some cases, in order to prevent program disturb of unselected memory cells sharing the selected word line, the unselected bit lines may be biased such that a first voltage difference between the selected word line voltage and the unselected bit line voltage is less than a first disturb threshold. To prevent program disturb of unselected memory cells sharing the selected bit line, the unselected word lines may be biased such that a second voltage difference between the unselected word line voltage and the selected bit line voltage is less than a second disturb threshold. The first disturb threshold and the second disturb threshold may be different depending on the amount of time in which the unselected memory cells susceptible to disturb are stressed.
  • In one write biasing scheme, both the unselected word lines and the unselected bit lines may be biased to an intermediate voltage that is between the selected word line voltage and the selected bit line voltage. The intermediate voltage may be generated such that a first voltage difference across unselected memory cells sharing a selected word line is greater than a second voltage difference across other unselected memory cells sharing a selected bit line. One reason for placing the larger voltage difference across the unselected memory cells sharing a selected word line is that the memory cells sharing the selected word line may be verified immediately after a write operation in order to detect a write disturb.
  • FIG. 3B depicts one embodiment of a portion of a monolithic three-dimensional memory array that includes a second memory level positioned above a first memory level. The second memory level includes memory element 224 and steering element 226. The first memory level includes memory element 228 and steering element 230. The bit lines 206 and 210 are arranged in a first direction and the word line 208 is arranged in a second direction perpendicular to the first direction. As depicted, the memory element 224 may include a memory layer stack comprising a layer of p-type polycrystalline silicon (or polysilicon) formed above a layer of hafnium oxide. The steering element 226 may comprise a diode (e.g., a L1 diode) pointing in a first direction. The memory element 228 may include a memory layer stack comprising a layer of hafnium oxide formed above a layer of p-type polysilicon. The steering element 230 may comprise a diode (e.g., a L0 diode) pointing in a second direction different from the first direction. The bit lines 206 and 210 and the word line 208 may comprise a tungsten layer. In some cases, titanium nitride layers may be used as barrier layers (e.g., formed between a memory element and a steering element) or adhesion layers (e.g., formed above a word line layer or a bit line layer).
  • FIG. 3C depicts a subset of the memory array and routing layers of one embodiment of a three-dimensional memory array, such as memory array 301 in FIG. 1E. As depicted, the Memory Array layers are positioned above the Substrate. The Memory Array layers include bit line layers BL0, BL1 and BL2, and word line layers WL0 and WL1. In other embodiments, additional bit line and word line layers can also be implemented. Supporting circuitry (e.g., row decoders, column decoders, and read/write circuits) may be arranged on the surface of the Substrate with the Memory Array layers fabricated above the supporting circuitry. An integrated circuit implementing a three-dimensional memory array may also include multiple metal layers for routing signals between different components of the supporting circuitry, and between the supporting circuitry and the bit lines and word lines of the memory array. These routing layers can be arranged above the supporting circuitry that is implemented on the surface of the Substrate and below the Memory Array layers.
  • As depicted in FIG. 3C, two metal layers R1 and R2 are used for routing layers; however, other embodiments can include more or less than two metal layers. In one example, these metal layers R1 and R2 are formed of tungsten (about 1 ohm/square). Positioned above the Memory Array layers may be one or more top metal layers used for routing signals between different components of the integrated circuit such as the Top Metal layer. In one example, the Top Metal layer is formed of copper or aluminum (about 0.05 ohms/square), which may provide a smaller resistance per unit area than layers R1 and R2. Metals layers R1 and R2 may not be implemented using the same materials as those used for the Top Metal layers because the metal used for R1 and R2 must be able to withstand the processing steps for fabricating the Memory Array layers on top of R1 and R2.
  • FIG. 4 depicts one embodiment of a portion of a monolithic three-dimensional memory array 402 that includes a first memory level 412 positioned below a second memory level 410. Memory array 402 is one example of an implementation for memory array 301 in FIG. 1E. The local bit lines LBL11-LBL33 are arranged in a first direction (i.e., a vertical direction) and the word lines WL10-WL23 are arranged in a second direction perpendicular to the first direction. This arrangement of vertical bit lines in a monolithic three-dimensional memory array is one embodiment of a vertical bit line memory array. As depicted, disposed between the intersection of each local bit line and each word line is a particular memory cell (e.g., memory cell M111 is disposed between local bit line LBL11 and word line WL10). The global bit lines GBL1-GBL3 are arranged in a third direction that is perpendicular to both the first direction and the second direction. A set of bit line select devices (e.g., Q11-Q31) may be used to select a set of local bit lines (e.g., LBL11-LBL31). As depicted, bit line select devices Q11-Q31 are used to select the local bit lines LBL11-LBL31 and to connect the local bit lines LBL11-LBL31 to the global bit lines GBL1-GBL3 using row select line SG1. Similarly, bit line select devices Q12-Q32 are used to selectively connect the local bit lines LBL12-LBL32 to the global bit lines GBL1-GBL3 using row select line SG2 and bit line select devices Q13-Q33 are used to selectively connect the local bit lines LBL13-LBL33 to the global bit lines GBL1-GBL3 using row select line SG3.
  • Referring to FIG. 4, as only a single bit line select device is used per local bit line, only the voltage of a particular global bit line may be applied to a corresponding local bit line. Therefore, when a first set of local bit lines (e.g., LBL11-LBL31) is biased to the global bit lines GBL1-GBL3, the other local bit lines (e.g., LBL12-LBL32 and LBL13-LBL33) must either also be driven to the same global bit lines GBL1-GBL3 or be floated. In one embodiment, during a memory operation, all local bit lines within the memory array are first biased to an unselected bit line voltage by connecting each of the global bit lines to one or more local bit lines. After the local bit lines are biased to the unselected bit line voltage, then only a first set of local bit lines LBL11-LBL31 are biased to one or more selected bit line voltages via the global bit lines GBL1-GBL3, while the other local bit lines (e.g., LBL12-LBL32 and LBL13-LBL33) are floated. The one or more selected bit line voltages may correspond with, for example, one or more read voltages during a read operation or one or more programming voltages during a programming operation.
  • In one embodiment, a vertical bit line memory array, such as memory array 402, includes a greater number of memory cells along the word lines as compared with the number of memory cells along the bit lines. For example, the number memory cells along each bit line may be 16, while the number of memory cells along each word line may be 2048. More information regarding the structure and operation of vertical bit line memory arrays can be found in U.S. Provisional Application 61/423,007, “Non-Volatile Memory Having 3D Array of Read/Write Elements With Vertical Bit Lines and Laterally Aligned Active Elements and Methods Thereof” and U.S. patent application Ser. No. 13/323,703, “Three Dimensional Non-Volatile Storage with Three Device Driver for Row Select,” both of which are herein incorporated by reference in their entirety.
  • FIG. 5 depicts one embodiment of a read/write circuit 502 along with a portion of a memory array 501. Read/write circuit 502 is one example of an implementation of read/write circuit 306 in FIG. 1D. The portion of a memory array 501 includes two of the many bit lines (one selected bit line labeled “Selected BL” and one unselected bit line labeled “Unselected BL”) and two of the many word lines (one selected word line labeled “Selected WL” and one unselected word line labeled “Unselected WL”). The portion of a memory array also includes a selected memory cell 550 and unselected memory cells 552-556. In one embodiment, the portion of a memory array 501 may comprise a memory array with bit lines arranged in a direction horizontal to the substrate, such as memory array 201 in FIG. 3A. In another embodiment, the portion of a memory array 501 may comprise a memory array with bit lines arranged in a vertical direction that is perpendicular to the substrate, such as memory array 402 in FIG. 4. As depicted, the selected bit line is biased to 1V, the unselected word line is biased to 0.6V, the selected word line is biased to 0V, and the unselected bit line is biased to 0.5V.
  • In some embodiments, the selected bit line may be biased to 2.0V, the unselected word line may be biased to 2.0V, the selected word line may be biased to 0V, and the unselected bit line may be biased to 0V. In other embodiments, the memory array biasing scheme of FIG. 5 may be reversed such that the selected bit line is biased to 0V, the unselected word line is biased to 0.4V, the selected word line is biased to 1V, and the unselected bit line is biased to 0.5V.
  • As depicted in FIG. 5, the SELB node of read/write circuit 502 is electrically coupled to the selected bit line via column decoder 504. In one embodiment, column decoder 504 may correspond with column decoder 302 depicted in FIG. 1E. Transistor 562 couples node SELB to the Vsense node. The transistor 562 may comprise a low VT nMOS device. Clamp control circuit 564 controls the gate of transistor 562. The Vsense node is connected to reference current Iref and one input of sense amplifier 566. The other input of sense amplifier 566 receives Vref-read, which is the voltage level used for comparing the Vsense node voltage in read mode. The output of sense amplifier 566 is connected to the data out terminal and to data latch 568. Write circuit 560 is connected to node SELB, the data in terminal, and data latch 568.
  • During a read operation, read/write circuit 502 biases the selected bit line to the selected bit line voltage in read mode. Prior to sensing data, read/write circuit 502 will precharge the Vsense node to 2V. When sensing data, read/write circuit 502 attempts to regulate the SELB node to 1V via clamp control circuit 564 and transistor 562 in a source-follower configuration. If the current through the selected memory cell 550 is greater than the read current limit, Iref, then, over time, the Vsense node will fall below Vref-read (e.g., set to 1.5V) and the sense amplifier 566 will read out a data “0.” Outputting a data “0” represents that the selected memory cell 550 is in a low resistance state (e.g., a SET state). If the current through the selected memory cell 550 is less than Iref, then the Vsense node will stay above Vref-read and the sense amplifier 566 will read out a data “1.” Outputting a data “1” represents that the selected memory cell 550 is in a high resistance state (e.g., a RESET state). Data latch 568 will latch the output of sense amplifier 566 after a time period of sensing the current through the selected memory cell (e.g., 400 ns).
  • In one embodiment, during a write operation, if the data in terminal requests a data “0” to be written to a selected memory cell, then read/write circuit 502 biases SELB to the selected bit line voltage in write mode (e.g., 1.2V for a SET operation) via write circuit 560. The duration of programming the memory cell can be a fixed time period (e.g., using a fixed-width programming pulse) or variable (e.g., using a write circuit 560 that senses whether a memory cell has been programmed while programming). More information regarding write circuits that can sense while programming data can be found in U.S. Pat. No. 6,574,145, “Memory Device and Method for Sensing While Programming a Non-Volatile Memory Cell,” incorporated herein by reference in its entirety. If the data in terminal requests a data “1” to be written, then write circuit 560 may bias SELB to the unselected bit line voltage in write mode (e.g., 0V for a SET operation). The write circuit 560 may also bias SELB to a program inhibit voltage in write mode that is different from the unselected bit line voltage.
  • FIG. 6A depicts one embodiment of a cross-point memory array 610. The cross-point memory array 610 may correspond with memory array 201 in FIG. 3A or memory array 402 in FIG. 4. As depicted, cross-point memory array 610 includes word lines 602-608 and bit lines 612-618. Word line 604 comprises a selected word line and bit line 614 comprises a selected bit line. At the intersection of selected word line 604 and selected bit line 614 is a selected memory cell (an S cell). The voltage across the S cell is the difference between the selected word line voltage and the selected bit line voltage. Memory cells at the intersections of the selected word line 604 and the unselected bit lines 612, 616, and 618 comprise unselected memory cells (H cells). H cells are unselected memory cells that share a selected word line that is biased to the selected word line voltage. The voltage across the H cells is the difference between the selected word line voltage and the unselected bit line voltage. Memory cells at the intersections of the selected bit line 614 and the unselected word lines 602, 606, and 608 comprise unselected memory cells (F cells). F cells are unselected memory cells that share a selected bit line that is biased to a selected bit line voltage. The voltage across the F cells is the difference between the unselected word line voltage and the selected bit line voltage. Memory cells at the intersections of the unselected word lines 602, 606, and 608 and the unselected bit lines 612, 616, and 618 comprise unselected memory cells (U cells). The voltage across the U cells is the difference between the unselected word line voltage and the unselected bit line voltage.
  • The number of F cells is related to the length of the bit lines (or the number of memory cells connected to a bit line) while the number of H cells is related to the length of the word lines (or the number of memory cells connected to a word line). The number of U cells is related to the product of the word line length and the bit line length. In one embodiment, each memory cell sharing a particular word line, such as word line 602, may be associated with a particular page stored within the cross-point memory array 610.
  • FIG. 6B depicts an alternative embodiment of a cross-point memory array 620. The cross-point memory array 620 may correspond with memory array 201 in FIG. 3A or memory array 402 in FIG. 4. As depicted, cross-point memory array 620 includes word lines 622-628 and bit lines 632-638. Word line 624 comprises a selected word line and bit lines 634 and 638 comprise selected bit lines. Although both bit lines 634 and 638 are selected, the voltages applied to bit line 634 and bit line 638 may be different. For example, in the case that bit line 634 is associated with a first memory cell to be programmed (i.e., an S cell), then bit line 634 may be biased to a selected bit line voltage in order to program the first memory cell. In the case that bit line 638 is associated with a second memory cell that is not to be programmed (i.e., an I cell), then bit line 638 may be biased to a program inhibit voltage (i.e., to a bit line voltage that will prevent the second memory cell from being programmed).
  • At the intersection of selected word line 624 and selected bit line 638 is a program inhibited memory cell (an I cell). The voltage across the I cell is the difference between the selected word line voltage and the program inhibit voltage. Memory cells at the intersections of the selected bit line 638 and the unselected word lines 622, 626, and 628 comprise unselected memory cells (X cells). X cells are unselected memory cells that share a selected bit line that is biased to a program inhibit voltage. The voltage across the X cells is the difference between the unselected word line voltage and the program inhibit voltage. In one embodiment, the program inhibit voltage applied to the selected bit line 638 may be similar to the unselected bit line voltage. In another embodiment, the program inhibit voltage may be a voltage that is greater than or less than the unselected bit line voltage. For example, the program inhibit voltage may be set to a voltage that is between the selected word line voltage and the unselected bit line voltage. In some cases, the program inhibit voltage applied may be a function of temperature. In one example, the program inhibit voltage may track the unselected bit line voltage over temperature.
  • In one embodiment, two or more pages may be associated with a particular word line. In one example, word line 622 may be associated with a first page and a second page. The first page may correspond with bit lines 632 and 636 and the second page may correspond with bit lines 634 and 638. In this case, the first page and the second page may correspond with interdigitated memory cells that share the same word line. When a memory array operation is being performed on the first page (e.g., a programming operation) and the selected word line 624 is biased to the selected word line voltage, one or more other pages also associated with the selected word line 624 may comprise H cells because the memory cells associated with the one or more other pages will share the same selected word line as the first page.
  • In some embodiments, not all unselected bit lines may be driven to an unselected bit line voltage. Instead, a number of unselected bit lines may be floated and indirectly biased via the unselected word lines. In this case, the memory cells of memory array 620 may comprise resistive memory elements without isolating diodes. In another embodiment, a floating control line (e.g., bit line 636) comprises a portion of the memory array that may be undriven during an operation on memory cell S using a first selected control line (e.g., bit line 634). Selection devices connected to control line 636 may be turned off during the memory operation causing control line 636 to be floating. Since a portion of the memory cells connected to the control lines 634 and 636 are also connected to shared unselected second control lines 622, 626, and 628, the floating control lines will float to a voltage substantially the same as the voltage of the unselected second control lines. In one embodiment, the control lines 634 and 636 may comprise vertical bit lines in a three dimensional memory array comprising comb shaped word lines. More information regarding vertical bit line three dimensional memory arrays can be found in U.S. Provisional Application 61/526,764, “Optimized Architecture for Three Dimensional Non-Volatile Storage Device with Vertical Bit Lines” and U.S. patent application Ser. No. 13/323,573, “Three Dimensional Non-Volatile Storage with Multi Block Row Selection,” both of which are herein incorporated by reference in their entirety.
  • FIG. 7A depicts one embodiment of memory cell current distributions for memory cells programmed into an ON state (bounded by the lines 702-703) and memory cells programmed into an OFF state (bounded by lines 712-713) over an applied memory cell voltage (VCELL). As depicted, the memory cell current distribution for memory cells programmed into the ON state (e.g., one of the possible conducting states for a memory cell) is shown by a range bounded by lines 702-703, which comprise the boundaries of the expected variability for a given probability distribution (e.g., +/−4 sigma variation). In one example, the line 702 may correspond with memory cell I-V characteristics associated with a “strong” ON memory cell and the line 703 may correspond with memory cell I-V characteristics associated with a “weak” ON memory cell. The memory cell current distribution for memory cells programmed into the OFF state is shown by a range bounded by lines 712-713, which comprise the boundaries of the expected variability for a given probability distribution (e.g., +/−4 sigma variation). In one example, the line 712 may correspond with memory cell I-V characteristics associated with a “strong” OFF memory cell and the line 713 may correspond with memory cell I-V characteristics associated with a “weak” OFF memory cell.
  • As depicted, for a given VCELL (e.g., 1.7V applied across a memory cell), the output current (ICELL) associated with a “weak” ON memory cell is greater than the ICELL associated with a “strong” OFF memory cell. However, if the voltage applied across a “weak” ON memory cell is less than the voltage applied across a “strong” OFF memory cell (e.g., due to IR voltage drops along a bit line or a word line), then the ICELL associated with the “weak” ON memory cell may not be greater than the ICELL associated with the “strong” OFF memory cell. For example, if the VCELL applied to a “strong” OFF memory cell is 1.7V and the VCELL applied to a “weak” ON memory cell is 1.5V, then the output currents of both cells may be roughly equal; thus, in this case, the states of the two memory cells may not be distinguishable.
  • FIG. 7B depicts one embodiment of memory cell current distributions for memory cells programmed into an ON state (corresponding with memory cell current distribution 760) and memory cells programmed into an OFF state (corresponding with memory cell current distribution 762) for a given memory cell bias voltage applied to the memory cells (e.g., 1.7V applied across the memory cells). The ON state memory cells associated with output currents above an ICELL level of IC may be deemed “strong” ON memory cells and the ON state memory cells associated with output currents below an ICELL level of IB may be deemed “weak” ON memory cells. The ON state memory cells associated with output currents between the ICELL levels IB and IC may be deemed “typical” ON memory cells. Similarly, the OFF state memory cells associated with output currents above an ICELL level of IA may be deemed “strong” OFF memory cells.
  • In one embodiment, a particular memory cell may be determined to by in an ON state corresponding with the memory cell current distribution 760 using one or more sensing operations. To determine whether the particular memory cell is at a low end of a current distribution 760 associated with “weak” memory cells, an output current comparison with current level IB may be performed. To determine whether the particular memory cell is at a high end of the current distribution 760 associated with “strong” memory cells, an output current comparison with current level IC may be performed. Similarly, to determine whether a particular memory cell is at a high end of the current distribution 762 associated with “strong” memory cells, an output current comparison with current level IA may be performed.
  • FIG. 8A depicts one embodiment of circuitry for generating a plurality of bit line voltage options. Each voltage of the plurality of bit line voltage options may be used for generating a different selected bit line voltage. As depicted, the VBL options generator 801 includes a VBL settings generator 802, VBL generators 804-807, and VSFG generators 812-815. Each of the VBL generators 804-807 may comprise a non-inverting amplifier with a configurable resistor network (or ladder) that may be configured based on an input voltage setting. One example of a VSFG generator is depicted in FIG. 8B. The VBL settings generator 802 may include mapping logic or a state machine for generating a plurality of settings associated with a plurality of bit line voltages. In one embodiment, an uncompensated (or baseline) bit line voltage setting, BL_voltage_setting, may comprise a digital value used as the uncompensated voltage setting from which the plurality of settings may be determined. In one example, BL_voltage_setting may comprise a binary number associated with a selected bit line voltage during a read operation (e.g., 2V).
  • As depicted, the VBL settings generator 802 may take as inputs a memory address (e.g., comprising row and column addresses associated with a memory array), and various offset voltage settings including BL_zone_offset (an offset based on zones of a memory array for compensating for bit line resistance), BL_layer_offset (an offset based on the bit line layer), Cell_direction_offset (an offset based on the direction of a memory element or steering element), and Edge_array_offset (an offset based on whether a memory array is near an edge of a memory die). The memory address may be generated using an address decoder, such as address decoders 170 in FIG. 1B, and may include row address information and column address information for targeted memory cells in a memory array. The memory address may be used to determine the targeted memory array zone, the bit line layer, the memory cell direction, and whether the target memory array is an edge array. In some embodiments, a look-up table or combinational logic may be used to determine a compensated bit line voltage based on the memory address and the various offset voltage settings. In one example, a memory address corresponding with a near zone in a memory array, a third bit line layer, and an up cell direction may cause a BL_voltage_setting set to 2.0V to be mapped to a compensated bit line voltage of 2.25V.
  • As depicted, the VBL settings generator 802 may take as inputs WL_near_far_offset (offset values associated with whether a memory cell is located at a near end of a word line or a far end of the word line) and Cell_weak_strong_offset (offset values associated with whether a memory cell is a “strong” memory cell or a “weak” memory cell).
  • In one embodiment, if a memory cell is located at a far end of a word line, then the bit line voltage associated with the memory cell may be increased to compensate for additional IR drops along the word line. As both “near” bits and “far” bits may be read during a read operation or programmed during a programming operation, two compensated bit line voltages may be generated corresponding with a first compensated voltage to be applied to memory cells located at a near end of a word line and a second compensated voltage to be applied to memory cells located at a far end of the word line.
  • In one embodiment, if a memory cell is deemed to be a “weak” memory cell, then the bit line voltage associated with the memory cell may be increased to compensate for the reduced output current capability of the memory cell. As the determination of whether a memory cell is a “strong” memory cell or a “weak” memory cell must be made on a per memory cell basis, two compensated bit line voltages may be generated corresponding with a first compensated voltage to be applied to “strong” memory cells and a second compensated voltage to be applied to “weak” memory cells.
  • In some embodiments, four compensated bit line voltages (e.g., corresponding with VBL1-VBL4) may be generated corresponding with the four memory cell combinations associated with near/far memory cells and strong/weak memory cells. The VBL settings generator 802 may output binary values associated with the four compensated bit line voltages (e.g., VBL1_setting, VBL2_setting, VBL3_setting, and VBL4_setting) to four voltage generators for generating the four compensated bit line voltages. In some cases, the four compensated bit line voltages VBL1-VBL4 may be distributed to memory cell write circuitry for biasing selected bit lines during a programming operation.
  • In some embodiments, rather than outputting (or distributing) the four compensated bit line voltages directly to read/write circuits, such as read/write circuits 306 in FIG. 1D, four source-follower gate (SFG) voltages may be generated for driving transistors in a source-follower configuration for biasing selected bit lines, such as transistor 562 in FIG. 5.
  • FIG. 8B depicts one embodiment of a VSFG generator, such as VSFG generator 812 in FIG. 8A. The VSFG generator includes amplifier 822, transistor 824, amplifier 826, and reference current 821. The reference current 821 may be implemented using a current mirror and may be set to a value related to a minimum sensing current associated with a memory cell. As depicted, due to closed-loop feedback through amplifier 822, the source of transistor 824 may be regulated close to the input voltage VBL that is input to the amplifier 822. This will cause the gate of transistor 824 to be biased to an appropriate SFG voltage such that transistor 824 may source current associated with the reference current 821 using a source voltage close to the input voltage VBL. Amplifier 826 in a unity gain amplifier configuration may be used as a buffer to drive the output voltage VBL_SFG to one or more transistors, such as transistor 562 and FIG. 5.
  • FIG. 8C depicts one embodiment of a read/write circuit 852 for reading and/or writing a particular memory cell selected via a column decoder. Read/write circuit 852 is one example of an implementation of a read/write circuit included in read/write circuits 306 in FIG. 1D. In some embodiments, the capacitance associated with the SELB node (e.g., 3 pF) may be larger than the capacitance associated with the Vsense node (e.g., 100 fF) due to wiring capacitance and diffusion capacitance associated with connecting the SELB node to numerous column decoders. The read/write circuit 852 may comprise a memory cell sensing circuit for determining the state of a memory cell during a sensing operation. The read/write circuit 852 may also comprise a memory cell programming circuit for programming a memory cell using a bit line voltage selected from plurality of bit line voltage options.
  • As depicted, the SELB node of read/write circuit 852 is connected to the source of transistor 862 and write circuit 860. Transistor 862 couples node SELB to the Vsense node. Multiplexor (mux) 856 controls the gate of transistor 862, which may comprise a low VT nMOS device. The Vsense node is connected to the drain of transistor 862, a reference current Iref (which may be configurable), and one input of sense amplifier 866. The other input of sense amplifier 866 receives Vref, which is the voltage level used for comparing the Vsense node voltage during read and/or programming operations. The output of sense amplifier 866 is connected to the data out terminal and to data latch 868. Write circuit 860 is connected to node SELB, the data in terminal, and data latch 868.
  • As depicted, mux 856 takes as inputs four voltages VBL1_SFG, VBL2_SFG, VBL3_SFG, and VBL4_SFG associated with four different selected bit line voltages. The mux 856 selects one of the four input voltages to be connected to the gate of transistor 862 based on two select inputs BL/WL_address (e.g., address information associated with whether a selected memory cell is a “near” bit or a “far” bit) and Cell_weak_strong (e.g., a single bit value associated with whether a selected memory cell is a “strong” memory cell or a “weak” memory cell).
  • In one embodiment, four compensated bit line voltages are provided to a plurality of read/write circuits for per bit selection of the appropriate bit line voltage. In one example, during a programming operation, a read/write circuit may select the appropriate bit line voltage to apply based on whether the targeted memory cell is in a near zone or a far zone and/or whether the target memory cell is a “strong” memory cell or a “weak” memory cell. In another embodiment, four SFG voltages are provided to a plurality of read/write circuits for per bit selection of the appropriate SFG voltage used for applying an appropriate bit line voltage to a selected bit line. In some cases, mux 856 may be used for selecting an appropriate SFG voltage for driving transistor 862 during a read operation. In other cases, mux 856 may be used for selecting an appropriate SFG voltage for driving transistor 862 during a programming operation. During a programming operation, the write circuit 860 may also directly apply a selected bit line voltage from a plurality of bit line voltage options via a mux not depicted.
  • FIG. 8D depicts one embodiment of a portion of a memory core, such as memory core 103 in FIG. 1A. The memory core includes a top stripe, a memory array 890, and a bottom stripe. The top stripe includes a first VBL options generator 801 for generating four voltages VBL1-VBL4, a page register 881 (or data buffer), read/write circuits 883, and column decoder 885. The bottom stripe includes a second VBL options generator 801 for generating four voltages VBL5-VBL8, a page register 882 (or data buffer), read/write circuits 884, and column decoder 886. In some cases, the column decoder 885 may be used for selecting even bit lines and the column decoder 886 may be used for selecting odd bit lines.
  • As depicted, the memory array 890 may be broken into zones. In one example, each zone may be determined based on a range of row addresses or a range of word lines. The memory array 890 may be grouped into N zones, where Zone 1 is closest to read/write circuits 883 and Zone N is closest to read/write circuits 884. In this case, due to bit line resistance, memory cells located in Zone N may experience a larger IR drop along bit lines driven from read/write circuits 883 than memory cells located in Zone 1. Similarly, due to bit line resistance, memory cells located in Zone N may experience a smaller IR drop along bit lines driven from read/write circuits 884 than memory cells located in Zone 1. In one embodiment, the top stripe bit line voltages corresponding with VBL1-VBL4 may be different from the bottom stripe bit line voltages corresponding with VBL5-VBL8 to compensate for bit line resistance based on a target zone for targeted memory cells during a read and/or write operation. In one example, during a programming operation, VBL1-VBL4 may correspond with the voltages 6.0V, 6.15V, 6.3V, and 6.45V, respectively, while VBL5-VBL8 may correspond with the voltages 6.45V, 6.6V, 6.75V, and 6.9V, respectively
  • FIG. 9A is a flowchart describing one embodiment of a process for programming a memory cell. In one embodiment, the process of FIG. 9A is performed by a memory chip, such as memory chip 102 in FIG. 1.
  • In step 902, a plurality of bit line voltage options associated with a write operation is generated. The plurality of bit line voltage options may be generated using a bit line voltage options generator, such as VBL options generator 801 in FIG. 8A. In one embodiment, the plurality of bit line voltage options may comprise four different bit line voltages corresponding with the four memory cell combinations associated with near/far memory cells and strong/weak memory cells. One embodiment of a process for generating a plurality of bit line voltages associated with different compensation options is described later in reference to FIG. 9B.
  • In step 904, a plurality of bit line current options associated with the write operation is generated. The plurality of bit line current options may be generated using control circuitry similar to the VBL settings generator 802 of FIG. 8A, but instead of binary values for different voltage settings being generated, the binary values may be generated for different current settings. A plurality of reference currents corresponding with the different current settings may be generated using a plurality of configurable current mirrors. Each of the plurality of configurable current mirrors may multiply a stable reference current (e.g., provided by a bandgap-based current reference) by some value depending on an input current setting. In one embodiment, the plurality of bit line current options may comprise two different bit line currents corresponding with a strong memory cell and a weak memory cell.
  • In step 906, it is determined whether a memory cell is associated with an output current that is above an upper threshold given an applied voltage across the memory cell. The memory cell may comprise one of a plurality of memory cells to be programmed during the write operation. In one embodiment, the determination of whether the memory cell is associated with an output current that is above an upper threshold includes determining a state of the memory cell, determining an upper current level associated with a “strong” memory cell in the state, and then comparing the output current with the upper current level associated with a “strong” memory cell in the state. If the output current is greater than the upper current level, then the memory cell may be deemed to be a “strong” memory cell.
  • In another embodiment, the determination of whether the memory cell is associated with an output current that is above an upper threshold includes determining whether the memory cell is an ON state, determining an upper current level associated with the ON state, and then comparing the output current of the memory cell with the upper current level. The determination of whether a memory cell to be written comprises a strong, weak, or typical memory cell may be performed for each of the memory cells to be written during the write operation. The memory cell specific determinations may then be stored as a memory cell strength vector in a memory buffer, such as a page register, prior to performing the write operation.
  • One embodiment of a process for determining whether a memory cell has characteristics of a strong memory cell, weak memory cell, or a typical memory cell is described later in reference to FIG. 9C.
  • In step 908, it is determined whether the memory cell is associated with a near end of a word line. In one embodiment, the determination of whether the memory cell is located at a near end of the word line may be determined based on a word line address associated with the memory cell. In some cases, a memory cell may be deemed to be at a near end of a word line if it is located within a certain distance of a word line driver driving the word line. In other embodiments, it is determined whether the memory cell is associated with a near-near memory cell (i.e., a memory cell with relatively small path resistance due to being located near the bit line biasing circuit and located near the word line biasing circuit) based on a word line address and a bit line address associated with the memory cell.
  • In step 910, a first bit line voltage of the plurality of bit line voltage options is selected. In one embodiment, the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell. In another environment, the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located within a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a near bit based on the word line address).
  • In some cases, a page register may store a one bit or two bit vector associated with characteristics of the memory cell, such as whether the memory cell has been determined to be a strong, weak, or typical memory cell. The selection of the first bit line voltage may be performed using a read/write circuit, such as read/write circuit 852 in FIG. 8C, that takes as a control input the vector stored in the page register. The two bit vector stored in the page register may also correspond with a first bit associated with whether the memory cell is deemed strong or typical and a second bit associated with whether the memory cell is deemed to be at a near end of a word line.
  • In step 912, a first bit line current of the plurality of bit line current options is selected. In one embodiment, the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell. In another environment, the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located within a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a near bit based on the word line address).
  • In step 914, the memory cell is programmed by applying the first bit line voltage to the memory cell and the first bit line current to the memory cell. In step 916, a state of the memory cell is verified to determine whether the memory cell has reached a particular programming state.
  • FIG. 9B is a flowchart describing one embodiment of a process for generating a plurality of bit line voltages associated with different compensation options. The process described in FIG. 9B is one example of a process for implementing step 902 in FIG. 9A. In one embodiment, the process of FIG. 9B may be performed by a memory chip, such as memory chip 102 in FIG. 1.
  • In step 922, a word line address, a bit line address, and a bit line voltage associated with a memory operation are acquired. The bit line voltage may correspond with an uncompensated bit line voltage to be applied during the memory operation (e.g., 2V during a read operation). The memory operation may comprise a programming operation or a reading operation. In step 924, a memory array zone is determined based on the word line address. The word line address may determine the distance of a selected bit line to a corresponding bit line driver or read/write circuit biasing the selected line. In step 926, a bit line layer is determined based on the bit line address. In one embodiment, a memory array may comprise a monolithic three-dimensional memory array and the bit line layer may correspond with a memory layer of the monolithic three-dimensional memory array.
  • In step 928, a memory cell orientation is determined based on the bit line address and the word line address. In some memory array architectures, the memory cell orientation may be determined based solely on the bit line address. The memory cell orientation may correspond with a diode polarity or diode orientation (e.g., an upward pointing diode). In step 930, a compensated bit line voltage is generated based on the bit line voltage, the memory array zone, the bit line layer, and the memory cell orientation.
  • In step 932, one or more memory cell dependent voltage offsets are acquired. The one or more memory cell dependent voltage offsets may include an offset corresponding with whether a memory cell comprises a strong memory cell, a weak memory cell, or a typical memory cell. In one example, the bit line voltage offset for a memory cell that is deemed to be a strong memory cell may correspond with a reduction in the bit line voltage by 200 mV during a read operation. In another example, the bit line voltage offset for a memory cell that is deemed to be a strong memory cell may correspond with an increase in the bit line voltage by 500 mV during a programming operation.
  • In step 934, a plurality of bit line voltage options is generated based on the compensated bit line voltage and the one or more memory cell dependent voltage offsets. In one embodiment, the plurality of bit line voltage options may be generated using a plurality of voltage regulators each generating an output voltage corresponding with the compensated bit line voltage and one of the one or more memory cell dependent voltage offsets. In step 936, the plurality of bit line voltage options is outputted. The plurality of bit line voltage options may be distributed across a plurality of read/write circuits used for generating bit line voltages during the memory operation.
  • FIG. 9C is a flowchart describing one embodiment of a process for determining whether a memory cell has characteristics of a strong memory cell, a weak memory cell, or a typical memory cell. The process described in FIG. 9C is one example of a process for implementing step 906 in FIG. 9A. In one embodiment, the process of FIG. 9C may be performed by a memory chip, such as memory chip 102 in FIG. 1.
  • In step 942, a state of the memory cell is determined. The state of the memory cell may be determined via one or more memory cell sensing operations. The state of the memory cell may correspond with a programmed state of the memory cell (e.g., an ON state of the memory cell). In step 944, it is determined whether an output current associated with the memory cell is below (or less than) a lower threshold based on the state. In one example, the state of the memory cell may correspond with the ON state depicted in FIG. 7B and the lower threshold may correspond with the current level IB depicted in FIG. 7B. In step 946, a weak bit corresponding with whether the output current was determined to be below the lower threshold is stored. The weak bit may be stored in a page register.
  • In step 948, it is determined whether the output current associated with the memory cell is above (or greater than) an upper threshold based on the state. In one example, the state of the memory cell may correspond with the ON state depicted in FIG. 7B and the upper threshold may correspond with the current level IA depicted in FIG. 7B. In step 950, a strong bit corresponding with whether the output current was determined to be above the upper threshold is stored. The strong bit may be stored in a page register. In some cases, if neither the strong bit nor the weak bit are set to “1,” then the memory cell may be deemed a typical memory cell.
  • FIG. 9D is a flowchart describing one embodiment of a process for reading a memory cell. In one embodiment, the process of FIG. 9D is performed by a memory chip, such as memory chip 102 in FIG. 1.
  • In step 962, a plurality of bit line voltage options associated with a read operation is generated. The plurality of bit line voltage options may be generated using a bit line voltage options generator, such as VBL options generator 801 in FIG. 8A. In one embodiment, the plurality of bit line voltage options may comprise two different bit line voltages corresponding whether a memory cell is located at a far end of a word line or not located at the far end of the word line. One embodiment of a process for generating a plurality of bit line voltages associated with different compensation options was described previously in reference to FIG. 9B.
  • In step 964, a plurality of bit line current options associated with the read operation is generated. The plurality of bit line current options may be generated using control circuitry similar to the VBL settings generator 802 of FIG. 8A, but instead of binary values for different voltage settings being generated, the binary values may be generated for different current settings. A plurality of reference currents corresponding with the different current settings may be generated using a plurality of configurable current mirrors. Each of the plurality of configurable current mirrors may multiply a stable reference current (e.g., provided by a bandgap-based current reference) by some value depending on a given input current setting. In one embodiment, the plurality of bit line current options may comprise two different bit line currents corresponding with a strong memory cell and a weak memory cell.
  • In step 966, it is determined whether the memory cell is associated with a far end of a word line. In one embodiment, the determination of whether the memory cell is located at a far end of the word line may be determined based on a word line address associated with the memory cell. In other embodiments, it may be determined whether the memory cell is associated with a far-far memory cell (i.e., a memory cell with relatively large path resistance due to being located far from the bit line biasing circuit and located far from the word line biasing circuit) based on a word line address and a bit line address associated with the memory cell. In some cases, a memory cell may be deemed to be far from the end of a word line if it is located more than a certain distance from a word line driver driving the word line.
  • In step 968, a first bit line voltage of the plurality of bit line voltage options is selected. In one embodiment, the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell. In another environment, the first bit line voltage may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located more than a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a far bit based on the word line address).
  • In some cases, a page register may store a one bit or two bit vector associated with characteristics of the memory cell, such as whether the memory cell has been determined to be a strong, weak, or typical memory cell. The selection of the first bit line voltage may be performed using a read/write circuit, such as read/write circuit 852 in FIG. 8C, that takes as a control input the vector stored in the page register. The two bit vector stored in the page register may also correspond with a first bit associated with whether the memory cell is deemed strong or typical and a second bit associated with whether the memory cell is deemed to be at a near end of a word line.
  • In step 970, a first bit line current of the plurality of bit line current options is selected. In one embodiment, the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell. In another environment, the first bit line current may be selected based on the determination that the memory cell comprises a “strong” memory cell and is located more than a predetermined distance from a word line driver driving a word line connected to the memory cell (e.g., the memory cell comprises a far bit based on the word line address).
  • In step 972, a state of the memory cell is determined by applying the first bit line voltage to the memory cell and the first bit line current to the memory cell. The state of the memory cell may be determined by performing a sensing or read operation on the memory cell using a read/write circuit, such as read/write circuit 852 in FIG. 8C. In step 974, data associated with the state of the memory cell is outputted. The data associated with the state of the memory cell may be outputted to a page register for buffering prior to transmission of the data outside of a memory chip.
  • One embodiment of the disclosed technology includes generating a plurality of bit line voltage options associated with a programming operation, determining a state associated with a memory cell, determining an upper current threshold based on the state, determining a first control bit based on whether an output current associated with the memory cell is greater than the upper current threshold, selecting a first bit line voltage of the plurality of bit line voltage options based on the first control bit, and programming the memory cell by applying the first bit line voltage to the memory cell.
  • One embodiment of the disclosed technology includes a plurality of voltage generators, a plurality of memory cells including a first memory cell, and a first memory cell programming circuit in communication with the first memory cell. The plurality of voltage generators generates a plurality of bit line voltage options associated with a programming operation. The first memory cell programming circuit determines a first state associated with the first memory cell and determines an upper current threshold based on the first state. The first memory cell programming circuit determines a first control bit based on whether an output current associated with the first memory cell is greater than the upper current threshold. The first memory cell programming circuit selects a first bit line voltage of the plurality of bit line voltage options based on the first control bit and programs the first memory cell by applying the first bit line voltage to the first memory cell during the programming operation.
  • One embodiment of the disclosed technology includes generating a plurality of bit line voltage options associated with a read operation, generating a plurality of bit line current options associated with the read operation, and determining a first control bit. The determining a first control bit includes acquiring a bit line address associated with a memory cell and determining whether the memory cell is located at a far end of a word line connected to the memory cell based on the bit line address. The method further includes selecting a first bit line voltage of the plurality of bit line voltage options based on the first control bit, selecting a first bit line current of the plurality of bit line current options based on the first control bit, determining a state of the memory cell by applying the first bit line voltage and the first bit line current to a bit line connected to the memory cell, and outputting data associated with the state of the memory cell.
  • For purposes of this document, each process associated with the disclosed technology may be performed continuously and by one or more computing devices. Each step in a process may be performed by the same or different computing devices as those used in other steps, and each step need not necessarily be performed by a single computing device.
  • For purposes of this document, reference in the specification to “an embodiment,” “one embodiment,” “some embodiments,” or “another embodiment” are used to described different embodiments and do not necessarily refer to the same embodiment.
  • For purposes of this document, a connection can be a direct connection or an indirect connection (e.g., via another part).
  • For purposes of this document, the term “set” of objects, refers to a “set” of one or more of the objects.
  • Although the subject matter has been described in language specific to structural features and/or methodological acts, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described above. Rather, the specific features and acts described above are disclosed as example forms of implementing the claims.

Claims (20)

What is claimed is:
1. A method for operating a non-volatile storage system, comprising:
generating a plurality of bit line voltage options associated with a read operation;
generating a plurality of bit line current options associated with the read operation;
determining a first control bit, the determining a first control bit includes acquiring a bit line address associated with a memory cell and determining whether the memory cell is located at a far end of a word line connected to the memory cell based on the bit line address;
selecting a first bit line voltage of the plurality of bit line voltage options based on the first control bit;
selecting a first bit line current of the plurality of bit line current options based on the first control bit;
determining a state of the memory cell by applying the first bit line voltage and the first bit line current to a bit line connected to the memory cell; and
outputting data associated with the state of the memory cell.
2. The method of claim 1, wherein:
the generating a plurality of bit line voltage options includes generating the plurality of bit line voltage options based on at least one of a memory array zone associated with the memory cell or a memory cell direction associated with the memory cell.
3. The method of claim 1, wherein:
the memory cell comprises a two-terminal memory cell.
4. The method of claim 1, wherein:
the determining a state of the memory cell includes comparing a sensing current associated with the memory cell biased to the first bit line voltage with the first bit line current.
5. The method of claim 1, wherein:
the memory cell is part of a three-dimensional array of memory cells.
6. The method of claim 5, wherein:
the three-dimensional array of memory cells includes a first memory cell and a second memory cell, the first memory cell is located above the second memory cell, the second memory cell is located above a substrate.
7. The method of claim 6, wherein:
the first memory cell and the second memory cell are arranged in a vertical column that is perpendicular to the substrate.
8. The method of claim 6, wherein:
the first memory cell and the second memory cell are in communication with a first bit line, the first bit line is arranged in a vertical direction that is perpendicular to the substrate.
9. The method of claim 6, wherein:
the first memory cell and the second memory cell are formed above the substrate without any intervening substrates between the first memory cell and the second memory cell.
10. A monolithic three-dimensional integrated circuit, comprising:
a memory array, the memory array includes a word line and a bit line, the memory array includes a memory cell connected to the word line and the bit line;
a plurality of voltage generators, the plurality of voltage generators generates a plurality of bit line voltage options associated with a read operation;
a plurality of current generators, the plurality of current generators generates a plurality of bit line current options associated with the read operation; and
one or more control circuits in communication with the memory array, the one or more control circuits acquire a bit line address associated with the memory cell and determine that the memory cell is located at a far end of the word line connected to the memory cell based on the bit line address, the one or more control circuits select a first bit line voltage of the plurality of bit line voltage options based on the determination that the memory cell is located at the far end of the word line, the one or more control circuits select a first bit line current of the plurality of bit line current options based on the determination that the memory cell is located at the far end of the word line, the one or more control circuits determine a state of the memory cell by causing the first bit line voltage and the first bit line current to be applied to the bit line connected to the memory cell.
11. The monolithic three-dimensional integrated circuit of claim 10, wherein:
the plurality of voltage generators generates the plurality of bit line voltage options based on at least one of a memory array zone associated with the memory cell or a memory cell direction associated with the memory cell.
12. The monolithic three-dimensional integrated circuit of claim 10, wherein:
the memory cell comprises a two-terminal memory cell.
13. The monolithic three-dimensional integrated circuit of claim 10, wherein:
the one or more control circuits determine the state of the memory cell by comparing a sensing current associated with the memory cell biased to the first bit line voltage with the first bit line current.
14. The monolithic three-dimensional integrated circuit of claim 10, wherein:
the memory array comprises a three-dimensional array of memory cells.
15. The monolithic three-dimensional integrated circuit of claim 10, wherein:
the memory array includes a second memory cell, the memory cell is located above the second memory cell, the second memory cell is located above a substrate.
16. The monolithic three-dimensional integrated circuit of claim 15, wherein:
the memory cell and the second memory cell are arranged in a vertical column that is perpendicular to the substrate.
17. The monolithic three-dimensional integrated circuit of claim 15, wherein:
the memory cell and the second memory cell are in communication with the bit line, the bit line is arranged in a vertical direction that is perpendicular to the substrate.
18. The monolithic three-dimensional integrated circuit of claim 15, wherein:
the memory cell and the second memory cell are located above the substrate without any intervening substrates between the memory cell and the second memory cell.
19. A method for operating a non-volatile storage system, comprising:
generating a plurality of bit line voltage options associated with a memory operation;
generating a plurality of bit line current options associated with the memory operation;
determining a first control bit, the determining a first control bit includes acquiring a bit line address associated with a memory cell and determining that the memory cell is located at a far end of a word line connected to the memory cell based on the bit line address;
selecting a first bit line voltage of the plurality of bit line voltage options based on the first control bit;
selecting a first bit line current of the plurality of bit line current options based on the first control bit;
determining a state of the memory cell by applying the first bit line voltage and the first bit line current to a bit line connected to the memory cell; and
outputting data based on the state of the memory cell.
20. The method of claim 19, wherein:
the generating a plurality of bit line voltage options includes generating the plurality of bit line voltage options based on at least one of a memory array zone associated with the memory cell or a memory cell direction associated with the memory cell; and
the memory operation comprises a read operation.
US14/506,610 2013-02-21 2014-10-04 Compensation scheme for non-volatile memory Active US8934295B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/506,610 US8934295B1 (en) 2013-02-21 2014-10-04 Compensation scheme for non-volatile memory

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/773,078 US8885400B2 (en) 2013-02-21 2013-02-21 Compensation scheme for non-volatile memory
US14/506,610 US8934295B1 (en) 2013-02-21 2014-10-04 Compensation scheme for non-volatile memory

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/773,078 Division US8885400B2 (en) 2013-02-21 2013-02-21 Compensation scheme for non-volatile memory

Publications (2)

Publication Number Publication Date
US8934295B1 US8934295B1 (en) 2015-01-13
US20150023115A1 true US20150023115A1 (en) 2015-01-22

Family

ID=50190845

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/773,078 Expired - Fee Related US8885400B2 (en) 2013-02-21 2013-02-21 Compensation scheme for non-volatile memory
US14/254,883 Active US8897064B2 (en) 2013-02-21 2014-04-16 Compensation scheme for non-volatile memory
US14/506,610 Active US8934295B1 (en) 2013-02-21 2014-10-04 Compensation scheme for non-volatile memory
US14/506,607 Active US8988936B2 (en) 2013-02-21 2014-10-04 Compensation scheme for non-volatile memory

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/773,078 Expired - Fee Related US8885400B2 (en) 2013-02-21 2013-02-21 Compensation scheme for non-volatile memory
US14/254,883 Active US8897064B2 (en) 2013-02-21 2014-04-16 Compensation scheme for non-volatile memory

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/506,607 Active US8988936B2 (en) 2013-02-21 2014-10-04 Compensation scheme for non-volatile memory

Country Status (2)

Country Link
US (4) US8885400B2 (en)
WO (1) WO2014130586A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11915749B2 (en) 2021-05-14 2024-02-27 Ememory Technology Inc. Resistive memory device and forming method thereof with improved forming time and improved forming uniformity

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150049773A (en) * 2013-10-31 2015-05-08 에스케이하이닉스 주식회사 Semiconductor Memory Apparatus
US9715925B2 (en) * 2014-09-30 2017-07-25 Sandisk Technologies Llc Methods and apparatus for vertical cross point re-RAM array bias calibration
US9646691B2 (en) * 2014-10-24 2017-05-09 Sandisk Technologies Llc Monolithic three dimensional memory arrays with staggered vertical bit lines and dual-gate bit line select transistors
US10049733B2 (en) 2014-10-31 2018-08-14 Hewlett Packard Enterprise Development Lp Reusing sneak current in accessing memory cells
US9721652B2 (en) 2015-11-17 2017-08-01 Sandisk Technologies Llc State dependent sensing for wordline interference correction
US10424358B2 (en) 2017-06-12 2019-09-24 Sandisk Technologies Llc Bias control circuit with distributed architecture for memory cells
US10354728B2 (en) * 2017-06-28 2019-07-16 Sandisk Technologies Llc Write verification and resistive state determination based on cell turn-on characteristics for resistive random access memory
JP6599494B2 (en) * 2018-02-14 2019-10-30 ウィンボンド エレクトロニクス コーポレーション Semiconductor memory device
KR102504836B1 (en) 2018-06-15 2023-02-28 삼성전자 주식회사 Resistive memory device comprising compensation circuit
US10541031B2 (en) 2018-06-15 2020-01-21 Sandisk Technologies Llc Single pulse SLC programming scheme
US10825513B2 (en) 2018-06-26 2020-11-03 Sandisk Technologies Llc Parasitic noise control during sense operations
KR102619667B1 (en) * 2018-09-21 2023-12-29 삼성전자주식회사 Resistive memory device
KR20210036457A (en) 2019-09-25 2021-04-05 삼성전자주식회사 Memory device and operating method of memory device
CN113284537A (en) * 2020-01-31 2021-08-20 台湾积体电路制造股份有限公司 Hybrid self-tracking reference circuit for RRAM cells
US11693560B2 (en) * 2021-01-22 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM-based cell for in-memory computing and hybrid computations/storage memory architecture

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070070690A1 (en) * 2005-09-28 2007-03-29 Scheuerlein Roy E Method for using a multi-use memory cell and memory array

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6574145B2 (en) 2001-03-21 2003-06-03 Matrix Semiconductor, Inc. Memory device and method for sensing while programming a non-volatile memory cell
US6930909B2 (en) 2003-06-25 2005-08-16 Micron Technology, Inc. Memory device and methods of controlling resistance variation and resistance profile drift
US7218570B2 (en) 2004-12-17 2007-05-15 Sandisk 3D Llc Apparatus and method for memory operations using address-dependent conditions
US20060250836A1 (en) 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7426128B2 (en) 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US7362604B2 (en) 2005-07-11 2008-04-22 Sandisk 3D Llc Apparatus and method for programming an array of nonvolatile memory cells including switchable resistor memory elements
US7590002B2 (en) 2006-12-29 2009-09-15 Sandisk Corporation Resistance sensing and compensation for non-volatile storage
JP4504397B2 (en) 2007-05-29 2010-07-14 株式会社東芝 Semiconductor memory device
US7596035B2 (en) 2007-06-29 2009-09-29 Micron Technology, Inc. Memory device bit line sensing system and method that compensates for bit line resistance variations
US7551477B2 (en) 2007-09-26 2009-06-23 Sandisk Corporation Multiple bit line voltages based on distance
US7869258B2 (en) 2008-06-27 2011-01-11 Sandisk 3D, Llc Reverse set with current limit for non-volatile storage
US8111539B2 (en) 2008-06-27 2012-02-07 Sandisk 3D Llc Smart detection circuit for writing to non-volatile storage
US7733685B2 (en) 2008-07-09 2010-06-08 Sandisk 3D Llc Cross point memory cell with distributed diodes and method of making same
US8027209B2 (en) 2008-10-06 2011-09-27 Sandisk 3D, Llc Continuous programming of non-volatile memory
US7999529B2 (en) 2009-02-27 2011-08-16 Sandisk 3D Llc Methods and apparatus for generating voltage references using transistor threshold differences
US8199576B2 (en) 2009-04-08 2012-06-12 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a double-global-bit-line architecture
US8576651B2 (en) 2012-01-20 2013-11-05 Sandisk 3D Llc Temperature compensation of conductive bridge memory arrays
US8743618B1 (en) 2012-11-15 2014-06-03 Sandisk Technologies Inc. Bit line resistance compensation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070070690A1 (en) * 2005-09-28 2007-03-29 Scheuerlein Roy E Method for using a multi-use memory cell and memory array

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11915749B2 (en) 2021-05-14 2024-02-27 Ememory Technology Inc. Resistive memory device and forming method thereof with improved forming time and improved forming uniformity

Also Published As

Publication number Publication date
US20150023113A1 (en) 2015-01-22
US8897064B2 (en) 2014-11-25
US20140233327A1 (en) 2014-08-21
US8934295B1 (en) 2015-01-13
US8988936B2 (en) 2015-03-24
US20140233329A1 (en) 2014-08-21
US8885400B2 (en) 2014-11-11
WO2014130586A1 (en) 2014-08-28

Similar Documents

Publication Publication Date Title
US8934295B1 (en) Compensation scheme for non-volatile memory
US9830987B2 (en) Sense amplifier local feedback to control bit line voltage
US9047983B2 (en) Temperature compensation of conductive bridge memory arrays
US10026782B2 (en) Implementation of VMCO area switching cell to VBL architecture
US8885428B2 (en) Smart read scheme for memory array sensing
US8885401B2 (en) Load and short current measurement by current summation technique
US9318533B2 (en) Methods and systems to reduce location-based variations in switching characteristics of 3D ReRAM arrays
US9245599B1 (en) Timed multiplex sensing
US9595325B2 (en) Apparatus and methods for sensing hard bit and soft bits
US9653126B2 (en) Digital ramp rate control for charge pumps
US9471486B2 (en) Reducing disturbances in memory cells
US9361976B2 (en) Sense amplifier including a single-transistor amplifier and level shifter and methods therefor
US9442663B2 (en) Independent set/reset programming scheme
US10026478B1 (en) Biasing scheme for multi-layer cross-point ReRAM
US10409676B1 (en) SRAM bit-flip protection with reduced overhead
US20160118113A1 (en) Monolithic three dimensional memory arrays with staggered vertical bit lines and dual-gate bit line select transistors

Legal Events

Date Code Title Description
AS Assignment

Owner name: SANDISK 3D LLC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, YINGCHANG;KALRA, PANKAJ;GORLA, CHANDRASEKHAR;SIGNING DATES FROM 20130215 TO 20130220;REEL/FRAME:033892/0072

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SANDISK 3D LLC.;REEL/FRAME:038300/0665

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES INC., TEXAS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE INCORRECT LISTED PATENT NUMBER 8853569 TO THE CORRECT PATENT NUMBER 8883569 PREVIOUSLY RECORDED ON REEL 038300 FRAME 0665. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:SANDISK 3D LLC;REEL/FRAME:038520/0552

Effective date: 20160324

AS Assignment

Owner name: SANDISK TECHNOLOGIES LLC, TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:SANDISK TECHNOLOGIES INC;REEL/FRAME:038807/0948

Effective date: 20160516

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8